CN1839468A - 使用甲硅烷基化剂修复低k介电材料的损伤 - Google Patents

使用甲硅烷基化剂修复低k介电材料的损伤 Download PDF

Info

Publication number
CN1839468A
CN1839468A CN200480023744.XA CN200480023744A CN1839468A CN 1839468 A CN1839468 A CN 1839468A CN 200480023744 A CN200480023744 A CN 200480023744A CN 1839468 A CN1839468 A CN 1839468A
Authority
CN
China
Prior art keywords
dielectric film
silicate glass
glass dielectric
organic silicate
silane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200480023744.XA
Other languages
English (en)
Other versions
CN1839468B (zh
Inventor
A·S·巴纳普
T·A·拉莫斯
N·伊瓦莫托
R·Y·梁
A·纳文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/940,686 external-priority patent/US8475666B2/en
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of CN1839468A publication Critical patent/CN1839468A/zh
Application granted granted Critical
Publication of CN1839468B publication Critical patent/CN1839468B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于恢复有机硅酸盐玻璃介电薄膜的表面疏水性的方法,所述薄膜已经受到蚀刻剂或者灰化处理。这些薄膜在制造集成电路中用作绝缘材料以确保这些薄膜的低介电常数和稳定的介电性质。所述方法阻止在这些薄膜中形成应力诱导的空隙。有机硅酸盐玻璃介电薄膜通过使其受到蚀刻剂或灰化剂而形成通路和沟道,除去至少部分原有的含碳部分和减少所述有机硅酸盐玻璃介电薄膜的疏水性。所述通路和沟道其后用金属填充并受到韧化处理。在所述薄膜受到蚀刻剂或灰化剂后但在受到韧化处理前,所述薄膜与增韧剂组合物接触以恢复一些含碳部分并增加有机硅酸盐玻璃介电薄膜的疏水性。

Description

使用甲硅烷基化剂修复低K介电材料的损伤
相关申请的相互参照
本申请要求2003年10月8日提交的美国临时专利申请60/510,024的权利,该申请通过引用结合到本文中。
                 发明背景
发明领域
本发明关于恢复有机硅酸盐玻璃介电薄膜的表面疏水性的方法,该介电薄膜已经受到蚀刻或灰化处理以除去至少一部分原有的含碳部分而导致薄膜具有减少的疏水性。这些薄膜在制造半导体元件(例如集成电路(“ICs”))中用作绝缘材料以确保这些薄膜的低介电常数和稳定的介电性质。
相关技术描述
随着集成电路部件尺寸的减小,对于互连RC滞后、功率消耗和信号串扰的问题已经变得愈加难以解决。相信用于电平间介电材料(ILD)和金属间介电材料(IMD)用途的低介电常数材料的集成化将有助于解决这些问题。虽然先前已经努力将低介电常数材料用于集成电路,本领域仍然长期需要进一步改进这种材料的加工方法和使介电与机械性能两者最佳化。未来集成电路的元件缩放显然需要采用低介电常数材料作为互连结构的一部分。用于低于100nm型ICs的低介电常数材料的大多数选择品是由CVD或者旋压法形成的含碳SiO2薄膜。在后续的加工步骤期间(例如采用等离子体或者湿法去膜方法进行等离子体蚀刻和去除光致抗蚀剂)显著损伤这些低k材料引起邻近蚀刻表面的低k材料的氟增加和碳贫化。除更高效的k以外,生成的结构易于形成空隙,脱气和形成气泡。所述空隙则可在升高的电压下引起泄漏电流增加和击穿电压减小。本发明描述了在引起损伤后通过用甲硅烷基化剂处理所述晶片减小损伤和所引起的问题的方法。
已经报道使用非损伤灰化化学品(例如H2/He)减少碳贫化和相关问题。在这方面,参见I.Berry,A.Shiota,Q.Han,C.Waldfred,M.Sekiguchi和O.Escorcia,Proceedings-Electrochemical Society(电化学学会会议论文集),22,202(2002);和A.Matsushita,N.Ohashi,K.Inukai,H.J.Shin,S.Sone,K.Sudou,K.Misawa,I.Matsumoto和N.Kobayashi,Proceedings of IEEE International Interconnect Techmology Conference,2003(2003年度IEEE国际互连技术会议论文集),147(2003)。
或者,补充碳的灰化后处理也已经显示恢复疏水性和降低介电常数。补充碳的灰化后处理也已经显示恢复疏水性和降低介电常数。在这方面,参见Y.S.Mor,T.C.Chang,P.T.Liu,T.M.Tsai,C.W.Chen,S.T.Yah,C.J.Chu,W.F.Wu,F.M.Pan,W.Lur和S.M.Sze,Journal ofVacuum Science & Technology(真空科学与技术杂志),B,2(4),1334(2002)和P.G.Clark,B.D.Schwab和J.W.Butterbaugh,Semiconductor International(国际半导体),26(9),46(2003)。后一种方法的优点是能够使用非常确实的蚀刻和灰化方法。所以,采用灰化后处理修复基于多孔SiCOH的低k材料的损伤是合乎需要的。所述处理可导致低k薄膜的碳补充,因此恢复疏水性和抗湿法清洁操作期间的进一步损伤。另外,如果发现修复的低k材料抗空隙形成是合乎需要的,所述空隙形成通常发生在铜韧化处理期间的未处理的多孔低k电平间介电区域。甲硅烷基化剂(“增韧剂”)可甲基化基于SiO2的材料表面。预期的暴露包括蒸气暴露(含有或者不含等离子体)、旋转涂布和超临界CO2。一般地,基于SiCOH的多孔低k材料在Cu波纹处理期间易于在ILD形成空隙。在增韧剂处理后,产生的结构明显更抗空隙形成。不受任何具体的理论或者机制所束缚,相信通过用Si-OH键替代Si-CH3键等离子体损伤引起介电材料的碳贫化。在损伤的多孔介电材料中,孔表面现在被Si-OH键覆盖。在拉伸应力存在下(例如在Cu韧化处理后),邻近的Si-OH基团可缩合,因此引起局部致密化。析出的反应产物和由于形成的新键延伸的分子引起ILD空间的中心附近发生空隙。增韧剂通过用Si-O-Si-Rn键替代大多数Si-OH键防止空隙形成,这避免缩合反应。因此不发生空隙形成。
在介电沟道与通路形成和蚀刻与灰化步骤后实施的韧化处理修复碳贫化和低k材料的损伤。用这种方法,空隙被阻止并且后者可耐受由韧化处理填充沟道和通路的金属引起的内应力。
通过使晶片表面暴露于以液体或者气体形式存在的甲硅烷基化剂足以完成与损伤的低K区域反应的时间实施韧化处理。任选地,可实施高温烘焙以除去残留的溶剂和过量的增韧剂。而且任选地,在使用增韧剂后或者在烘焙步骤后使用与低k电介质相容的市售的化学品可立即实施湿法清洁操作。在增韧剂处理前可实施另外的脱水烘焙以增加增韧剂处理的有效性。
采用先后受到蚀刻与灰化处理以及增韧剂处理的无图案的低k介电薄膜可证实增韧剂处理的有效性。成功的增韧剂处理导致碳浓度增大,这可经FTIR、EDX或者XPS技术测量。另外,可见水接触角增大,这证实了后处理表面的疏水性。增韧剂处理的薄膜也显示了与未用增韧剂处理的蚀刻/灰化薄膜相比较自C-V测量得到更低的介电常数。在有图案的晶片中,增韧剂处理的有效性被电镀铜之后的铜韧化处理后Cu沟道之间的狭窄空间的低k电介质中空隙的减少或消除所证实,并且也被暴露于反应溶剂后沟道或通路中更低的分布变化所证实。
                     发明概述
本发明提供用于阻止在基底上的有机硅酸盐玻璃介电薄膜中的应力诱导的空隙形成的方法,所述有机硅酸盐玻璃介电薄膜已通过施以图案而在其中形成通路和沟道,所述有机硅酸盐玻璃介电薄膜此后已经受到至少一种处理除去至少一部分原有的含碳部分并减小所述有机硅酸盐玻璃介电薄膜的疏水性,此后所述通路和沟道已经用金属填充,此后所述金属已经受到韧化处理,所述方法包括在受到至少一种蚀刻剂或灰化剂处理后,但在所述通路和沟道已经用金属填充前,使有机硅酸盐玻璃介电薄膜与增韧剂组合物以有效恢复有机硅酸盐玻璃介电薄膜的至少一些含碳部分并增加有机硅酸盐玻璃介电薄膜的疏水性的浓度和时间进行接触。
本发明也提供用于形成微电子装置的方法,所述方法包括:
a)将有机硅酸盐玻璃介电薄膜应用到基底上;
b)在有机硅酸盐玻璃介电薄膜中形成通路和沟道模式,并使有机硅酸盐玻璃介电薄膜受到至少一种处理除去至少一部分原有的含碳部分并减小所述有机硅酸盐玻璃介电薄膜的疏水性;
c)使所述有机硅酸盐玻璃介电薄膜与增韧剂组合物以有效增加所述有机硅酸盐玻璃介电薄膜的疏水性的浓度和时间进行接触;
d)用金属填充所述通路和沟道;和
e)使所述金属受到韧化处理。
本发明提供通过包含以下的方法生产的微电子装置:
a)将有机硅酸盐玻璃介电薄膜应用到基底上;
b)在所述有机硅酸盐玻璃介电薄膜中形成通路和沟道模式,并使所述有机硅酸盐玻璃介电薄膜受到至少一种处理除去至少一部分原有的含碳部分并减小所述有机硅酸盐玻璃介电薄膜的疏水性;
c)使所述有机硅酸盐玻璃介电薄膜与增韧剂组合物以有效增加所述有机硅酸盐玻璃介电薄膜的疏水性的浓度和时间进行接触;
d)用金属填充所述通路和沟道;和
e)使所述金属受到韧化处理。
                 发明详述
在本发明的上下文中,具有一般低于3的低介电常数的介电材料是尤其合乎需要的,因为它们一般使信号传播速度更快,减小电容效应和导线之间的串扰并降低驱动集成电路的电压。一种具有低介电常数的材料为二氧化硅,它可用作起泡沫介电材料。为得到最低可能的介电值,向二氧化硅介电材料中加入空气。空气的介电常数为1,并且当空气被引入到以纳米多孔或纳米级孔结构形式存在的二氧化硅介电材料中时,得到相对低的介电常数(“k”)。应该理解当使用术语“二氧化硅”时除非具体提及“SiO2”官能团,此处所用的术语“二氧化硅”(例如关于多孔和非多孔介电薄膜)打算指通过本发明方法从有机或无机玻璃基材料(例如含有一种或多种硅基电介质前体的任何合适的起始材料)制备的介电薄膜。也应该理解在此使用单一的术语不打算如此限制,而是在合适时也包括复数的,例如本发明例证性的方法可被描述为应用于和生产一个“薄膜”,但是其意指需要时通过所描述的、例证性的和权利要求的方法可生产多个薄膜。此处使用的关于二氧化硅介电材料的术语“薄膜”打算包括其中任选使用这样的二氧化硅介电材料的任何其它合适的形式或形状。纳米多孔二氧化硅具有吸引力,因为它使用类似的前体(包括有机取代的硅烷(例如四甲氧基硅烷(“TMOS”)和/或四乙氧基硅烷(“TEOS”))),如用于普遍使用的旋涂玻璃(“SOG”)和化学气相沉积(CVD)二氧化硅SiO2。此处使用的术语“空隙”和“孔”意指其中物质被气体替代或者其中产生真空的自由体积。气体的组成通常不是关键的,并且合适的气体包括相对纯的气体及其混合物(包括空气)。纳米多孔聚合物可包括多元孔。孔一般为球形,但是可以或者另外具有任何合适的形状(包括管形、层状、盘状或其它形状)。在多孔聚合物中孔可以是均匀或随机分散的。也期待孔可以具有任何合适的直径。进一步期待至少一些孔可以与相邻的孔连接产生具有显著量的连接的或“开口的”孔隙率的结构。
纳米多孔二氧化硅薄膜先前已经通过多种方法制备。合适的硅基前体组合物和用于形成纳米多孔二氧化硅介电薄膜的方法见述于例如以下共同所有的美国专利6,048,804、6,022,812、6,410,149、6,372,666、6,509,259、6,218,497、6,143,855、6,037,275、6,042,994、6,048,804、6,090,448、6,126,733、6,140,254、6,204,202、6,208,041、6,318,124和6,319,855,这些专利通过引用结合到本文中。
其它电介质和低介电材料包括无机基的化合物(例如在2002年2月19日提交的通常指定为待决的美国专利申请序号10/078919中公开的硅基化合物(例如市售得自Honeywell International Inc.的NANOGLASS和HOSP产品))。通过使所述材料旋转涂布到表面上、浸涂、喷涂、化学气相沉积(CVD)、使所述材料滚压到表面上、使所述材料滴落到表面上和/或使所述材料分散到表面上而使用所述电介质和低介电材料。用于本发明的电介质包括CVD沉积材料(例如碳掺杂的氧化物,如市售得自Applied Materials,Inc.的Black Diamond、市售得自Novellus的Coral、市售得自ASM的Aurora和市售得自Trikon的Orion)。
此处使用的短语“旋涂材料”、“旋涂有机材料”、“旋涂组合物”和“旋涂无机组合物”可互换使用并且指可采用旋转涂布应用方法被旋涂到基底或表面的那些溶液和组合物。硅基化合物的实例包括硅氧烷化合物(例如甲基硅氧烷、甲基硅倍半氧烷、苯基硅氧烷、苯基硅倍半氧烷、甲基苯基硅氧烷、甲基苯基硅倍半氧烷、硅氮烷聚合物、硅酸盐聚合物及其混合物)。期待的硅氮烷聚合物是全氢硅氮烷,它具有“透明的”可连接生色团的聚合物骨架。旋涂玻璃材料也包括硅氧烷聚合物和嵌段聚合物、通式为(H0-1.0SiO1.5-2.0)x的氢硅氧烷聚合物和式(HSiO1.5)x的氢硅倍半氧烷聚合物,其中x大于约4。也包括氢硅倍半氧烷与烷氧基氢化硅氧烷或羟基氢化硅氧烷的共聚物。旋涂玻璃材料另外包括通式为(H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m的有机氢化硅氧烷聚合物和通式为(HSiO1.5)n(RSiO1.5)m的有机氢化硅倍半氧烷聚合物,其中m大于0,n与m的总和大于约4,R为烷基或芳基。一些有用的有机氢化硅氧烷聚合物的n与m的总和为约4至约5000,其中R为C1-C20烷基或C6-C12芳基。所述有机氢化硅氧烷和有机氢化硅倍半氧烷聚合物或者指旋涂聚合物。一些具体的实例包括烷基氢化硅氧烷(例如甲基氢化硅氧烷、乙基氢化硅氧烷、丙基氢化硅氧烷、叔丁基氢化硅氧烷、苯基氢化硅氧烷)和烷基氢化硅倍半氧烷(例如甲基氢化硅倍半氧烷、乙基氢化硅倍半氧烷、丙基氢化硅倍半氧烷、叔丁基氢化硅倍半氧烷、苯基氢化硅倍半氧烷)及其组合。几种期待的旋涂材料见述于以下授予的专利和待决的申请,这些文献通过引用而结合到本文中:美国专利6,506,497、6,365,765、6,268,457、6,177,199、6,358,559、6,218,020、6,361,820、6,218,497、6,359,099、6,143,855、6,512,071、2001年11月10日提交的美国专利申请序号10/001143、2000年6月8日提交的PCT/US00/15772和1999年1月7日提交的PCT/US00/00523。
有机氢化硅氧烷溶液和有机硅氧烷树脂可用于形成笼状(caged)硅氧烷聚合物薄膜,所述薄膜用于制备多种电子元件、微电子元件,尤其是半导体集成电路和用于电子与半导体元件的各种层状材料,包括硬模层、介电层、止蚀层和隐埋的止蚀层。这些有机氢化硅氧烷树脂层与可用于层状材料和元件的其它材料(例如基于金刚烷(adamantane)的化合物、基于金刚烷(diamantane)的化合物、硅芯化合物、有机电介质和纳米多孔电介质)相容。与在此期待的有机氢化硅氧烷树脂层非常相容的化合物公开于美国专利6,214,746、6,171,687、6,172,128、6,156,812、2002年1月15日提交的美国专利申请序号60/3501 87、美国专利申请序号09/538276、美国专利申请序号09/544504、美国专利申请序号09/587851和2002年1月8日提交的美国专利申请序号60/347195、2001年10月17日提交的PCT申请PCT/US01/32569、2001年12月31日提交的PCT申请PCT/US01/50812,这些文献通过引用而结合到本文中。
在此使用的合适的有机氢化硅氧烷树脂具有以下通式:
[H-Si1.5]n[R-SiO1.5]m                     式(1)
[H0.5-Si1.5-1.8]n[R0.5-1.0-SiO1.5-1.8]m      式(2)
[H0-1.0Si1.5]n[R-SiO1.5]m                 式(3)
[H-Si1.5]x[R-SiO1.5]y[SiO2]z            式(4)
其中:
n与m的总和或者x、y和z的总和为约8至约5000,m或y被选择以使含碳的组分以少于约40%的量存在(低的有机物含量=LOSP)或者以大于约40%的量存在(高的有机物含量=HOSP),R选自取代和未取代的正链的和分支的烷基(甲基、乙基、丁基、丙基、戊基)、烯基(乙烯基、烯丙基、异丙烯基)、环烷基、环烯基、芳基(苯基、苄基、萘基、蒽基和菲基)及其混合物,其中含碳取代基的具体摩尔百分比为起始原料的量的比率的函数。在一些LOSP实施方案中,含碳取代基的摩尔百分比为约15%摩尔至约25%摩尔可得到特别好的结果。在一些HOSP实施方案中,含碳取代基的摩尔百分比为约55%摩尔至约75%摩尔可得到良好的结果。
介电常数为约1.5至约4的纳米多孔二氧化硅介电薄膜也可用作其中一层。纳米多孔二氧化硅薄膜作为硅基前体被铺设,在水存在下老化或浓缩且充分加热以除去基本上全部的成孔剂以在膜中形成空隙。硅基前体组合物包含式Rx-Si-Ly的单体或预聚物,其中R独立选自烷基、芳基、氢及其组合,L为负电部分(例如烷氧基、羧基、氨基、酰氨基、卤化物、异氰酸基)及其组合,x为0至约2的整数,y为约2至约4的整数。在美国专利6,171,687、6,172,128、6,214,746、6,313,185、6,380,347和6,380,270中可发现其它的纳米多孔化合物和方法,这些文献通过引用而结合到本文中。
短语“笼型结构”、“笼型分子”和“笼型化合物”打算可互换使用并且指具有至少10个原子的分子,这些原子被排列以使至少一个桥共价连接环系统的两个或多个原子。换言之,笼型结构、笼型分子或笼型化合物包含多个由共价连接的原子形成的环,其中所述结构、分子或者化合物定义一个体积以使与所述体积定位在一起的点无法未经通过所述环而离开体积。所述桥和/或环系统可包含一个或多个杂原子,并且可为芳族、部分饱和或不饱和。进一步期待的笼型结构包括富勒烯和具有至少一个桥的冠醚。例如金刚烷(adamantane)或金刚烷(diamantane)被认为是笼型结构,而萘化合物或芳族螺化合物在这个定义范围内不认为是笼型结构,因为萘化合物或芳族螺化合物不具有一个或多于一个桥。
所期待的笼型化合物不必局限于仅包含碳原子,而且也可包含杂原子(例如N、S、O、P等)。杂原子可有利地引入非四边形键角构型。关于所期待的笼型化合物的取代基和衍生作用,应该认识到许多取代基和衍生作用是合适的。例如当笼型化合物为相对疏水性时,可引入亲水性取代基以增加在亲水性溶剂中的溶解度,或者反之亦然。或者,在要求极性的情况下,可向笼型化合物中增加极性侧基。进一步期待合适的取代基也可包括不耐热的基团、亲核基团和亲电基团。也应该意识到在笼型化合物中可使用官能团(例如以促进交联反应、衍生反应等)。
在此详细描述的笼型分子或化合物也可以为连接于聚合物主链的基团,并且因此可形成纳米多孔材料,其中笼型化合物形成一种类型的空隙(分子内)和其中至少主链的一部分与本身或者另一个主链的交联可形成另一种类型的空隙(分子间)。另外的笼型分子、笼型化合物以及这些分子和化合物的变体在2001年10月18日提交的PCT/US01/32569中得到详细描述,该文献通过引用而结合到本文中。所期待的聚合物也可包含广泛范围的功能或结构部分,包括芳族系统和卤代基团。另外,合适的聚合物可具有多种构型,包括均聚物和杂聚物。此外,供选的聚合物可具有多种形式,例如线形、分支、超分支或者三维。所期待的聚合物的分子量跨越宽的范围,一般为400道尔顿至400000道尔顿或更大。也可以使用添加剂以增强或者赋予特有的性质,如在聚合物领域常规已知,包括稳定剂、阻燃剂、颜料、增塑剂、表面活性剂等。相容或不相容的聚合物可经混合得到所要求的性质。也可使用助粘剂。这样的促进剂以六甲基二硅氮烷为代表,其可用于与可在表面存在的可得到的羟基官能团相互作用,例如暴露于湿气或者湿度下的二氧化硅。用于微电子用途的聚合物合乎需要地包含低水平的(通常少于1ppm,优选少于10ppb)的离子杂质,尤其是对于电介质夹层。
在此描述的所述材料、前体和层可以是并且在许多情况下被设计为溶剂化或溶解于任何合适的溶剂中,只要生成的溶液可被用于基底、表面、晶片或层状材料。一般的溶剂也是能够使所述单体、异构单体混合物和聚合物溶剂化的那些溶剂。所期待的溶剂包括任何合适的有机或无机分子的纯净形式或混合物(其在要求的温度(例如临界温度)下挥发或可促进任何以上提及的设计目标或者需要)。所述溶剂也可包括任何合适的单极性和非极性化合物或其混合物。在此使用的术语“极性的”指在所述分子或化合物的一个位点或沿所述分子或化合物产生不平衡的电荷、部分电荷或自发电荷分布的分子或化合物的特性。在此使用的术语“非极性的”指在所述分子或化合物的一个位点或沿所述分子或化合物产生平衡的电荷、部分电荷或自发电荷分布的分子或化合物的特性。在一些所考虑的实施方案中,所述溶剂或溶剂混合物(包含至少两种溶剂)包括被看作是烃家族溶剂的部分的那些溶剂。烃溶剂为包含碳和氢的那些溶剂。应该理解大多数烃溶剂为非极性的,然而有少数烃溶剂可看作是极性的。烃溶剂通常分为三类:脂族、环状和芳族。脂族烃溶剂可包括直链化合物和支链及可能为交联的化合物,然而脂族烃溶剂不被看作是环状。环状烃溶剂为包含至少3个定位在环结构中的碳原子并具有与脂族烃溶剂相似性质的那些溶剂。芳族烃溶剂为单环或通过常规键连接的多环和/或稠合在一起的多环通常包含3个或多个不饱和键的那些溶剂。所期待的烃溶剂包括甲苯、二甲苯、对二甲苯、间二甲苯、1,3,5-三甲苯、溶剂石脑油H、溶剂石脑油A、烷烃(例如戊烷、己烷、异己烷、庚烷、壬烷、辛烷、十二烷、2-甲基丁烷、十六烷、十三烷、十五烷、环戊烷、2,2,4-三甲基戊烷)、石油醚、卤代烃(例如氯代烃)、硝化烃、苯、1,2-二甲基苯、1,2,4-三甲基苯、溶剂油、煤油、异丁基苯、甲基萘、乙基甲苯、挥发油。特别期待的溶剂包括但不限于戊烷、己烷、庚烷、环己烷、苯、甲苯、二甲苯及其混合物或组合。
在其它期待的实施方案中,所述溶剂或溶剂混合物可包括未被看作是烃溶剂家族化合物的部分的那些溶剂,例如酮(如丙酮、3-戊酮、二乙基甲酮、甲基乙基酮等)、醇、酮、酯、醚和胺。在其它期待的实施方案中,所述溶剂或溶剂混合物可包括任何在此提及的溶剂的组合。
在优选的实施方案中,所述溶剂包括水、乙醇、丙醇、丙酮、环氧乙烷、苯、甲苯、醚、环己酮、丁内酯、甲基乙基酮和苯甲醚。
仍然进一步期待供选择的低介电常数材料也可包括另外的组分。例如当低介电常数材料暴露于机械应力时,可加入软化剂或其它的保护剂。在介电材料被置于光滑表面的其它情况中,可有利地使用助粘剂。在其它情况中,加入洗涤剂或防沫剂可以是合乎需要的。通常以例如包含一种或多种可除去的溶剂的旋涂玻璃组合物的形式存在的前体被用于基底,然后聚合并除去溶剂以形成包含纳米级孔的介电薄膜。
当形成这样的纳米多孔薄膜时,例如其中所述前体通过旋转涂布而应用于基底,薄膜涂层一般用酸或碱催化剂和水催化以在最初的加热步骤期间引起聚合/凝胶作用(“老化”)。然后例如通过使薄膜受到一种或多种更高温度的加热步骤以特别是除去任何残留的溶剂并在需要时完成聚合过程来固化所述薄膜。其它的固化方法包括使薄膜经受辐射能(例如紫外线、电子束、微波能等)。
共同所有的美国专利6,204,202和6,413,882(通过引用结合到本文中)提供了硅基前体组合物和通过降解或蒸发一种或多种存在于所述前体组合物中的聚合物或低聚物形成纳米多孔二氧化硅介电薄膜的方法。共同所有的美国专利6,495,479提供了硅基前体组合物和通过降解或蒸发一种或多种存在于所述前体组合物中的化合物或聚合物形成纳米多孔二氧化硅介电薄膜的方法。美国专利5,895,263描述了通过使用包含可降解聚合物和有机聚二氧化硅(即包括缩合或聚合的硅聚合物)的组合物,加热所述组合物以进一步缩合聚二氧化硅并分解所述可分解的聚合物以形成多孔介电层来在基底(例如晶片)上形成多孔二氧化硅介电薄膜。
用于将前体应用到基底上、老化、固化、平坦化和使薄膜疏水的方法见述于例如共同所有的美国专利6,589,889和6,037,275中。在此期待的基底和晶片可包含任何合乎需要的基本上为固体的材料。特别合乎需要的基底层包括薄膜、玻璃、陶瓷、塑料、金属或涂布的金属或者复合材料。在优选的实施方案中,所述基底包括硅或砷化锗芯片或晶片表面,包装表面(例如在镀铜、银、镍或金的引线框架上所发现);铜表面(例如发现于电路板或组合件互连连动杆、通路墙或刚性元件界面(“铜”包括考虑裸铜及其氧化物)),聚合物基的包装或板界面(例如在基于聚酰亚胺的扰性包装、铅或其它金属合金焊接球形表面、玻璃和聚合物例如聚酰亚胺所发现)。当考虑粘性界面时,所述“基底”甚至可定义为另一种聚合物链。在更优选的实施方案中,所述基底包括在包装和电路板工业中常用的材料(例如硅、铜、玻璃和另外的聚合物)。
随后的半导体制造方法(例如通过PECVD技术沉积盖膜和经蚀刻和灰化施以图案形成通路和沟道)趋于除去含碳部分(为来自有机硅酸盐玻璃介电薄膜的疏水性基团)并用硅烷醇替代它们。当有机硅酸盐玻璃介电薄膜含有硅烷醇基团时导致不合乎需要的性质。硅烷醇及其从空气中吸附的水份在电场高度可极化并因此升高薄膜的介电常数,降低对湿法清洁化学品的抗性并增加挥发性放出物。而且,当沟道和通路用金属填充并受到韧化处理时,金属收缩对通路和沟道壁产生应力并在介电材料内部于通路和沟道之间形成不合乎需要的空隙。
为了解决这个问题,有机硅酸盐玻璃介电薄膜通过用增韧剂处理以恢复含碳部分并增加有机硅酸盐玻璃介电薄膜的疏水性而被制成基本上不含硅烷醇和水。这使得所述薄膜能够耐对通路和沟道壁产生的应力(例如韧化期间金属收缩所引起,来自其它介电层的应力和装配期间的应力),因此阻止介电材料内在通路和沟道之间形成不合需要的空隙。
蚀刻和等离子体除去疏水官能团。在半导体制造过程期间对有机硅酸盐玻璃介电薄膜的损伤是由于使用了侵蚀性的等离子体和/或蚀刻剂在介电薄膜中蚀刻沟道和通路而引起。等离子体也用于在制造半导体元件期间除去光敏抗蚀剂薄膜。所用的等离子体一般由元素氧、氟、氢、碳、氩、氦或者氮(以自由原子、化合物、离子和/或自由基的形式存在)组成。
在沟道、通路、蚀刻和/或光敏抗蚀剂除去期间暴露于这些等离子体的介电薄膜易于降解或损坏。多孔介电薄膜具有非常高的表面积并因此特别易于受等离子体损伤。特别是含有有机内容物(例如键合于Si原子的甲基)的二氧化硅基介电薄膜易于被氧等离子体降解。有机基团被氧化为CO2,硅烷醇或Si-OH基团保留在以前存在有机基团的电介质表面。多孔二氧化硅薄膜依赖这样的有机基团(多孔表面上)以保持疏水性。失去疏水性使介电常数升高(这类薄膜的低介电常数是这类材料的关键的合乎需要的性质)。
湿法化学处理也用于IC生产,目的是除去沟道或通路蚀刻后剩余的残留物。所使用的化学品通常是非常具有侵蚀性的,它们将侵蚀并除去基于二氧化硅的介电薄膜尤其是多孔二氧化硅薄膜的有机基团。而且,这种损伤将引起薄膜失去其疏水性。湿法化学蚀刻剂包括例如酰胺(如N-甲基吡咯烷酮、二甲基甲酰胺、二甲基乙酰胺);醇(例如乙醇和2-丙醇);醇胺(例如乙醇胺);胺(例如三乙胺);二胺(例如乙二胺和N,N-二乙基乙二胺);三胺(例如二亚乙基三胺);二胺酸(例如乙二胺四乙酸“EDTA”);有机酸(例如乙酸和甲酸);有机酸的铵盐(例如乙酸四甲铵);无机酸(例如硫酸、磷酸、氢氟酸);氟化物盐(例如氟化铵);和碱(例如氢氧化铵和氢氧化四甲铵);和羟胺,开发用于蚀刻后湿法清洁的市售制剂(例如EKC 505、525、450、265、270和630(EKC Corp.,Hayward CA)以及ACT-CMI和ACT-690(AshlandChemical,Hayward,CA)等本领域已知的蚀刻剂。灰化剂包括衍生于氢、氮、氦、氩、氧及其衍生的混合物等的等离子体。
为了解决以上提及的问题,本发明提供了在制造半导体或IC元件过程期间赋予存在于基底上的有机硅酸盐玻璃介电薄膜疏水性的方法。
本发明的方法包括以下步骤:(a)在受到至少一种蚀刻剂或灰化剂后但是在所述金属已经受到韧化处理之前,使有机硅酸盐玻璃介电薄膜与增韧剂组合物以有效恢复有机硅酸盐玻璃介电薄膜的至少一些含碳部分并增加有机硅酸盐玻璃介电薄膜的疏水性的浓度和时间进行接触;和(b)除去未反应的增韧剂组合物、反应产物及其混合物。所述增韧剂组合物包含至少一种增韧剂(即化合物或其带电衍生物,适于从损伤的二氧化硅介电薄膜中除去硅烷醇部分)。任选地,然后使蚀刻剂损伤的纳米多孔二氧化硅介电薄膜经受湿法清洁步骤。
在一个实施方案中,所述增韧剂组合物包含至少一种下式的增韧剂化合物:
(1)[-SiR2NR′-]n,其中n>2并且可以为环状;(2)R3SiNR′SiR3;(3)(R3Si)3N;(4)R3SiNR′2;(5)R2Si(NR′)2;(6)RSi(NR′)3;(7)RxSiCly,(8)RxSi(OH)y,(9)R3SiOSiR′3,(10)RxSi(OR′)y,(11)RxSi(OCOR′)y,(12)RxSiHy;(13)RxSi[OC(R′)=R”]4-x及其组合。
其中x为1至3的整数,y为1至3的整数,y=4-x;每个R独立选自氢和疏水性有机部分。所述R基团优选独立选自由烷基、芳基及其组合组成的有机部分。所述R′基团可为H、烷基、芳基或羰基(例如COR、CONR、CO2R)。所述R”可为烷基或羰基(例如COR、CONR、CO2R)。
在另一个详细资料中,所述增韧剂组合物包含至少一种以下的增韧剂或者化合物:乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基硅烷、三乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、六甲基环三硅氮烷、双(二甲基氨基)二甲基硅烷、双(二乙基氨基)二甲基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)硅烷、二甲基甲硅烷基二甲酰胺、二甲基甲硅烷基二乙酰胺、二甲基甲硅烷基二异氰酸酯、三甲基甲硅烷基三异氰酸酯、2-三甲基甲硅烷氧基戊-2-烯-4-酮、n-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、n-(三甲基甲硅烷基)咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基(三甲基甲硅烷氧基)乙酸酯、九甲基三硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷及其组合。在本发明的合乎需要的实施方案中,所述增韧剂包含二甲基二乙酰氧基硅烷。
任选地,所述增韧剂组合物包含溶剂。合适的溶剂包括例如酮、醚、酯、烃及其组合。
所述增韧剂组合物作为液体、蒸气或气体和/或等离子体接触损伤的二氧化硅介电薄膜。如果以等离子体的形式存在,所述等离子体可衍生自硅烷化合物、烃、醛、酯、醚和/或其组合。除非另外指明,此处的术语“剂”在此应认为是与术语“试剂”同义。
合适的增韧剂组合物包含一种或多种能够从蚀刻和/或灰化的合乎需要地表现出疏水性的有机硅酸盐玻璃介电薄膜的表面除去硅烷醇基团的增韧剂。例如增韧剂为具有选自式I(1-13)的式的化合物:(1)[-SiR2NR′-]n,其中n>2并且可以为环状;(2)R3SiNR′SiR3,(3)(R3Si)3N;(4)R3SiNR′2;(5)R2Si(NR′)2;(6)RSi(NR′)3;(7)RxSiCly,(8)RxSi(OH)y,(9)R3SiOSiR′3,(10)RxSi(OR)′y,(11)RxSi(OCOR′)y,(12)RxSiHy;(13)RxSi[OC(R′)=R”]4-x及其组合。
其中x为1至3的整数,y为1至3的整数,y=4-x;每个R独立选自氢和疏水性有机部分。所述R基团优选独立选自由烷基、芳基及其组合组成的有机部分。所述R′基团可为H、烷基、芳基或羰基(例如COR、CONR、CO2R)。所述R”可为烷基或羰基(例如COR、CONR、CO2R)。
烷基部分为官能化或非官能化的并且选自直形烷基、分支烷基、环状烷基及其组合,其中所述烷基部分大小为C1至约C18。芳基部分为取代或未取代的并且大小为C5至约C18。优选所述增韧剂为乙酰氧基硅烷或例如为单体化合物如乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基硅烷、三乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、六甲基环三硅氮烷、双(二甲基氨基)二甲基硅烷、双(二乙基氨基)二甲基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)硅烷、二甲基甲硅烷基二甲酰胺、二甲基甲硅烷基二乙酰胺、二甲基甲硅烷基二异氰酸酯、三甲基甲硅烷基三异氰酸酯、2-三甲基甲硅烷氧基戊-2-烯-4-酮、n-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、n-(三甲基甲硅烷基)咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基(三甲基甲硅烷氧基)乙酸酯、九甲基三硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷及其组合。在一个值得注意的实施方案中,所述增韧剂为甲基三乙酰氧基硅烷。在优选的实施方案中,所述增韧剂为二甲基二乙酰氧基硅烷。
另外的增韧剂包括如美国专利6,208,014详细描述的多功能表面改良剂,上述专利通过引用结合到本文中。这样的多功能表面改良剂可以蒸气或液体的形式任选含有或不含助溶剂而使用。合适的助溶剂包括例如酮(如丙酮、二异丙基甲酮、2-庚酮、3-戊酮等),如共同所有的美国专利6,395,651所详细描述,此公开通过引用结合到本文中。例如美国专利6,208,014详细描述某些优选的表面改良剂具有两种或多种官能团并与表面硅烷醇官能团反应,同时使存在于所述薄膜的结构框架外的物质减至最少,并且包括例如表面硅烷醇可与例如下式的合适的硅烷醇缩合
RxSi(OH)4-x          式II
其中x=1-3,每个R为独立选择的部分,例如H和/或有机部分(如烷基、芳基或其衍生物)。当R为烷基时,所述烷基部分任选为取代或未取代,可以是直形、分支或者环状,并且优选大小为C1至约C18或更大,更优选为C1至约C8。当R为芳基时,所述芳基部分优选由任选取代或未取代的单一芳环组成,大小为C5至约C18或更大,更优选为C5至约C8。在进一步的选择中,所述芳基部分为杂芳基。
在另一个实施方案中,烷氧基硅烷可用作增韧剂,例如合适的烷氧基硅烷如
RxSi(OR′)4-x
其中R为独立选择的部分,例如H和/或有机部分(如烷基、芳基或其衍生物);R′为独立选择的烷基或芳基部分。当R或R′为烷基时,所述烷基部分任选为取代或未取代,并且可以是直形、分支或者环状,优选大小为C1至约C18或更大,更优选为C1至约C8。当R或R′为芳基时,所述芳基部分优选由任选取代或未取代的单一芳环组成,大小为C5至约C18或更大,更优选为C5至约C8。在进一步的选择中,所述芳基部分为杂芳基。因此,所述R基团独立选自H、甲基、乙基、丙基、苯基和/或其衍生物,条件是至少一个R是有机基团。在一个实施方案中,R基团均为甲基,三重功能表面改良剂为甲基三甲氧基硅烷。
在另一个实施方案中,本发明合适的硅烷具有以下通式
RxSi(NR2)4-x        式III
其中X=1-3,R独立为H、烷基和/或芳基。其中任何R为烷基和/或芳基。在优选的实施方案中,R选自H、CH3、C6H5,并且R2和R3均为CH3。因此三重功能增韧剂包括例如三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷和/或三(二甲基氨基)硅烷。另外,可使用二取代的硅烷(例如六甲基环三硅氮烷、双(二甲基氨基)二甲基硅烷和双(二乙基氨基)二甲基硅烷)。
在另一个实施方案中,本发明合适的硅烷具有以下通式
RxSi(ON=CR2)4-x或RxSi[OC(R′)=R″]4-  式IV
其中x=1-3,所述R基团独立为H、烷基和/或芳基,R′可为H、烷基、芳基、烷氧基或芳氧基,R″可为烷基或羰基。因此改良剂包括例如分别为甲基三(甲基乙基酮肟)硅烷或2-三甲基甲硅烷氧基戊-2-烯-4-酮。
在另一个实施方案中,本发明合适的硅烷具有以下通式
RxSi(NCOR2)4-x或RxSi(NCO)4-x
其中x=1-3,R基团独立为H、烷基和/或芳基。因此表面改良剂包括例如二甲基甲硅烷基二甲酰胺、二甲基甲硅烷基二乙酰胺、二甲基甲硅烷基二异氰酸酯、三甲基甲硅烷基三氰酸酯。
在进一步的实施方案中,本发明合适的硅烷具有以下通式
RxSiCl4-x                       式V
其中x=1-3,R为H、烷基或芳基。在一个优选的实施方案中,R为CH3。因此式V的三重功能表面改良剂包括例如甲基三氯硅烷。
在更优选的实施方案中,所述封端剂包括一种或多种具有以下通式的有机乙酰氧基硅烷
(R1)xSi(OCOR2)y               式VI
优选x为1至2的整数,x和y可以是相同或者不同的并且y为约2至约3或更大的整数。
有用的有机乙酰氧基硅烷(包括多功能烷基乙酰氧基硅烷和/或芳基乙酰氧基硅烷化合物)包括但不限于甲基三乙酰氧基硅烷(“MTAS”)、二甲基二乙酰氧基硅烷(DMDAS)、苯基三乙酰氧基硅烷和二苯基二乙酰氧基硅烷及其组合。
任选所述增韧剂与合适的溶剂(例如2-庚酮)混合,以蒸气或液体的形式用于纳米多孔二氧化硅表面并随后干燥。在期待的实施方案中,使用50%六甲基二硅氮烷(HMDZ)和50%3-戊酮的混合物。所述液体被旋转涂布到表面、基底或晶片上。然后在高达425℃的烘焙板上烘焙经涂布的表面。烘焙步骤后进行PVD(物理气相沉积)阻挡层和Cu子晶层沉积。在另一个期待的实施方案中,使用二甲基二乙酰氧基硅烷(DMDAS)和3-戊酮的混合物。所述液体被旋转涂布到表面、晶片或基底上。然后在高达425℃的烘焙板上烘焙经涂布的表面。在这个烘焙步骤后进行PVD阻挡层和Cu子晶层沉积。
在另一个实施方案中,采用化学品(例如AP395或稀HF)的湿法清洁在以上提及的实施方案中的烘焙步骤后实施。所述湿法清洁用于除去灰化后残留的任何抗腐蚀性残余物。未处理的低k介电材料在蚀刻和灰化后易于被湿法清洁剂侵蚀。所述增韧剂处理显著改善低k电介质对湿法清洁引起的侵蚀的抗性。
根据工艺流程,铜表面可在增韧剂处理期间暴露,尤其可被暴露在通路的底部。除了从铜表面除去原有的氧化物以外,所述湿法清洁也可除去增韧剂与暴露的铜表面之间的任何反应产物。具体地讲,采用AP395的湿法清洁可以清洁先前暴露于采用DMDAS的增韧剂处理的铜(或任何合适的金属或金属合金)表面。
之后所述通路和沟道可用金属填充,并且所述金属受到韧化处理。在此使用的术语“金属”指处于元素周期表的d-区和f-区的那些元素以及具有金属样性质的那些元素(例如硅和锗)。在此使用的短语“d-区”指具有填充围绕元素原子核的3d、4d、5d和6d轨道的电子的那些元素。在此使用的短语“f-区”指具有填充围绕元素原子核的4f和5f轨道的电子的那些元素,包括镧系和锕系元素。优选的金属包括铟、银、铜、铝、锡、铋、镓及其合金,镀银的铜和镀银的铝。术语“金属”也包括合金、金属/金属复合材料、金属陶瓷复合材料、金属聚合物复合材料以及其它的金属复合材料。可通过在约150℃至约350℃或200℃至250℃的温度下加热约10秒至约60分钟进行韧化。这些时间和温度不是严格的,只要实施韧化。在另一个实施方案中,所述湿法清洁可在第一个期待的实施方案的烘焙过程之前实施。在湿法清洁之后实施所述高温烘焙步骤。这个方法的优点是湿法清洁可除去过量的增韧剂和与任何暴露的铜表面的任何反应产物(在所述铜表面通过烘焙过程“硬化”之前)。这可导致介电材料中较少的挥发性组分和更清洁的铜表面。两者可导致改善的长期可靠性。在另一个期待的实施方案中,在增韧剂(TA)处理之前在约100℃至约400℃下实施另外的脱水烘焙1分钟至120分钟。所述脱水烘焙除去在损伤的低k电介质中吸附的任何水分。在增韧剂处理之前从电介质中除去水分使得处理更加有效。
在供选择的实施方案中,通过使蚀刻剂损伤的有机硅酸盐玻璃介电薄膜暴露于衍生于任何以上提及的增韧剂的等离子体而提供增韧剂组合物。在典型的方法中,所述有机硅酸盐玻璃介电薄膜被置于等离子体生成室(例如等离子增强型化学气相沉积(PECVD)系统)中,使增韧剂组合物蒸气和氩蒸气通过等离子体生成室,然后RF能源被激活产生等离子体,氩气被包含在内以助于促进生成等离子体。所述等离子体由衍生自增韧剂组合物的离子碎片组成,例如离子碎片CH3Si+由甲基硅烷(CH3SiH3)生成。该碎片与硅烷醇基团反应形成疏水性Si-CH3部分。任何以上提及的增韧剂组合物可被用于此等离子体诱导的表面处理。
其它合适的用于等离子体诱导的表面改良处理的增韧剂组合物包括C1-C12烷基和芳族烃。最优选的烃是甲烷。用于等离子体诱导的增韧剂组合物的其它试剂包括醛、酯、酰氯和醚。合适的醛包括乙醛和苯甲醛,合适的酯包括乙酸乙酯和苯甲酸甲酯,合适的酰基氯包括乙酰氯和苄基氯,合适的醚包括乙醚和苯甲醚。广泛种类的单层晶片或多层晶片(分批)等离子体系统可用于这个方法,这些系统包括所谓的下游灰化机(例如Gasonics L3510光敏抗蚀剂灰化机),PECVD电介质沉积系统(例如Applied Materials P5000)或反应离子蚀刻(“RIE”)系统。大致地,用于等离子体方法的条件处于以下范围内:室温度20C至450℃,RF功率50W至1000W,室压力0.05至100托,等离子体处理时间5秒至5分钟,表面改良剂流速100-2000sccm,惰性气体流速(一般为氩气)100-2000sccm。
技术人员将理解本发明还预期包含通过采用上述等离子体表面处理将疏水表面赋予多孔和/或非多孔(无论损伤与否)的二氧化硅介电薄膜的方法。采用这些方法制造的半导体元件或者ICs也是本发明的一部分。
经处理的电介质层和材料可被使用或者掺入到任何合适的电子元件中。在此期待的电子元件通常被认为包括可用于电子产品的任何介电元件或层状介电元件。期待的电子元件包括电路板、芯片封装、电路板的介电元件、印制线路板及其它的电路板元件(例如电容器、电感器和电阻器)。
电子产品在它们准备用于工业或被其他消费者使用的意义上可以是“完成的”。完成的消费者产品的实例为电视机、计算机、手机、寻呼机、掌上型管理器(Palm-type organizer)、手提式收音机、汽车立体声和遥控装置。也期待“中间”产品例如电路板、芯片封装和可能用于完成的产品的键盘。
电子产品也可包括在从概念模型至最终按比例放大的样机的任何开发阶段的原型元件。原型可以或不可含有所有的打算用于完成产品的实际元件,并且原型可以具有一些用复合材料以外的材料构成的元件以取消它们在最初实验时对其它元件的初始效应。电子产品和元件可包括层状材料、层状元件和在用于元件或者产品的制备中层压的元件。
以下非限定性实施例用于阐述本发明。
                      实施例1
将一系列6000 NANOGLASS E纳米多孔二氧化硅薄膜(市售得自Honeywell International,inc of Sunnyvale,California)涂布到200mm硅基底上并随后使其在TEL DRM-85蚀刻器中受到C4F8基蚀刻和O2基的灰化处理。两种类型的增韧剂(TA-1和TA-2)得到评价。用标准旋涂电介质(SOD)涂布机使增韧剂应用于晶片并使所述晶片在125℃、200℃和350℃下用热板烘焙各1分钟。采用椭圆计测量薄膜厚度和折射系数。采用FTIR分析元素组成。于0.1MHz下用Hg探头测量介电常数。通过热解吸质谱(TDMS)评价薄膜的热稳定性。用3000 NANOGLASS E薄膜作为ILD和2000 TEOS氧化物封盖层制备单水平金属Cu波纹结构。在N2环境中于200℃下实施Cu韧化1小时,随后用聚焦离子束扫描电子显微镜(FIB-SEM)检查ILD中的空隙。在Cu化学机械抛光(CMP)后,每晶片上的25个芯片用自动探针仪电检测。
固化的NANOGLASSE薄膜的性质呈现在表1中。
表1固化的NANOGLASSE薄膜的一般性质
    参数     技术   结果
    孔直径     BET   20
    折射系数     椭圆计   1.24
    介电常数     MIM@1 MHz   2.2
    弹性模量     纳米压痕  4.5Gpa
    硬度     纳米压痕  0.4Gpa
    热稳定性     等温TGA  <1%重量损失
从NANOGLASS E的FTIR光谱观察到与固化的薄膜比较蚀刻和灰化过程引起C-H和Si-C含量减少30-40%并且显著增加Si-OH和H-OH键。增韧剂处理导致C-H和Si-C含量接近固化的薄膜。TA-2比TA-1更有效补充碳并减少Si-OH和H-OH键。
在蚀刻和灰化过程后,低k薄膜的介电常数(k)是高的(>3.0)。认为这是因为由Si-OH基团引起的水分吸附。增韧剂处理减小k至接近固化后水平。
表II显示在蚀刻和灰化后NANOGLASS E薄膜是亲水性的并且在大多数湿法清洁化学品中具有高的蚀刻速率,使得它们不适合于湿法清洁。TA-1处理使薄膜疏水以及抗一些湿法清洁化学品。
表II.在蚀刻-灰化(对照)后和在蚀刻-灰化与TA-1处理(TA-1)后暴露于多种湿法清洁化学品对NANOGLASS E薄膜的影响
  湿法清洁条件   蚀刻速率(A/分钟)   DI水接触角(度)
  对照     TA-1    对照     TA-1
  非湿法清洁    <10     122
  A(稀HF)   >1000     0     33     112
  B(酸性水溶液)   5     0    <10     118
  C(氟化物不完全水溶液(semi-aqueous))   25     14    <10     <10
  D(有机胺)   70     23   <10     47
所述介电薄膜在400℃下的TDMS光谱显示TA-1处理的薄膜放出的挥发性物质与蚀刻和灰化后的薄膜相似。TA-2处理的薄膜显示对低挥发性物质显著改善的热稳定性。
在对照组情况中(即不含增韧剂),在Cu韧化处理后于ILD空间可见明显的空隙。韧化处理前未观察到任何空隙。先前对于多孔低k材料已经报道了类似的观察结果。(参见A.Matsushita,N.Ohashi,K.Inukai,H.J.Shin,S.Sone,K.Sudou,K.Misawa,I.Matsumoto,和N.Kobayashi,Proceedings of IEEE International Interconnect TechnologyConference,2003(2003年度国际互连技术会议论文集),147(2003);和J.C.Lin,R.A.Augur,B.J.Daniels,S.L.Shue,C.H.Yu,和M.S.Liang,Proceedings of Advanced Metallization Conference 2002(2002年度高级金属喷镀会议论文集),637(2002))。来自Cu韧化处理的拉张应力被认为是空隙形成的驱动力。用TA-1或TA-2处理的所述晶片甚至在Cu韧化处理后也未显示任何空隙。因此,“修复”C贫化损伤被证明是韧化多孔低k材料抗由于外部应力引起的空隙形成的有效方法。
线间漏电流不受增韧剂处理影响。对照组晶片显示蛇形电阻(serpentine resistance)的广泛分布。发现高电阻端是由于可能由损伤的ILD区域截留的水分引起的缺陷(例如气泡、Cu腐蚀和点蚀)引起。增韧剂处理的晶片显示紧密的电阻分布,因为水分截留和相关的缺陷被消除。尽管中值电容不受增韧剂处理影响,由于较少的点蚀/气泡缺陷,经处理的梳状电容器结构具有更高的产量。
已经公开工艺诱发的损伤对NANOGLASSE和其它类似的Si基的薄膜的影响。用增韧剂TA-1或TA-2进行灰化后处理能够恢复未损伤材料的性质。增韧剂在SLM结构的成功应用已得到证实,其导致消除ILD空隙和更高产量的互连测试结构。
                  实施例2
通过标准旋转涂布方法在Si晶片上形成6000 HOSP硅薄膜(市售得自Honeywell International Inc.of Sunnyvale,California)。将所述薄膜在400℃下在N2中固化。
通过使薄膜连续受到以下工艺引起所述薄膜的等离子体损伤:
蚀刻:1000W/40mT/10sccm C4F8/200sccm CO/300sccm Ar/100sccm N2,40℃(20秒)
灰化:400W/45mT/100sccm O2,40℃(20秒)
使用3-戊酮中的27%DMDAS实施增韧剂处理。在旋转涂布增韧剂材料后,使所述薄膜在N2中于125℃、200℃和350℃各烘焙1分钟。
记录以下结果:
    测量    蚀刻和灰化前   蚀刻和灰化后    增韧剂处理后
    介电常数(k)     2.7   3.0    2.81
    FTIR(CH/SiO比率)     0.0235   0.017    0.020
    H2O接触角(°)     104   26    85
    击穿强度(MV/cm)     5.51   4.1    5.12
                      实施例3
通过标准旋转涂布方法在Si晶片上形成6000 NANOGLASS 1.9薄膜(市售得自Honeywell International Inc of Sunnyvale,California)。将所述薄膜在425℃下在N2中固化。
通过使薄膜连续受到以下工艺引起所述薄膜的等离子体损伤:
蚀刻:1000W/40mT/10sccm C4F8/200sccmCO/300sccmAr/100sccmN2,40℃(20秒)。
灰化:400W/45mT/100sccm O2,40℃(20秒)。
使用3-戊酮中的27%DMDAS实施增韧剂处理。在旋转涂布增韧剂材料后,使所述薄膜在N2中于125℃、200℃和350℃下各烘焙1分钟。
记录以下结果:
    测量   蚀刻和灰化前   蚀刻和灰化后   增韧剂处理后
    介电常数(k)   1.83   2.69   1.94
    FTIR(CH/SiO比率)   0.0078   0.0027   0.0054
    击穿强度(MV/cm)   4.51   1.22   3.76
                    实施例4
通过使市售的多孔CVD低k介电薄膜连续受到以下方法而赋予其等离子体损伤:
蚀刻:40mT,1400W160Ar/80 CF4/20 O2 40mT,1400W,20秒
灰化:400W/45mT/100sccm O2,40℃(30秒)。
使用3-戊酮中的27%DMDAS实施增韧剂处理。在旋转涂布增韧剂材料后,使所述薄膜在N2中于125℃、200℃和350℃下各烘焙1分钟。
记录以下结果:
    测量   蚀刻和灰化前   蚀刻和灰化启   增韧剂处理后
    介电常数(k)   2.36   2.76   2.39
    FTIR(CH/SiO比率)   0.02473   0.0149   0.013
    击穿强度(MV/cm)   5.06   3.07   4.77
                     实施例5
采用125℃、200℃和350℃的常规烘焙方法制备6000NANOGLASS薄膜,所述薄膜市售得自Honeywell International Inc ofSunnyvale,California。采用425℃下UV固化(3分钟)替代425℃下常规加热炉固化(60分钟)。通过使所述薄膜连续受到以下方法赋予等离子体损伤:
蚀刻:1000W/40mT/10sccm C4F8/200sccm CO/300sccm Ar/100sccm N2,40℃(20秒)
灰化:400W/45mT/100sccm O2,40℃(30秒)
使用3-戊酮中的27%DMDAS实施增韧剂处理。在旋转涂布增韧剂材料后,使所述薄膜在N2中于125℃、200℃和350℃下各烘焙1分钟。采用在实施例2中描述的常规方法使用UV固化的NANOGLASS作为介电材料生成铜单波纹图案结构。金属喷镀前(PVD阻挡层和Cu子晶层沉积和Cu电镀),一些晶片用增韧剂涂布随后在高达350℃下烘焙。金属喷镀后,所有样品在200℃下韧化50分钟。聚焦离子束扫描电子显微镜用于测定空隙的存在。
记录以下结果:
a.一般晶片
 测量  蚀刻和灰化前  蚀刻和灰化启  增韧剂处理后
 介电常数(k)  2.1  2.85  2.25
 FTIR(CH/SiO比率)  0.0082  0.0045  0.0075
 击穿强度(MV/cm)  5.06  3.07  4.77
b.SLM图案晶片
                  实施例6
通过使6000加热炉固化的NANOGLASS薄膜连续受到以下方法而产生等离子体损伤,所述薄膜市售得自Honeywell International Incof Sunnyvale,California。
蚀刻:1000W/40mT/10sccm C4F8/200sccm CO/300sccm Ar/100sccm N2,40℃(20秒)。
灰化(以下方法之一)
O2灰化:400W/45mT/100sccm O2,40℃(20秒或70秒)
或者
N2/H2灰化:500W/45mT/500sccm N2/125sccm H2 10℃(45秒或135秒)。
使用2-庚酮中的9%DMDAS实施增韧剂处理。在旋转涂布增韧剂材料后,使所述薄膜在N2中于125℃、200℃和350℃下各烘焙1分钟。
记录以下结果:
  灰化类型  k(无增韧剂处理)  k(增韧剂处理后)    k减少%
  O2,20秒  2.98  2.36    20.8
  O2,30秒  3.11  2.42    22.2
  O2,70秒  3.53  2.63    25.5
  N2/H245秒  3.04  2.5    17.8
  N2/H2135秒     3.23     2.7     16.4
                   实施例7
通过使6000加热炉固化的NANOGLASS薄膜连续受到以下方法而产生等离子体损伤:
蚀刻:1000W/40mT/10sccm C4F8/200sccm CO/300sccm Ar/100sccmN2,40℃(20秒)。
灰化(以下方法之一):
为了测试增韧剂处理前等待时间的影响,将样品在环境条件下维持1小时至360小时,然后实施增韧剂处理。
使用2-庚酮中的4.5%DMDAS实施增韧剂处理。在旋转涂布增韧剂材料后,使所述薄膜在N2中于125℃、200℃和350℃下各烘焙1分钟。
记录以下结果:
     Q-时间(小时)    k(增韧剂处理后)
     1    2.24
     3    2.28
     27    2.31
     72    2.29
     240    2.38
     360    2.32
             实施例8
通过使6000加热炉固化的NANOGLASS薄膜连续受到以下方法而产生等离子体损伤,所述薄膜市售得自Honeywell International Incof Sunnyvale,California:
蚀刻:1000W/40mT/10sccm C4F8/200sccm CO/300sccm Ar/100sccm N2,40℃(20秒)。
灰化(以下方法之一)
使用2-庚酮中的18%DMDAS实施增韧剂处理。在旋转涂布增韧剂材料后,使所述薄膜在热板上于多种温度下烘焙1分钟。通过增韧剂处理加入的碳的量作为等离子体损伤期间失去的碳的量的百分数测量碳恢复。通过FTIR作为CH(2975cm-1)峰对SiO峰(1055cm-1)的比率测量所述碳量。
记录以下结果:
    烘焙温度     %C恢复     K值
    75℃     66     2.95
    100℃     2.82
    125℃     2.74
    150℃     65     2.72
    175℃     136     2.5
    200℃     127     2.34
    225℃     127     2.3
    250℃     121     2.19
    300℃     127     2.17
    350℃     103     2.2
尽管本发明参照优选的实施方案已经被具体显示和描述,本领域一般技术人员应易于理解可进行多种变化和改进而不脱离本发明的精神和范围。期待权利要求被解释为涵盖所公开的实施方案,以上已经讨论的那些选择及其所有的等价物。

Claims (29)

1.一种用于阻止在基底上的有机硅酸盐玻璃介电薄膜中形成应力诱导的空隙的方法,所述有机硅酸盐玻璃介电薄膜已经施加图案以在其中形成通路和沟道,所述有机硅酸盐玻璃介电薄膜已经在其后受到至少一种处理除去至少部分原有的含碳部分并减小所述有机硅酸盐玻璃介电薄膜的疏水性,所述通路和沟道已经在其后用金属填充,所述金属已经在其后受到韧化处理,所述方法包括使所述有机硅酸盐玻璃介电薄膜在受到至少一种除去原有的含碳部分的处理后,但在所述通路和沟道已经用金属填充前与增韧剂组合物以有效恢复所述有机硅酸盐玻璃介电薄膜的至少一些含碳部分并增加所述有机硅酸盐玻璃介电薄膜的疏水性的浓度和时间进行接触。
2.权利要求1的方法,其中所述除去至少一部分原有的含碳部分和减小所述有机硅酸盐玻璃介电薄膜的疏水性的处理包括至少一种蚀刻剂处理、灰化处理、湿法脱膜处理、清洁处理和PECVD处理。
3.权利要求1的方法,其中所述有机硅酸盐玻璃介电薄膜已经自旋转涂布玻璃材料或化学气相沉积材料中形成。
4.权利要求1的方法,其中所述增韧剂组合物包含用于通过所述有机硅酸盐玻璃介电薄膜的甲硅烷基化将硅烷醇部分烷基化或芳基化的组分。
5.权利要求1的方法,所述方法进一步包括除去未反应的增韧剂组合物、反应产物及其混合物的随后步骤。
6.权利要求1的方法,所述方法进一步包括加热增加疏水性有机硅酸盐玻璃介电薄膜的随后步骤。
7.权利要求1的方法,其中所述有机硅酸盐玻璃介电薄膜是纳米多孔的。
8.权利要求1的方法,其中所述有机硅酸盐玻璃介电薄膜基本上是非多孔的。
9.权利要求1的方法,其中所述增韧剂组合物包含至少一种具有选自下式的化合物:[-SiR2NR′-]n,其中n>2并且可以为环状;R3SiNR′SiR3,(R3Si)3N;R3SiNR′2;R2Si(NR′)2;RSi(NR′)3;RXSiCly,RxSi(OH)y;R3SiOSiR′3;RxSi(OR′)y;RxSi(OCOR′)y;RxSiHy;RxSi[OC(R′)=R”]4-x及其组合,
其中x为1至3的整数,
y为1至3的整数,y=4-x,
每个R独立选自氢和疏水性有机部分;
R′为H或有机部分,和
R”为烷基或羰基。
10.权利要求1的方法,其中所述增韧剂组合物包含至少一种选自以下的化合物:乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基硅烷、三乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、2-三甲基甲硅烷氧基戊-2-烯-4-酮、n-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、n-(三甲基甲硅烷基)咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基(三甲基甲硅烷氧基)乙酸酯、九甲基三硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷、六甲基环三硅氮烷、双(二甲基氨基)二甲基硅烷、双(二乙基氨基)二甲基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)硅烷、二甲基甲硅烷基二甲酰胺、二甲基甲硅烷基二乙酰胺、二甲基甲硅烷基二异氰酸酯、三甲基甲硅烷基三异氰酸酯及其组合。
11.权利要求1的方法,其中所述增韧剂组合物包含二甲基二乙酰氧基硅烷。
12.权利要求1的方法,其中所述增韧剂组合物包含选自酮、醚、酯、烃及其组合的溶剂。
13.权利要求1的方法,其中所述增韧剂组合物以选自液体、蒸气、气体和等离子体的状态与有机硅酸盐玻璃介电薄膜接触。
14.一种形成微电子装置的方法,所述方法包括:
a)使一种有机硅酸盐玻璃介电薄膜应用到基底上;
b)在有机硅酸盐玻璃介电薄膜中形成通路和沟道模式,并使所述有机硅酸盐玻璃介电薄膜受到至少一种处理除去至少部分原有的含碳部分并减小所述有机硅酸盐玻璃介电薄膜的疏水性;
c)使所述有机硅酸盐玻璃介电薄膜与增韧剂组合物以有效增加有机硅酸盐玻璃介电薄膜的疏水性的浓度和时间进行接触;
d)用金属填充通路和沟道;和
e)使所述金属受到韧化处理。
15.权利要求14的方法,其中所述除去至少一部分原有的含碳部分和减小所述有机硅酸盐玻璃介电薄膜的疏水性的处理包括至少一种蚀刻剂处理、灰化处理、湿法脱膜处理、清洁处理和PECVD处理。
16.权利要求14的方法,其中所述增韧剂组合物包含用于通过所述有机硅酸盐玻璃介电薄膜的甲硅烷基化将硅烷醇部分烷基化或芳基化的组分。
17.权利要求14的方法,所述方法进一步包括除去未反应的增韧剂组合物、反应产物及其混合物的随后步骤。
18.权利要求14的方法,所述方法进一步包括加热增加疏水性有机硅酸盐玻璃介电薄膜的随后步骤。
19.权利要求14的方法,其中所述有机硅酸盐玻璃介电薄膜是纳米多孔的。
20.权利要求14的方法,其中所述有机硅酸盐玻璃介电薄膜基本上是非多孔的。
21.权利要求14的方法,其中所述增韧剂组合物包含至少一种具有选自下式的化合物:[-SiR2NR′-]n,其中n>2并且可以为环状;R3SiNR′SiR3,(R3Si)3N;R3SiNR′2;R2Si(NR′)2;RSi(NR′)3;RXSiCly,RxSi(OH)y;R3SiOSiR′3;RxSi(OR)′y;RxSi(OCOR′)y;RxSiHy;RxSi[OC(R′)=R”]4-x及其组合,
其中x为1至3的整数,
y为1至3的整数,y=4-x,
每个R独立选自氢和疏水性有机部分;
R′为H或有机部分,和
R”为烷基或羰基。
22.权利要求14的方法,其中所述增韧剂组合物包含至少一种选自以下的化合物:乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基硅烷、三乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、2-三甲基甲硅烷氧基戊-2-烯-4-酮、n-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、n-(三甲基甲硅烷基)咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基(三甲基甲硅烷氧基)乙酸酯、九甲基三硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷、六甲基环三硅氮烷、双(二甲基氨基)二甲基硅烷、双(二乙基氨基)二甲基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)硅烷、二甲基甲硅烷基二甲酰胺、二甲基甲硅烷基二乙酰胺、二甲基甲硅烷基二异氰酸酯、三甲基甲硅烷基三异氰酸酯及其组合。
23.权利要求14的方法,其中所述增韧剂组合物包含二甲基二乙酰氧基硅烷。
24.权利要求14的方法,其中所述增韧剂组合物包含选自酮、醚、酯、烃及其组合的溶剂。
25.权利要求14的方法,其中所述增韧剂组合物以选自液体、蒸气、气体和等离子体的状态与有机硅酸盐玻璃介电薄膜接触。
26.权利要求14的方法,其中所述蚀刻剂为包括选自氧、氟、氢、氮及其组合的原子、离子和/或自由基的等离子体。
27.权利要求14的方法,其中所述蚀刻剂为包含至少一种选自酰胺、醇、醇胺、胺、三胺、酸、碱及其组合的试剂的湿蚀刻剂。
28.权利要求14的方法,其中所述除去至少一部分原有的含碳部分和减小疏水性的处理包括用至少一种选自乙醇胺、乙二胺、三乙胺、N,N-二乙基乙二胺、二亚乙基三胺、胺、乙二胺四乙酸、有机的、乙酸、甲酸、乙酸四甲铵、硫酸、磷酸、氢氟酸、氟化铵、氢氧化铵、氢氧化四甲铵、羟胺及其组合的试剂处理,条件是所述组合为彼此不相互中和的试剂。
29.一种微电子装置通过包括以下的方法生产:
a)使一种有机硅酸盐玻璃介电薄膜应用到基底上;
b)在有机硅酸盐玻璃介电薄膜中形成通路和沟道模式,并使所述有机硅酸盐玻璃介电薄膜受到至少一种处理除去至少部分原有的含碳部分并且减小所述有机硅酸盐玻璃介电薄膜的疏水性;
c)使所述有机硅酸盐玻璃介电薄膜与增韧剂组合物以有效增加所述有机硅酸盐玻璃介电薄膜的疏水性的浓度和时间进行接触;
d)用金属填充所述通路和沟道;和
e)使所述金属受到韧化处理。
CN200480023744.XA 2003-10-08 2004-09-24 使用甲硅烷基化剂修复低k介电材料的损伤 Expired - Fee Related CN1839468B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US51002403P 2003-10-08 2003-10-08
US60/510,024 2003-10-08
US10/940,686 US8475666B2 (en) 2004-09-15 2004-09-15 Method for making toughening agent materials
US10/940,686 2004-09-15
PCT/US2004/031995 WO2005034194A2 (en) 2003-10-08 2004-09-24 Repairing damage to low-k dielectric materials using silylating agents

Publications (2)

Publication Number Publication Date
CN1839468A true CN1839468A (zh) 2006-09-27
CN1839468B CN1839468B (zh) 2010-11-24

Family

ID=34426157

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200480023744.XA Expired - Fee Related CN1839468B (zh) 2003-10-08 2004-09-24 使用甲硅烷基化剂修复低k介电材料的损伤

Country Status (3)

Country Link
JP (1) JP2007508691A (zh)
CN (1) CN1839468B (zh)
WO (1) WO2005034194A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102782208A (zh) * 2010-03-05 2012-11-14 国际商业机器公司 用于防止导电阳极丝(caf)在印刷电路板生长的疏水硅烷涂层
CN102856251A (zh) * 2012-09-21 2013-01-02 复旦大学 一种低介电常数介质表面去羟基化的方法
CN104143524A (zh) * 2013-05-07 2014-11-12 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN107345137A (zh) * 2016-05-04 2017-11-14 Oci有限公司 能够抑制颗粒出现的蚀刻溶液

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1588411A4 (en) 2003-01-25 2008-10-01 Honeywell Int Inc REPAIR AND RESTORATION OF DAMAGED DIELECTRIC MATERIALS AND FILMS
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
JP5161571B2 (ja) * 2004-09-15 2013-03-13 ハネウェル・インターナショナル・インコーポレーテッド 処理剤物質
JP4591032B2 (ja) * 2004-10-15 2010-12-01 Jsr株式会社 表面疎水化用組成物、表面疎水化方法および半導体装置の製造方法
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
JP5019714B2 (ja) * 2005-01-31 2012-09-05 大陽日酸株式会社 低誘電率膜のダメージ回復法
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
JP5247999B2 (ja) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 基板処理方法およびコンピュータ読取可能な記憶媒体
TW200721311A (en) 2005-10-11 2007-06-01 Toshiba Kk Semiconductor device manufacturing method and chemical fluid used for manufacturing semiconductor device
DE602007000498D1 (de) 2006-04-11 2009-03-12 Shinetsu Chemical Co Siliziumhaltige, folienbildende Zusammensetzung, siliziumhaltige Folie, siliziumhaltiges, folientragendes Substrat und Strukturierungsverfahren
US8088686B2 (en) 2006-04-19 2012-01-03 Taiyo Nippon Sanso Corporation Method of remedying deterioration of insulating film
JP2008091600A (ja) * 2006-10-02 2008-04-17 Sony Corp 半導体装置の製造方法
JP4999419B2 (ja) * 2006-10-12 2012-08-15 東京エレクトロン株式会社 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20080206997A1 (en) * 2007-02-26 2008-08-28 Semiconductor Energy Laboratory Co., Ltd. Method for Manufacturing Insulating Film and Method for Manufacturing Semiconductor Device
JP4413947B2 (ja) 2007-06-21 2010-02-10 株式会社東芝 半導体装置の製造方法
JP5119832B2 (ja) 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
JP2009094183A (ja) 2007-10-05 2009-04-30 Nec Electronics Corp 疎水化多孔質膜の製造方法
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
KR20110125651A (ko) * 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
TWI766488B (zh) * 2020-12-19 2022-06-01 逢甲大學 有機高分子薄膜及其製作方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2868243B2 (ja) * 1989-10-06 1999-03-10 富士通株式会社 半導体装置の製造方法
US5576247A (en) * 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH11135498A (ja) * 1997-10-29 1999-05-21 Hitachi Ltd 半導体装置の製造方法およびその装置
TWI222426B (en) * 1998-04-01 2004-10-21 Asahi Kasei Corp Method for producing a circuit structure
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
JP3266195B2 (ja) * 1999-03-23 2002-03-18 日本電気株式会社 半導体装置の製造方法
JP2001237200A (ja) * 2000-02-24 2001-08-31 Hitachi Ltd 半導体集積回路装置の製造方法
JP2002353308A (ja) * 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
CN1179613C (zh) * 2001-09-20 2004-12-08 联华电子股份有限公司 一种改善有机低介电常数层附着力的表面处理方法
JP3648480B2 (ja) * 2001-12-26 2005-05-18 株式会社東芝 半導体装置およびその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102782208A (zh) * 2010-03-05 2012-11-14 国际商业机器公司 用于防止导电阳极丝(caf)在印刷电路板生长的疏水硅烷涂层
CN102856251A (zh) * 2012-09-21 2013-01-02 复旦大学 一种低介电常数介质表面去羟基化的方法
CN104143524A (zh) * 2013-05-07 2014-11-12 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN107345137A (zh) * 2016-05-04 2017-11-14 Oci有限公司 能够抑制颗粒出现的蚀刻溶液

Also Published As

Publication number Publication date
WO2005034194A3 (en) 2005-09-15
CN1839468B (zh) 2010-11-24
JP2007508691A (ja) 2007-04-05
WO2005034194A2 (en) 2005-04-14

Similar Documents

Publication Publication Date Title
CN1839468A (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
US7678712B2 (en) Vapor phase treatment of dielectric materials
US8475666B2 (en) Method for making toughening agent materials
EP1035183B1 (en) Coating fluid for forming low-permittivity silica-based coating film and substrate with low-permittivity coating film
KR100758892B1 (ko) 밀착 강화층 형성용 재료, 밀착 강화층, 반도체 장치 및 그제조 방법
JP5307963B2 (ja) 誘電フィルム及び材料における疎水性を回復する方法
US6828258B2 (en) Method of forming an insulating film having SI-C, SI-O and SI-H bonds to cover wiringlines of a semiconductor device
CN101060095A (zh) 形成受控的空隙的材料和方法
EP1814150B1 (en) Coating liquid for formation of protective film for semiconductor processing, method for preparation thereof, and protective film for semiconductor processing provided therefrom
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
CN1742363B (zh) 受损电介质材料和电介质膜的修复和恢复
CN1838382A (zh) 制造半导体器件的方法
JP5161571B2 (ja) 処理剤物質
JP2004149714A (ja) 低誘電率非晶質シリカ系被膜形成用塗布液および該塗布液の調製方法
JP2006265350A (ja) 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
CN1507015A (zh) 低介电常数材料以及化学气相沉积(cvd)制备方法
JP2006117763A (ja) 低誘電率非晶質シリカ系被膜形成用塗布液、その調製方法およびこれより得られる低誘電率非晶質シリカ系被膜
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
JP2005175394A (ja) ダマシン法を用いた配線の形成方法及び該配線形成に用いるシリカ系被膜形成用塗布液
KR20050090978A (ko) 저 k 재료용 중간층 접착 촉진제

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101124

Termination date: 20130924