CN1146965C - 硅烷基多纳米孔隙二氧化硅薄膜 - Google Patents

硅烷基多纳米孔隙二氧化硅薄膜 Download PDF

Info

Publication number
CN1146965C
CN1146965C CNB998127647A CN99812764A CN1146965C CN 1146965 C CN1146965 C CN 1146965C CN B998127647 A CNB998127647 A CN B998127647A CN 99812764 A CN99812764 A CN 99812764A CN 1146965 C CN1146965 C CN 1146965C
Authority
CN
China
Prior art keywords
film
parent
nanoporous
sense
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB998127647A
Other languages
English (en)
Other versions
CN1325543A (zh
Inventor
N
N·亨德里克斯
ʷ
D·M·史密斯
T·拉莫斯
S·瓦拉斯
J·德拉格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
AlliedSignal Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AlliedSignal Inc filed Critical AlliedSignal Inc
Publication of CN1325543A publication Critical patent/CN1325543A/zh
Application granted granted Critical
Publication of CN1146965C publication Critical patent/CN1146965C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Mathematical Physics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Silicon Compounds (AREA)
  • Silicon Polymers (AREA)

Abstract

提供在基质上形成疏水多纳米孔隙电介质涂层的改进方法。该改进的方法包括将至少一种单-、双-或三官能的母体和至少一种四官能的母体结合形成反应混合物、回收反应产物、及然后将反应产物沉积到适合的基质上、接着将该沉积膜凝胶化。母体包含烷氧基、乙酸基和卤素离去基团。还提供了可供选择的提高多纳米孔隙二氧化硅膜疏水性的方法,以及通过该新方法制备改进的多纳米孔隙二氧化硅膜、涂布基质和集成电路。

Description

硅烷基多纳米孔隙二氧化硅薄膜
                 有关申请的交叉参考文献
本申请要求临时申请系列号60/098,068的权益,该申请1998年8月27日提交,它的内容全部在此引入作为参考。
                        发明领域
本发明涉及低介电常数的多纳米孔隙二氧化硅及在适用于生产集成电路的基质上生成同样二氧化硅的改进方法。
                        发明背景
由于集成电路接近或低于25μm的特征尺寸,使得互连RC延迟、能量消耗及信号交叉干扰等问题的解决变得愈加困难。相信用于层面间绝缘(ILD)和金属间绝缘(IMD)的低介电常数材料的一体化将有助于解决这些问题。
多纳米孔隙膜
一种低介电常数材料是多纳米孔隙二氧化硅,由于它的纳米级孔隙结构将介电常数为1的空气引入该材料中,因此可被制成具有相对低的介电常数(“k”)。
多纳米孔隙二氧化硅具有吸引力,因为它使用的母体,包括有机取代硅烷例如四甲氧基硅烷(“TMOS”)和/或四乙氧基硅烷(“TEOS”),与目前用于旋装玻璃(spin-on-glass)(“SOG”)及化学蒸气沉积(“CVD”)二氧化硅SiO2的相同。多纳米孔隙二氧化硅有吸引力还因为有可能控制所生成膜材料的孔隙大小及由此它们的密度、材料强度和介电常数。除了低k值外多纳米孔隙二氧化硅还提供其他的优点包括:1)高至900℃的热稳定性,2)充分小的孔径,即至少数量级在小于集成电路微电子特征的范围,3)如上文指出的,由半导体中广泛使用的材料如二氧化硅和TEOS制备,4)能够在广范围内“调整”多纳米孔隙二氧化硅的介电常数,及5)可使用传统SOG方法所用的相同设备完成多纳米孔隙膜的沉积。
以前已用多种方法制备多纳米孔隙二氧化硅膜。例如,已通过传统的方法包括旋转涂布和浸渍涂布,使用溶剂和一种二氧化硅母体的混合物沉积在一种基质如适合于生产集成电路的硅晶片上,来制备多纳米孔隙二氧化硅膜。该基质可随意地在其表面上有凸起的线路,及优选有电子元件和/或电子传导途径结合在其表面上或表面内。旋转制成的膜典型地用酸性或碱性催化剂及附加的水催化引起聚合/凝胶化(“老化”)及产生足够的强度,以使得膜在干燥过程中无明显收缩。
过去制备的多纳米孔隙膜的内孔表面是由硅原子形成的,硅原子以下列任何或全部种类的组合为端基:硅烷醇(SiOH);硅氧烷(SiOSi);烷氧基(SiOR),其中R是有机类,例如但不限于甲基、乙基、异丙基或苯基;或烷基硅烷(SiR),其中R的定义同上。当多纳米孔隙二氧化硅的内表面被大百分比的硅烷醇覆盖时,该内表面是亲水的,可吸收大量的大气水分。即使在下一步加工之前加热使膜脱气,但极性硅烷醇的存在对介电常数和介质损耗可起负面作用。克服这个限制和使多纳米孔隙二氧化硅内孔表面变得较少亲水的过去使用的方法包括将内表面硅烷醇和表面改性试剂反应,表面改性试剂包括如氯硅烷类和二硅烷基胺类。这些可在液相或气相进行的反应生成(SiO)4-xSiRx[其中x是1~3的整数]表面,它通常是疏水的,比它所取代的硅烷醇基团的极性小。
然而,所有过去应用的生产多纳米孔隙二氧化硅膜的方法都使用有机功能部分来提供疏水性。尽管这些含碳多纳米孔隙二氧化硅膜(如在1998年7月7日提出的共有专利申请系列号09/111,084中有说明,它的内容全部作为参考引入本文)在半导体应用中显示了许多优点,但它们仍有几处潜在的不足,包括:
1.含碳物的氧化:在半导体的加工过程中,如在多纳米孔隙二氧化硅膜的沉积之后的等离子体增强化合物蒸气沉积(PECVD)和蚀刻过程中,有机物的存在可能导致一些问题,如高通路阻抗(即由于有机取代基含碳物的氧化,导致蚀刻过程中不受欢迎的残余物沉积在通路上,“毒化”层间接头而损坏预期的集成电路)(如参阅R.J.Hopkens,S.K.Gupta:1989年5月7-12日, ULSI Symposium,ECS,Allied Signal)可能需要另外的加工步骤调整。
2.附加量:例如,加入三甲基甲硅烷基实体(CH3)3Si替换亲水的表面硅烷醇给多纳米孔隙二氧化硅增加了明显的量。其他全部不变,该附加量也可能产生明显更高的折光指数和介电常数,这可能是不合乎需要的。
3.强度:通常对半导体应用需要既有低介电常数又有高强度两者的材料。对多纳米孔隙二氧化硅这两个性质必须平衡。对给定介电常数(折光指数/密度),至少对具体的化学组成而言密度是固定的。随着密度固定,可通过使膜骨架中具有最大固体部分而不是附加表面基团来使多纳米孔隙二氧化硅的强度达最大值。
这样,考虑到微处理器生产领域中快速竞争进展的需要,在该领域中保持着持续改进过去的方法和材料的要求。特别是需要提供具有疏水孔表面,同时使上述有机表面部分不合乎需要的影响最小化的多纳米孔隙二氧化硅膜。特别强烈需要提供纳米级孔表面的物质量降低的多纳米孔隙二氧化硅膜。这后一个性质将在给定所需介电常数时提供更大的材料膜强度。这样,为了所有这些理由,本领域保持了对生产多纳米孔隙膜的方法和组成的要求,这种多纳米孔隙膜适合用于集成电路的生产,具有上述全部所需要的性质,同时能使上文指出的那些技术上的缺点最小化。
                         发明概述
为了解决上述问题并提供其他改进,本发明提供了有效生产低介电常数多纳米孔隙二氧化硅膜的新方法,与过去所得到的相比,该膜具有值得注意较低的合乎需要的介电常数范围,或在同样介电常数下具有较大的强度,同时避免了过去已知方法的缺点
惊奇的是,本发明通过生产一种多纳米孔隙二氧化硅能够达到这个目标,这种多纳米孔隙二氧化硅的孔表面上极大部分极性硅烷醇(SiOH)官能团被氢官能团(SiH)和/或氢官能团与有机官能团的结合所替代。生成新的孔表面也使得所生产的膜有一定的疏水性。这是通过使用合适的起始试剂和方法来实现的。特别是本发明的方法使用了SiH和/或SiC(有机)类作表面改性试剂,代替过去使用的专门依赖以硅-烃化合物为基础的表面改性试剂。甲硅烷基化膜表面上有机部分的比例越低,与孔表面缔合的物质量就越低,由此就达到膜密度的相应降低。这导致有利地降低介电常数,同时保持了膜的机械强度。
因此,本发明提供了在所需基质上形成多纳米孔隙电介质膜或涂层的新方法。该新方法包括以下步骤:
(a)将至少一种多-官能烷氧基硅烷和至少一种四官能烷氧基硅烷混合形成反应混合物。
(b)从所说反应混合物中回收(a)的多纳米孔隙膜母体并将其沉积到合适的基质上。及
(c)将所说沉积膜凝胶化,以使在所说基质上形成多纳米孔隙电介质涂层;其中多官能烷氧基硅烷选自单-、双-或三-官能的烷氧基硅烷。
上述多-官能烷氧基硅烷选自具有下列化学式的硅烷:
An-SiHm          (化学式1)
其中各A是独立的烷氧基(O-R),其中R是有机部分,独立地选自烷基、芳基;其中n是1~3的整数;m是是1~3的整数,m与n的和是4。
优选在本发明方法中使用的四官能烷氧基硅烷具有下列化学式:
A4-Si           (化学式2)
其中各A是独立的烷氧基(O-R),及R是有机部分,独立地选自烷基、芳基。
在本发明另一方面,上述烷氧基硅烷化合物可以全部或部分地被带有乙酸基和卤素-基离去基团的化合物取代。例如母体化合物可以是乙酸基(CH3-CO-O-)化合物如乙酸基硅烷化合物,和/或卤代化合物如卤代硅烷化合物,和/或它们的混合。对卤代母体,卤素是例如Cl、Br、I及在某些情况可任选地包括F。
在本发明更一方面,本发明方法也可包括另外可选择的加工步骤:用封端基团如三甲基甲硅烷基[TMS,(CH3)3SiO-],或其他合适的、本领域已知的疏水试剂,使这种膜的多纳米孔隙表面的游离硅烷醇甲硅烷基化,如在1998年7月7日提出的共有美国专利系列号09/111,084中有说明,它的内容全部作为参考引入本文。这后一种方法的实施使用的表面改性材料,包括有效量的表面改性剂。要处理的多纳米孔隙二氧化硅膜已存在,这种膜具有带亲水孔表面的微孔结构。其反应是将这种亲水的多纳米孔隙二氧化硅膜与表面改性材料接触来进行的,如上文所述,可选择在液相或蒸气相进行。此外,该反应进行的时间周期要足够长,使表面改性剂渗入膜的孔结构中,使所生成处理过的多纳米孔隙二氧化硅膜的介电常数为大约3范围。这个方法还需要表面改性剂是含氢的,适合将氢部分加到孔表面上。
在用下文方法步骤处理之前,可任选地通过本发明的方法或本领域已知的其他方法,在所要求的基质上生成多纳米孔隙二氧化硅电介质膜。该膜典型地具有带亲水孔表面的纳米级微孔结构。
在其他任选方面,用本发明方法生产的膜被进一步涂布,如涂上技术标准旋装玻璃硅基聚合物母体,它包括但不限于LOSPTM和/或HOSPTM硅氧烷(分别为低和高有机硅氧烷聚合物),可商业上购自Allied Signal高级微电子材料公司(Sunnyvale,加利福尼亚)。该等高或低含量有机含量硅氧烷膜与标准SiO2、SiON或SiN类似,典型地在各种集成技术中被用作蚀刻-保护剂或硬掩膜,这些技术适当地包括脱铝法、镶嵌法和刻度镶嵌法。出乎意料地发现,增加这种技术标准旋装玻璃硅基聚合物母体的保护涂层,例如特别是当使用高或低含量有机硅氧烷、抗氧硅氧烷和类似的硅基聚合物母体时,可增加多纳米孔隙二氧化硅膜表面的疏水性。当使用几乎任何适合的旋装玻璃型硅基聚合物母体时,该保护涂层还意外地改进了被处理多纳米孔隙二氧化硅膜的机械强度。
这样,在一个优选的实施方案中,第二种电介质组合物包含一种聚合物,它具有选自化学式3~10的结构:
[H-SiO1.5]n[R-SiO1.5]m                        (化学式3)
[H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m        (化学式4)
[H0-1.0SiO1.5-2.0]n[R-SiO1.5]m                 (化学式5)
[H-SiO1.5]x[R-SiO1.5]y[SiO2]z              (化学式6)
其中,n与m的和,或x、y与z的和是大约8~大约5000,及选择m和y使得含碳取代基的存在量小于大约40个摩尔百分数;且其中R选自取代或未取代的、直链或支链的烷基,环烷基,取代或未取代的芳基及它们的混合;
[HSiO1.5]n[RSiO1.5]m                          (化学式7)
[H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m        (化学式8)
[H0-1.0SiO1.5-2.0]n[R-SiO1.5]m                 (化学式9)
其中,n与m的和是大约8~大约5000,及选择m使得含碳取代基的存在量为大约40个摩尔百分数或更大;及
[HSiO1.5]x[RSiO1.5]y[SiO2]z                (化学式10)
其中,x、y与z的和是大约8~大约5000,及选择y使得含碳取代基的存在量为大约40摩尔%或更大;且其中R选自取代或未取代的、直链或支链的烷基,环烷基,取代或未取代的芳基及它们的混合。
在本发明的其他任选方面,提供本发明方法生成的膜被进一步涂布,如用技术-标准旋涂涂上本领域已知作为抗氧等离子体聚氢化硅氧烷化合物的共聚体组合物,它具有化学通式为:
(HSiO1.5)a(HSiO(OR))b(SiO2)c               (化学式11)
其中R是H和有1~4个碳原子的烷基的混合;a+b+c=1;0.5<a<0.99;0.01<b<0.5;及0<c<0.5。
                           附图简述
图1是表示一种N2气/蒸气相流动装置的示意图。
图2是说明在测定所生产多纳米孔隙膜的相对疏水性中测量“接触角”的图。
                       优选实施方案详述
如在上文“前期技术说明”中所总结,许多在基质上制备多纳米孔隙二氧化硅膜的方法是本领域已知的。这些基质在其接受多纳米孔隙膜的表面上可有凸起的线路,优选的基质适用于例如带有任选电子元件和导电通路的集成电路的生产,导电通路可置于多纳米孔隙膜下面、上面和/或邻近多纳米孔隙膜的地方。此外,1998年3月25日提出的两个共有美国专利申请系列号09/046,475和09/046,473,1998年4月3日提出的美国专利申请系列号09/054,262,1998年4月6日提出的两个美国专利申请系列号09/055,244和09/055,516讲授了许多对一般已知的制备多纳米孔隙膜的方法的变更和改进,它们的内容全部作为参考引入本文。
为了评价本发明方法的特性,必须理解这里使用单数的术语是非限制性的,在适当的地方也包括复数,如可以用应用于“膜”和生产“膜”来描述本发明示范性的方法,这里“膜”是单数,但如需要,其意思是指用所描述的、举例说明的和申请的方法可生产很多膜。
在根据本发明使用的基质上形成的多纳米孔隙二氧化硅膜一般生成的孔隙度为大约50%或更大,以及例如,孔径为大约1nm~大约100nm,更优选为大约2nm~大约30nm,最优选为大约3nm~大约20nm。该含硅组合物,包括微孔在内,其密度为大约0.1~大约1.9g/cm3,更优选大约0.25~大约1.6g/cm3,最优选大约0.4~大约1.2g/cm3
过去方法生产的多纳米孔隙二氧化硅膜具有亲水孔表面,需要进一步用疏水的含碳的表面改性试剂处理。与过去的方法相反,本发明提供的制备多纳米孔隙膜方法中,所制造的纳米级微孔具有疏水孔表面。此外,本发明提供了使这种孔表面进一步改性的方法,它不依赖于含碳表面改性试剂,同时仍使孔表面有足够的疏水性而提供所需的介电常数值和/或在大气湿气存在下介电常数的稳定性。
这样,优选本发明方法生产的多纳米孔隙二氧化硅膜具有对湿气稳定的介电常数,它的值小于约3。更优选本发明多纳米孔隙二氧化硅膜具有的介电常数为大约1.1~大约3.0,甚至更优选大约1.3~大约3.0,最优选大约1.5~大约2.5.此外,优选根据本发明制备的多纳米孔隙二氧化硅膜在周围环境温度下是疏水的,优选厚度在大约0.1~3微米,及优选沉积在适于生产集成电路的无论无格式的(空白的)或有模式的基质上。
有三个加工途径来得到根据本发明多纳米孔隙膜,这些加工途径可单独使用或合起来使用。它们是:
(1)将多-官能烷氧基硅烷即一种或多种单-、双-或三官能母体与一种或多种四官能母体反应来制备多纳米孔隙膜。优选用于本发明方法的母体具有化学式1和/或化学式1特殊实施方案组合表示的通式:
An-SiHm          (化学式1)
其中,各A是独立的烷氧基(O-R)及各R是独立选择的有机部分,可以是烷基和/或芳基,及其中n是1~3的整数;m是1~3的整数,m与n的和是4。
优选R是烷基,及更优选R是直链烷基。在一个实施方案中,各R相同的,虽然这不是必要的特征。
在本发明另一个实施方案中,用于本发明方法的单-功能母体包括甲氧基硅烷(CH3O)SiH3和乙氧基硅烷(CH3CH2O)SiH3)。在本发明另一个实施方案中,用于本发明方法的双-功能母体包括二甲氧基硅烷(CH3O)2SiH2和二乙氧基硅烷(CH3CH2O)2SiH2
在本发明再一个实施方案中,有用的三-功能烷氧基硅烷包括那些其中至少有两个R基团是独立的C1~C4烷基;余下的基团,如果有,则独立地选自烷基、苯基、卤素、取代苯基和它们的混合。为本发明的目的,规定有用的烷氧基部分包括-种有机基团,这种基团可容易地在接近室温的温度下从硅原子上水解。适宜的烷氧基包括乙二氧基或丙二氧基及类似物,优选的全部烷氧基独立地选自一个或多个甲氧基、乙氧基、丙氧基和/或丁氧基。
仅作为实例,优选的用于本发明方法的三-官能硅烷母体包括三甲氧基硅烷((CH3O)3SiH)、三乙氧基硅烷((CH3CH2O)3SiH)及任何本领域熟知的广泛范围的三-官能母体。
为了达到满意的多纳米孔隙二氧化硅强度,这些三-官能母体一般与有下列通式的四-官能硅烷母体混合/反应:
             A4-Si                      (化学式2)
其中各A是烷氧基(O-R)及R的定义同上文三-官能母体所述。优选四-官能烷基硅烷母体包括如TEOS和/或TMOS及本领域所知任何其他适宜的四-官能烷基硅烷母体。
如先前上文所述,在更进一步的实施方案中,烷氧基硅烷化合物可全部或部分地被带有乙酸基和/或卤素-基离去基团的化合物取代。例如,该母体化合物可以有乙酸基(CH3-CO-O-),如乙酸基硅烷化合物,和/或卤代化合物,如卤代硅烷化合物,和/或它们的混合。对卤代母体,卤素是例如Cl、Br、I及在某些情况可任选地包括F。典型的乙酸基母体化合物包括,仅作为实例,四乙酸基硅烷。典型的卤素-基母体化合物包括如二氯硅烷(H2Cl2Si),三氯硅烷(HCl3Si),四氯化硅(Cl4Si)及它们的混合。
对于给定的目标固含量,可使用5-100%摩尔的三-官能母体(如三甲氧基硅烷)取代四-官能母体(如四乙氧基硅烷)。优选单-、双-和/或三-官能母体对四-官能母体的摩尔比范围为大约20%~75%,在某些可选择的实施方案中为50%。
在另外一个实施方案中,氢化硅倍半氧烷(HSiO15)与这种四-官能母体反应。这后一方法也提供了具有所需机械强度的膜,因为硅倍半氧烷中水解了的SiH键可与四-官能母体交联。
如上文前期技术说明中指出的,涂到基质上的膜通常可被酸性或碱性的催化剂和附加的水引起聚合/凝胶化(老化),产生足够的强度,使得膜在干燥过程中没有明显的收缩。在本发明方法中,优选使用挥发性酸蒸气处理使膜老化,这些酸例如盐酸、氢氟酸和/或硝酸,举出的只是本领域已知的少数挥发性酸。酸蒸气在大约1~760托的蒸气压力范围使用,更优选蒸气压力为大约10~大约760托,最优选蒸气压力为大约100~大约760托(毫米汞柱)。通常优选盐酸蒸气。
(2)通过使纳米级孔表面的硅烷醇(SiOH)或烷氧基(SiOR)与反应性硅烷类反应,来任选地处理方法(1)生产的湿膜或用任何其他适合的方法生产的多纳米孔隙膜。这些反应性硅烷类既包含反应基团又包含SiH。反应性硅烷类的实例包括三氯硅烷、二氯硅烷、二甲氧基硅烷、二乙氧基硅烷、乙氧基硅烷、三甲氧基硅烷和三乙氧基硅烷,举出的只是少数。这一加工步骤可以在膜沉积到适宜基质上的过程之中或之后实施,该步骤对所生成多纳米孔隙二氧化硅膜的疏水性提供进一步的调节和/或进一步降低所生成多纳米孔隙二氧化硅膜的介电常数。
(3)通过使孔表面的硅烷醇(SiOH)或烷氧基(SiOR)与反应性硅烷类反应,来任选地处理方法(1)或用任何其他适合的膜生产方法生产的干膜。使用适合的反应性表面改性试剂来实施这个干燥后的处理,这样的表面改性试剂包括硅烷醇(SiOH)和/或烷氧基(SiOR)功能基团。以及如上述(2),这一处理对疏水性提供进一步的调节和/或进一步降低介电常数。
因此如上所述,本发明实施方案使用了任选辅助的表面改性试剂,应理解可选择一种或多种适宜的表面改性试剂,如在1999年1月22日提出的共有美国专利申请系列号09/235,186和1998年7月7日提出的09/111,084中有述,它们的内容全部作为引入本文。这样的表面改性试剂从上文所述的广泛范围的化合物中选择,这些化合物用在蒸气相(参阅下文“A”)和/或液相(参阅下文“B”)表面改性过程中都是有效的。
A.蒸气相表面改性试剂
蒸气相表面改性试剂显示良好的沸点/蒸气压、反应性、纯度,它们使被处理的膜上生成有效的和热稳定的疏水表面,而不引起明显不符合要求的影响。符合要求的蒸气相表面改性试剂具有适合进行根据本发明气相反应的蒸气压。例如,在反应进行的温度下,适宜的表面改性试剂的蒸气压范围为大约1~大约1000托,优选适宜的表面改性试剂的蒸气压范围为大约5~大约750托,最优选表面改性试剂的蒸气压范围为大约10~大约500托。当然,技术人员理解本发明方法所使用的温度范围将影响所需蒸气压范围,而温度范围的变化将在很大程度上取决于所加工的膜在表面改性过程中是湿的还是干的。
适合的蒸气相表面改性试剂包括但不限于:二氯硅烷(H2Cl2Si)、三氯硅烷(HCl3Si)、三甲氧基硅烷[H(OMe)3Si]、和三乙氧基硅烷[H(OEt)3Si]、氯硅烷(H3ClSi)、甲氧基硅烷(H3(CH3O)Si和/或它们的混合物。
在本发明另一些实施方案中,可在基质上的膜干燥之前或之后进行蒸气相表面改性反应,取决于最终所需要的膜厚度和折射指数。可以理解蒸气相反应可通过任何适宜的方法和使用任何适当的装置进行。例如,在一个实施方案中,在有利于硅烷化反应的温度下,使用流动载气流来运载蒸气形式的改性试剂与待处理的载膜基质接触。优选反应被密闭在适合的流动容器或装置中。用实施例通过图1简单地说明了一个这样的装置。
参看图1,载气例如惰性气体如N2气,通过进口(1)进入汽化容器(2),汽化容器中含有汽化的表面改性试剂或它们的混合物如甲氧基硅烷。通过任何本领域已知的方法在汽化容器中产生气体/蒸气混合物,这些方法包括如加热、将载气吹过液相、由管芯材料蒸发、雾化等。所生成的气体/蒸气混合物从载气源通过出口管(4),用泵(3)移入或在正压下流入反应容器进口管(5),通入充分密闭的反应容器(7),在该容器中载膜基质被适当地支撑在平台(8)上。然后,该流动蒸气/气体混合物随意地排入回收设备(未加说明),在那里表面改性试剂冷凝再循环和/或处理掉。或者,该蒸气/气体循环线路可全部或部分地封闭,使得蒸气/气体在反应过程中反复地循环经过反应容器。
当然,技术人员理解可使用任何适宜的本领域已知的与反应过程相容的载气,仅作为实例,包括值得注意的气体如氦气、氩气、或其他相对惰性的气体如上文提及的氮气。本发明方法还可在含有一种或多种含氢还原流体的环境中实施,还原流体可以是液体或气体。
在本发明的另一个实施方案中,在一个静态容器中处理载膜基质,容器中空气被预先抽空,继而在有利于有效硅烷化的条件下将表面改性试剂导入容器中一段时间,使膜表面变得有足够的疏水性。另外一种选择是将载膜基质放在关闭的或被遮盖的容器中的架子上,该容器的底部有足够量的液态表面改性试剂,当表面改性试剂蒸发时便扩散到膜表面而使膜变得有疏水性。
这种表面改性反应一般通过待处理膜和适宜的蒸气形态的表面改性试剂接触,接着在提高的温度下加热相对短暂的一个周期或数个周期来实施。可以在老化过程之前、之中或之后处理膜,但优选多纳米孔隙膜是先老化然后用适宜的表面改性试剂处理。这样,膜在适合的温度下与表面改性试剂接触一段足够长的持续时间,使所处理的膜有效地变得具有疏水性。通常膜与试剂接触,反应在室温或大致室温(例如20~30℃)进行,反应时间周期要足以让试剂扩散入纳米级孔隙中,在孔的内表面经历改性反应。这样,选择反应时间周期以达到最佳工艺效果,一般在大约0.5分钟~大约6小时,在这段时间内保持膜与表面改性试剂接触。优选膜与试剂接触保持大约1分钟。
然后,在空气中或惰性气体如氮气中加热一个或多个时间周期使处理过的膜干燥,每次大约5秒~10分钟,但优选每次加热处理约1分钟。加热步骤是为了迫使反应步骤剩余蒸气相物质如表面改性试剂从孔中移出。加热处理的次数一般为大约1~大约5次,但优选实施两次加热处理。第二次加热处理的温度高于第一次,以保证将试剂除去,同时使膜的热应力最小化。加热处理一般在大约100~大约400℃或更高的温度范围进行,更优选在在大约150~大约350℃。特别是第一次加热处理优选在大约150~200℃的温度范围进行,第二次加热处理优选在大约250~大约350℃的温度范围进行。
B.液相表面改性试剂
当然,只要方便,上述一种或多种表面改性试剂也可在液体形态或溶液中使用。多纳米孔隙二氧化硅膜可直接在用一种或多种表面改性试剂处理之前形成在适宜的基质上,或者,膜可预制在适宜的基质上并储存到需要为止。待处理的多纳米孔隙二氧化硅膜可通过任何本领域已知的方法与液态表面改性试剂接触,这些方法包括如浸入和/或喷雾沉积。在一个优选的实施方案中,硅烷基表面改性试剂旋喷到所制备的依然还潮湿的多纳米孔隙二氧化硅膜上,在另一个实施方案中,多纳米孔隙二氧化硅膜在处理前先干燥。
适合于液相处理本发明多纳米孔隙膜的优选的表面改性试剂包括如硅烷化试剂,例如二氯硅烷(H2Cl2Si)、三氯硅烷(HCl3Si)、三甲氧基硅烷[H(OMe)3Si]、和三乙氧基硅烷[H(OEt)3Si]和/或它们的混合。
与任何适合的低表面张力共溶剂进行溶剂交换。虽然不希望被有关本方法运行的任何理论或假设所限制,但认为更容易使低表面张力的溶剂交换共溶剂渗入多纳米孔隙二氧化硅膜的纳米级孔隙结构,同时避免孔结构的毁坏。对于溶剂交换,任选共溶剂中硅烷化试剂的量在大约1~大约50个体积百分数。这样的共溶剂选自任何惰性溶剂,即不与硅烷化试剂直接反应但与其互溶的溶剂。在一个优选实施方案中,使用丙酮作为溶剂交换的共溶剂。
然后液相处理过的膜用任选适合的方法干燥,如在适宜的转动速度下用短暂的时间周期旋转干燥,例如转速为大约100~5000rpm,时间周期为大约1~20秒,但优选转速为大约1000rpm,时间为大约5秒钟。
然后烘烤涂布的膜,由此固化使得在膜内形成纳米级孔隙结构。一般固化可使用本领域已知的任何方法来完成。优选首先在空气中加热或烘烤让膜干燥来实施固化,需加热和烘烤一个或多个时间周期,每个时间周期范围为大约5秒~10分钟,但优选每次加热处理1分钟。加热可选择一步进行,但更优选使用带顺序升温的一系列步骤来进行,以便驱除剩余溶剂,提供最终固化步骤完全聚合。
加热处理次数一般为大约1~大约5次,优选进行两次加热处理,第二次加热处理的温度高于第一次,以保证除去剩余溶剂,同时使膜的热应力减小到最小程度。一般进行加热处理的温度范围为大约100~400℃或更高。特别是,优选在150~200℃的温度下进行第一次加热处理,在250~350℃的温度下进行第二次加热处理,完成固化过程。
C.为平面化的高或低含量有机硅氧烷电介质层
如上述多官能母体制备的多纳米孔隙电介质膜被涂到基质上并固化,可任选在这固化了的第一层电介质组合物膜上涂上至少另一层如第二层电介质组合物膜,然后再固化。第二层电介质组合物膜包括一种适合于使预期集成电路表面变平的电介质膜。在本发明的另一个实施方案中,在基质上涂上第一层多纳米孔隙二氧化硅电介质膜,然后第二层电介质组合物膜涂到第一层电介质组合物膜上,然后两层膜一起固化。
仅作为实例,将适于形成上层或第二层的电介质材料的聚合物母体分散在适合的相容溶剂中,涂到下层电介质上。适合的溶剂组合物包括那些沸点大约或低于120℃的溶剂,优选大约或低于100℃。适合的高挥发性溶剂组合物不仅包括甲醇、乙醇、正丙醇、异丙醇、正丁醇、乙酸丙酯及它们的混合物,本领域技术人员很容易决定与不同组分相容的其他相对高挥发性的溶剂组合物。优选溶剂的存在量是全部混合物重量的大约10%~大约90%,更优选大约15%~大约80%,及最优选是含有聚合物的全部混合物重量的大约40%~大约60%。
可通过上述传统的涂布方法将上层电介质材料涂到已涂了一层的基质上。基质上电介质膜厚度的变化取决于涂到基质上的液体电介质的量,一般厚度范围为大约500(~大约50,000,优选大约1000~大约12000)。涂到基质上的电介质液体的量可在大约1ml~大约10ml,优选大约2ml~大约8ml的范围变化。在一个优选的实施例中,根据与上述相同的技术该液体材料是旋喷到下层电介质表面上的。
优选附加的一层或多层电介质层包含具有结构I或结构II的聚合物。结构I的聚合物所具有的有机含量低,如其中含碳取代基的存在量少于大约40摩尔百分数。在1998年3月20日提出的美国专利申请系列号09/044,831中更充分地描述了这些聚合物,该文在此引入作为参考。下列化学式3~9包括了硅氧烷聚合物,它们的结构为:
    [H-SiO1.5]n[R-SiO1.5]m                        (化学式3)
    [H104-1.0SiO1.5-1.8]n[R0.4-1.0-SiO1.5-1.8]m       (化学式4)
    [H0-1.0SiO1.5-2.0]n[R-SiO1.5]m                  (化学式5)
    [H-SiO1.5]x[R-SiO1.5]y[SiO2]z               (化学式6)
其中,n与m的和,或x、y与z的和是大约8~大约5000,及选择m和y使得含碳取代基的存在量小于大约40个摩尔百分数;R选自取代或未取代的、直链或支链的烷基,环烷基,取代或未取代的芳基及它们的混合;含碳取代基具体的摩尔百分数是起始物料量比例的函数。在优选的实施方案中含碳取代基的摩尔百分数是大约15摩尔百分数~大约25摩尔百分数。
在本方面另一个实施方案中,附加的一层或多层电介质层可包含结构II的聚合物,它具有高有机含量,如其中含碳取代基的存在量为大约或高于40个摩尔百分数。在1998年3月20日提出的美国专利申请系列号09/044,798中更充分地描述了这些聚合物,该文在此引入作为参考。这样的聚合物具有化学式6~10:
    [HSiO1.5]n[RSiO15]m                          (化学式7)
    [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m       (化学式8)
    [H0-1.0SiO1.5-2.0]n[RSiO1.5]m                 (化学式9)
其中,n与m的和是大约8~大约5000,及选择m使得含碳取代基的存在量由大约40个摩尔百分数或更大;及
[HSiO1.5]x[RSiO1.5]y[SiO2]z                    (化学式10)
其中,x、y与z的和是大约8~大约5000,及选择y使得含碳取代基的存在量为大约40个摩尔%或更大;且其中R选自取代或未取代的、直链和支链的烷基,环烷基,取代或未取代的芳基及它们的混合。含碳取代基具体的摩尔百分数是起始物料量比例的函数。
根据化学式3~10的聚合物母体的聚合物主链拥有交替的硅和氧原子。与过去已知的有机硅氧烷树脂相反,聚合物I和II基本没有羟基和烷氧基连接到主链的硅原子上。相反,除了上述主链的氧原子外,每个硅原子仅与氢原子和/或与上述化学式中定义的R基团连接。由于聚合物中仅有氢和/或R基团直接与主链硅原子连接,就避免了有害的链延长和交联,即储存的溶液更加稳定。聚合物中每个硅原子与至少三个氧原子连接。与聚合物主链连接的部分还包括氢和有机部分。
根据化学式3~10的聚合物母体可通过使用催化剂的二元相溶剂体系来制备。例如,原料可以是三氯硅烷及有机三氯硅烷,无论烷基或芳基取代的三氯硅烷。三氯硅烷和有机三氯硅烷的相对比例决定聚合物中含碳取代基的摩尔百分数。
结构式3~10的聚合物母体的制备如下:将至少一种有机三卤硅烷和氢化三卤硅烷的溶液混合形成混合物,该混合物与既包括一种非极性溶剂又包括一种极性溶剂的二元相溶剂混合,将催化剂加到二元相溶剂和三卤硅烷混合物中,这样提供了一种二元相反应混合物,使二元相反应混合物反应生成有机氢化硅氧烷,在二元相溶剂体系的非极性部分回收有机氢化硅氧烷。在1999年6月9日提出的共有的美国申请系列号09/328,548提供了制备这些聚合物的其他资料,它的内容全部作为参考引入本文。
D.抗氧等离子体的氢化硅氧烷
在另一个任选实施方案中,本发明电介质膜涂有一层或多层由化学式11的聚合物母体形成的附加电介质层,这有利于提供附加的机械强度和改进表面疏水性。过去评价这些聚合物母体提供了抗氧等离子体的电介质膜和涂层,以利于进一步加工需要的集成电路器件。
(HSiO1.5)a(HSiO(OR))b(SiO2)c             (化学式11)
其中,R是H和有1~4个碳原子的烷基的混合;a+b+c=1;0.5<a<0.99;0.01<b<0.5;及0<c<0.5。
优选a和b是零,则化学式11提供了一种抗氧等离子体的氢化硅倍半氧烷。
简短地说,这些聚合物可由如三烷氧基硅烷或四烷氧基硅烷或它们的混合物作原料来制备,使用塑料反应容器或玻璃容器,容器要预先处理以减少痕量金属污染。本领域熟知这样的预处理。在有些实施方案中,三乙氧基硅烷(HTEOS)是原料。反应容器中装上HTEOS和一种非质子溶剂如丙酮提供一种反应混合物。或者使用其他的非质子溶剂如乙酸乙酯、乙酸正丙酯、或四氢呋喃。搅拌下将一种酸/水混合物如硝酸和水滴加到反应混合物中。可选择使用其他的酸如乙酸、甲酸和磷酸。在滴加酸/水混合物过程中该反应混合物的温度随意地保持在20℃以下。一旦酸/水混合物滴加完毕,所生成的溶液加热回流大约6~9小时,生成根据化学式11的聚(氢化硅氧烷)共聚物的溶液。
另外可在室温进行合成。HTEOS、水、酸和非质子溶剂装入一个塑料容器,反应混合物在室温(21℃)搅拌大约3天~14天,提供化学式11的聚(氢化硅氧烷)共聚物的溶液。可在1998年3月12日提出的共有美国系列号09/039,289中,发现化学式11的聚合物的制备和表征的进一步的详情,它的内容全部作为参考引入本文。
E.膜表面巯水性
1. 疏水性和介电常数
为了简单和方便,下面提供的多数实施例都通过定性测定所生成膜的疏水性来评估本发明多纳米孔隙二氧化硅膜表面处理的成功性。不为任何理论和假设所限制,认为这对各种方法生产的膜提供了一种有用和相对的比较,因为相信所生成膜的疏水程度能有效地测量膜的孔表面硅烷醇部分被硅烷化的比例。这还为成功生产所需介电常数低的膜提供了一个标志。例如,如在下面实施例中表明的,接触角在大约45~大约90度的范围表示膜已被充分处理过,具有合乎要求的疏水性质。
然而应当理解,在比较不同的是反应方法时,测定所生成膜的疏水性质是最有意义的,而这些方法使用的表面改性试剂本身的疏水程度相同的。
2.测定所生产膜的疏水性质
为读者的方便,以便充分理解本发明方法的优点,下面提供了“接触角”的讨论。为了确认多纳米孔隙膜的孔表面处理的成功性,陈述了一个评估各膜表面疏水性的方法。方法之一,仅作为实例,是测定置于被测表面上的水滴的“接触角”。接触角通过显示一滴水润湿膜表面或在膜表面上扩散的好或不好来提供定性地测定相对疏水性。与疏水表面上的一个小水滴相比,在疏水性差的基质表面上的一滴水在该表面上形成一个低而宽的圆顶。这样接触角的测量提供了对测试表面上水滴弯曲度的评估,作为对表面疏水性的定性测定。
参考图2,把一滴水放在被测表面上进行具体的“接触角”测量。该待测膜放在一个安装好的底基上,使得该被测膜充分水平,以及与地球表面平行。放置一个垂直标记面如卡片纸板、塑料或纸面,使得水滴处于垂直面和观察者之间。从侧向观测水滴,然后将水滴(2)的中央顶端(1)标记在后面的垂直面上,在水滴上最高曲率点(3)的左方或右方作第二个标记。通过这个标记到膜(5)表面画直线(4),然后测量角(6)提供的近似值来测定每个水滴的接触角。
下列非限制性实施例将进一步解释和说明本发明。
                          实施例1
这个实施例用实验说明可使用硅烷单体和TEOS结合生产膜,在膜的孔表面含有氢基。基本概念是氢基团比二氧化硅对溶剂具有更高亲合性,因此只要形成多纳米孔隙二氧化硅膜,氢基团就将在其孔的表面。这些氢基团的存在使多纳米孔隙二氧化硅膜有疏水性。
实施例使用50摩尔%的三甲氧基硅烷取代四乙氧基硅烷。
1.母体合成是将52.5mL四乙氧基硅烷(Pacific Pac,Hollister,CA 95023),33.4mL三甲氧基硅烷(Gelest,Tullytone,PA19007),47.0 mL三甘醇单甲醚(Pacific Pac,Hollister,CA 95023),8.4mL去离子水和0.34mL 1N的硝酸(J.T.Baker,Phillipsberg,NJ06885)一起加入圆底烧瓶。让溶液有力地混合,然后加热到~80℃并回流1.5小时,形成一种溶液。该溶液冷却后用乙醇(Ricca化学公司,Alington,TX 76012)稀释25重量%以减少粘度。该稀释的母体用TeflonTM过滤器过滤到0.1μm。
2.大约8.0~10.0mL母体沉积到8”英寸硅晶片上,硅晶片在一个旋转的卡盘上,以2500rpm的速度旋转30秒钟。
3.所生成的膜在真空箱中在下列条件下凝胶化和老化:1)真空箱抽真空到负20英寸汞柱。2)然后,通过与HCl蒸气接触使膜老化,HCl蒸气是在约300托时被允许进入真空箱的。HCl处理在室温下实施大约2~大约5分钟的时间周期。3)最终,真空箱抽真空到负20英寸汞柱,然后用氮气回充。
4.然后该膜用20~30mL丙酮(Pacific Pac,Hollister,CA 95023)进行溶剂交换,以250rpm的速度在膜上旋喷20秒钟不让膜干燥。于是该膜在1000rpm的速度下旋转干燥5秒钟。
5.所生成的膜在升高的温度下加热,在本实施例中是在空气中在175℃和320℃,各加热1分钟。
所述方法生成的多纳米孔隙二氧化硅膜具有以下特征:
膜厚度为大约9000埃;
所测量的折射指数为大约1.14;
以水滴接触角大于45度表示的疏水膜表面;
所测定膜介电常数为大约或小于2.0。
                          实施例2
这个实施例用实验说明亲水纳米玻璃膜可与含氢硅烷反应而使表面疏水。这个实施例的基本概念是常规纳米玻璃老化膜(即微孔内仍含有流体)可使用任何下列试剂硅烷化。氯和烷氧基(如OR)硅烷化试剂在H2O存在下可自-反应,然后与孔表面反应,或它们可直接与孔表面反应。
1.母体合成是将104.0mL四乙氧基硅烷(Pacific Pac,Hollistet,CA 95023),47.0mL三甘醇单甲醚(Pacific Pac,Hollister,CA 95023),8.4mL去离子水和0.34mL 1N的硝酸(J.T.Baker,Phillipsberg,NJ 06885)一起加入圆底烧瓶。让溶液有力地混合,然后加热到~80℃并回流1.5小时,形成一种溶液。该溶液冷却后用乙醇(Ricca化学公司,Alington,TX 76012)稀释25重量%以减少粘度。该稀释的母体用TeflonTM过滤器过滤到0.1μm。
2.大约8.0~10.0mL母体沉积到8”英寸硅晶片上,硅晶片在一个旋转的卡盘上,以2500rpm的速度旋转30秒钟。
3.如上文实施例1所述,所生成的膜在真空箱中凝胶化和老化。
然后上述步骤生成的膜用20~30mL的三氯硅烷(Aldrich化学公司,Milwaukee,WI 53201)在丙酮(Pacific Pac,Hollister,CA 95023)中以5/95(以体积计)比例的混合物进行溶剂交换,以250rpm的速度在各膜上旋喷20秒钟,不让膜干燥。所生成的膜在1000rpm的速度下旋转干燥5秒钟。
1.旋转的膜在升高的温度下加热,在本实施例中是在空气中在175℃和320℃,各加热1分钟。
所述方法在所选基质上生成的多纳米孔隙二氧化硅膜具有以下特征:
膜厚度为大约9000埃;
所测量的折射指数为大约1.14;
以水滴接触角大于45度或更好表示的疏水膜表面;及
所测定膜介电常数为大约或小于2.0。
                          实施例3
这个实施例用实验说明亲水纳米玻璃膜可与含氢硅烷反应使表面变得疏水或更加疏水。
1.母体合成是将104.0mL四乙氧基硅烷(Pacific Pac,Hollister,CA 95023),47.0mL三甘醇单甲醚(Pacific Pac,Hollister,CA 95023),8.4mL去离子水和0.34mL 1N的硝酸(J.T.Baker,Phillipsberg,NJ 06885)一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时,形成一种溶液。该溶液冷却后用乙醇(Ricca化学公司,Alington,TX 76012)稀释25重量%以减少粘度。该稀释的母体用TeflonTM过滤器过滤到0.1μm。
2.大约8.0~10.0mL母体沉积到8”英寸硅晶片上,硅晶片在一个旋转的卡盘上,以2500rpm的速度旋转30秒钟。
该膜如上文实施例1在真空箱中凝胶化和老化。
3.所生成的膜在升高的温度下加热,在本实施例中是在空气中在175℃和320℃,各加热1分钟。
4.在陪替氏培养皿底部加5mL三氯硅烷(Aldrich化学公司,Milwaukee,WI 53201)使膜静态硅烷化20.0分钟。膜放置在陪替氏培养皿中的架子上,培养皿盖上盖。三氯硅烷从培养皿蒸发并扩散到膜中促进孔表面的硅烷化。
5.所生成的膜再在升高的温度下加热,在空气中在175℃和320℃,各加热1分钟。
所述方法在所选基质上生成的多纳米孔隙二氧化硅膜具有以下特征:
膜厚度为大约9000埃;
所测量的折射指数为大约1.14;
以水滴接触角大于45度或更好表示的疏水膜表面;
所测定膜介电常数为大约或小于2.0。
                          实施例4
这个实施例用实验说明可制备多纳米孔隙二氧化硅膜,及然后溶剂交换提供有SiC和SiH键的孔表面。
方法
1.母体合成是将104.0mL四乙氧基硅烷(Pacific Pac,Hollister,CA 95023),51.0mL三甘醇单甲醚(TriEGMME)(PacificPac,Hollister,CA 95023),8.4mL去离子水和0.34mL 1N的硝酸(J.T.Baker,Phillipsberg,NJ 06885)一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时,形成一种溶液。该溶液冷却室温后将其储存到4℃冰箱中。用乙醇(Ricca化学公司,Alington,TX 76012)稀释25重量%以减少粘度。该稀释的母体用TeflonTM过滤器过滤到0.1μm。
2.大约8.0~10.0mL母体沉积到8英寸硅晶片上,并以2500rpm的速度旋转30秒钟。
3.该膜在真空箱中用下列条件凝胶化和老化:1)该真空箱抽真空到-20“Hg.2)然后,15M氢氧化铵(Aldrich化学公司,Milwaukee,WI 53201)在45℃加热并达到平衡,送入真空箱中使压力增加到-4.0“Hg,保持3分钟。3)最终,真空箱抽真空到-20.0“Hg,然后用空气回充。
4.然后该膜与5%(按重量计)甲基三乙酸基硅烷(MTAS)(Gelest有限公司,Tullytone,PA 19007)在3-戊酮(Aldrich化学公司,Milwaukee,WI 53201)中混合在一起的混合物进行溶剂交换。大约20~30mL的这种混合物沉积到膜上,同时以250rpm的速度旋转20秒钟,不让膜干燥。然后该膜在1000rpm的速度下旋转干燥5秒钟。
5.上述膜在升高的温度下,在空气中在175℃和320℃各加热1分钟,让其在环境温度下冷却,装到一个石英片支架上,然后插入一个垂直管炉中。炉子中的样品保持在N2气流下,N2气流速为67升/分,炉子的初温大约为150℃,然后以每分钟20℃的速度梯度升温到400℃。温度升到400℃后在该温度下保持0.5小时。这样生成了5,000~6,000的膜。
6.由Allied Signal高级微电子材料公司(Synnyvale,California)生产的称为LOSPTM的低有机硅氧烷聚合物含有硅-氧主链,将该聚合物沉积到以3000rpm旋转的膜的顶上,旋转的时间为20秒钟。该聚合物每个硅原子上有3个氧原子,同时剩余的价态有大约40%的烷基和60%的氢。
7.该新的膜在150℃、200℃和350℃各加热1分钟,冷却到环境温度,装到一个石英片支架上,然后插入一个垂直管炉中,炉子里样品保持在N2气流下,N2气流速为67升/分。如上所述,炉子的初温大约为150℃,然后以每分钟20℃的速度梯度升温到400℃。膜在400℃保持0.5小时。
结果
所生成的膜用傅立叶变换红外(“FTIR”)、横切面扫描电子显微镜(“SEM”)、用光显微镜(“LM”)检查裂纹/粘附。
FTIR图谱(未列出)显示在溶剂交换后,所生成膜存在的吸收峰有C-H(2970cm-1)、Si-H(2250cm-1)及Si-C(1270cm-1),证实该膜含有合乎要求的C-H、Si-H和Si-C键比例。另外,LM观察在低倍放大观测时证实所试验的膜看来没有裂纹。SEM横切面表明没有层间断裂及膜对基质的良好的粘附。
                           实施例5
这个实施例用实验说明可制备多纳米孔隙二氧化硅膜,然后溶剂交换使得孔表面主要由SiH键组成。
1.母体合成是将104.0mL四乙氧基硅烷(Pacific Pac,Hollister,CA 95023),51.0mL三甘醇单甲醚(TriEGMME)(PacificPac,Hollister,CA 95023),8.4mL去离子水和0.34mL 1N的硝酸(J.T.Baker,Phillipsberg,NJ 06885)一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时,形成一种溶液。该溶液冷却室温后将其储存到4℃冰箱中。用乙醇(Ricca化学公司,Alington,TX 76012)稀释25重量%以减少粘度。该稀释的母体用TeflonTM过滤器过滤到0.1μm。
2.大约8.0~10.0mL母体沉积到8英寸硅晶片上,并以2500rpm的速度旋转30秒钟。
3.该膜在真空箱中用下列条件凝胶化和老化:1)该真空箱抽真空到-20“Hg.2)然后,15M氢氧化铵(Aldrich化学公司,Milwaukee,WI 53201)在45℃加热并达到平衡,并送入真空箱中使压力增加到-4.0“Hg,保持3分钟。3)最终,真空箱抽真空到-20.0“Hg,然后用空气回充。
4.然后该膜与5%(按重量计)甲基三乙酸基硅烷(MTAS)(Gelest有限公司,Tullytone,PA 19007)在3-戊酮(Aldrich化学公司,Milwaukee,WI 53201)中混合在一起的混合物进行溶剂交换。大约20~30mL的这种混合物沉积到膜上,同时以250rpm的速度旋转20秒钟,不让膜干燥。然后该膜在1000rpm的速度下旋转干燥5秒钟。
1.上述膜在升高的温度下,在空气中在175℃和320℃各加热1分钟,冷却到环境温度,装到一个石英片支架上,然后插入一个垂直管炉中。在炉子里样品保持在N2气流下,N2气流速为67升/分,如上所述,炉子的初温大约为150℃,然后以每分钟20℃的速度梯度升温到400℃。膜在400℃下保持0.5小时。这样生成了5,000~6,000厚的膜。
由Allied Signal生产的称为OPXTM(一种抗氧等离子体的氢化硅倍半氧烷)的聚合物沉积到以3000rpm旋转的膜的顶上,旋转的时间为20秒钟。该聚合物几乎每个硅原子上有1个氢,同时剩余的价态上有氧原子。
2.该新的膜在80℃、150℃和200℃各加热1分钟,冷却到环境温度,然后装到一个石英片支架上,插入一个垂直管炉中,在炉子里样品保持在N2气流下,N2气流速为67升/分。如上所述,炉子的初温大约为150℃,然后以每分钟20℃的速度梯度升温到400℃。膜在400℃保持0.5小时。
结果
如上文实施例4所述,所处理的膜用FTIR、LM和SEM测试。
与实施例4的产物一样,FTIR图谱(未列出)显示下列区域的吸收峰有C-H(2970cm-1)、Si-H(2250cm-1)及Si-C(1270cm-1)。在低倍LM放大观测时该膜看来没有裂纹。SEM横切面表明没有层间断裂,膜对基质的良好的粘附。
                          实施例6
这个实施例用实验说明可制备多纳米孔隙二氧化硅膜,然后溶剂交换使得孔表面主要包含SiC和SiH键。
1.母体合成是将104.0mL四乙氧基硅烷(Pacific Pac,Hollister,CA 95023),51.0mL三甘醇单甲醚(TriEGMME)(PacificPac,Hollister,CA 95023),8.4mL去离子水和0.34mL 1N的硝酸(J.T.Baker,Phillipsberg,NJ 06885)一起加入圆底烧瓶。让溶液激烈混合,然后加热到~80℃并回流1.5小时,形成一种溶液。该溶液冷却室温后将其储存到4℃冰箱中。用乙醇(Ricca化学公司,Alington,TX 76012)稀释25重量%以减少粘度。该稀释的母体用TeflonTM过滤器过滤到0.1μm。
2.大约8.0~10mL母体沉积到8英寸硅晶片上,并以2500rpm的速度旋转30秒钟。
3.该膜在真空箱中用下列条件凝胶化和老化:1)该真空箱抽真空到-20“Hg.2)然后,15M氢氧化铵(Aldrich化学公司,Milwaukee,WI 53201)在45℃加热并达到平衡,并送入真空箱中使压力增加到-4.0“Hg,保持3分钟。3)最终,真空箱抽真空到-20.0“Hg,然后用空气回充。
4.然后该膜与5%(按重量计)甲基三乙酸基硅烷(MTAS)(Gelest有限公司,Tullytone,PA 19007)在3-戊酮(Aldrich化学公司,Milwaukee,WI 53201)中混合在一起的混合物进行溶剂交换。大约20~30mL的这种混合物沉积到膜上,同时以250rpm的速度旋转20秒钟,不让膜干燥。然后该膜在1000rpm的速度下旋转干燥5秒钟。
5.上述膜在升高的温度下,在空气中在175℃和320℃各加热1分钟,冷却到环境温度,装到一个石英片支架上,然后插入一个垂直管炉中。在炉子里样品保持在N2气流下,N2气流速为67升/分,如上所述炉子的初温大约为150℃,然后以每分钟20℃的速度梯度升温到400℃。膜在400℃下保持0.5小时。这样生成了5,000~6,000厚的膜。
6.由Allied Signal高级微电子材料公司(Synnyvale,California)生产的称为HOSPTM的高有机硅氧烷聚合物含有硅氧主链,它沉积到以3000rpm旋转的膜的顶上,旋转的时间为20秒钟。该聚合物每个硅原子上有3个氧原子,同时剩余的价态上有大约60%烷基和40%氢。
7.该新的膜在150℃、200℃和350℃各加热1分钟,然后在氮气下在400℃梯度程序中保持0.5小时固化。
8.上述膜用FTI、SEM横切面、用LM检查裂纹/粘附和水滴渗透来表征。

Claims (23)

1.一种在基质上的多纳米孔隙电介质膜,其制备方法包括:
a)将至少一种单-、双-或三-官能母体和至少一种四-官能母体在反应混合物中结合形成多纳米孔隙膜母体,
b)从所说反应混合物中回收(a)的多纳米孔隙膜母体并将其沉积到合适的基质上,及
c)将所说沉积膜凝胶化,以便在所说基质上形成多纳米孔隙电介质涂层;
其中所说单-、双-或三-官能母体具有1~3个官能离去基团;并且所述单-、双-或三-官能母体是一种具有下列化学式烷氧基硅烷:
An-SiHm                (化学式1)
其中各A是独立的烷氧基O-R,及R是独立地选自烷基和芳基的有机部分;其中n是1~3的整数;m是是1~3的整数,及m与n的和是4;或者其中单-、双-或三-官能母体是一种乙酸基化合物,例如乙酸基硅烷化合物和/或卤代化合物,例如卤代硅烷化合物和/或它们的组合;并且其中回收的多纳米孔隙膜母体通过一种选自旋转沉积、浸渍涂布、喷雾沉积及它们的结合的方法沉积到所说基质上。
2.权利要求1的多纳米孔隙电介质膜,其制备方法中单-、双-或三-官能母体选自单-、双-或三-官能烷氧基硅烷,单-、双-或三-官能卤代硅烷及它们的混合物。
3.权利要求1的多纳米孔隙电介质膜,其制备方法中所说四官能母体是-种具有下列化学式的烷氧基硅烷:
A4-Si                 (化学式2)
其中各A是独立的烷氧基O-R,及R是独立地选自烷基和芳基部分的有机部分。
4.权利要求1的多纳米孔隙电介质膜,其制备方法中所说反应混合物进一步包括水和一种有机溶剂。
5.权利要求1的多纳米孔隙电介质膜,其制备方法中所说四-官能母体选自四甲氧基硅烷、四乙氧基硅烷、四乙酸基硅烷、四氯硅烷和它们的混合物。-、双-或三-官能母体选自甲氧基硅烷、乙氧基硅烷、二甲氧基硅烷、二乙氧基硅烷、三甲氧基硅烷、三乙氧基硅烷、二氯硅烷、三氯硅烷、及它们的混合物。
7.权利要求1的多纳米孔隙电介质膜,其制备方法中所说四-官能母体被氢化硅倍半氧烷化合物取代。
8.权利要求1的多纳米孔隙电介质膜,其制备方法中所说反应在大约0℃~大约120℃的温度范围进行。
9.权利要求8的多纳米孔隙电介质膜,其制备方法进一步包括将反应混合物冷却及用乙醇稀释反应混合物,以帮助多纳米孔隙膜母体的回收。
10.权利要求1的多纳米孔隙电介质膜,其制备方法进一步包括用表面改性试剂处理膜,该表面改性试剂能有效地使膜变得疏水。
11.权利要求10的多纳米孔隙电介质膜,其制备方法中表面改性试剂是在一种包含一种酮溶剂的溶液中应用的。
12.权利要求11的多纳米孔隙电介质膜,其制备方法中表面改性试剂溶液包括一种选自甲基三乙酸基硅烷、3-戊酮及其混合物的组分。
13.权利要求10的多纳米孔隙电介质膜,其制备方法进一步包括另外的步骤:用至少一层含有硅-基聚合物母体的附加层覆盖已形成的多纳米孔隙电介质膜。
14.权利要求1的多纳米孔隙电介质膜,其制备方法进一步包括另外的步骤:用至少一层含有硅-基聚合物母体的附加层覆盖已形成的多纳米孔隙电介质膜。
15.权利要求13或14的多纳米孔隙电介质膜,其制备方法中硅-基聚合物母体选自抗氧等离子体的硅氧烷、低有机含量硅氧烷和高有机含量硅氧烷。
16.权利要求1的多纳米孔隙电介质膜,其制备方法进一步包括加热在步骤(c)中已涂布的基质,以除去残留的反应物和溶剂。
17.一种集成电路,它包括至少一层根据权利要求1的多纳米孔隙电介质涂层。
18.一种在基质上形成多纳米孔隙电介质膜的方法,该方法包括以下步骤:
(a)将至少一种单-、双-或三-官能母体和至少一种四-官能母体在反应混合物中结合形成多纳米孔隙膜母体,
(b)从所说反应混合物中回收(a)的多纳米孔隙膜母体并将其沉积到合适的基质上,及
(c)将所说沉积膜凝胶化,以便在所说基质上形成多纳米孔隙电介质涂层;
其中所说单-、双-或三-官能母体具有1~3个官能离去基团。
19.权利要求18的方法,其中单-、双-或三-官能母体选自单-、双-或三-官能烷氧基硅烷,单-、双-或三-官能卤代硅烷及它们的混合物。
20.权利要求18的方法,其中单-、双-或三-官能母体是一种具有下列化学式的烷氧基硅烷:
An-SiHm              (化学式1)
其中各A是独立的一种烷氧基O-R,及R是独立地选自烷基和芳基的有机部分;其中n是1~3的整数;m是1~3的整数,及m与n的和是4。
21.权利要求18的方法,其中所说四官能母体是一种具有下列化学式的烷氧基硅烷:
A4-Si                (化学式2)
其中各A是独立的一种烷氧基O-R,及R是独立地选自烷基和芳基的有机部分,。
22.权利要求18的方法,其中所说反应混合物进一步包括水和有机溶剂。
23.一种在基质上的多纳米孔隙电介质膜,其制备方法包括:
(a)将一种合适的旋装玻璃组合物沉积到一种基质上;
(b)将所说沉积膜凝胶化,在所说基质上形成多纳米孔隙电介质膜;
(c)使用能有效地使膜变得疏水的表面改性试剂处理膜;
(d)使用至少一层包含硅-基聚合物母体的附加层覆盖步骤(c)形成的多纳米孔隙电介质膜;及
(e)将已覆盖的多纳米孔隙电介质膜固化;
其中的旋装玻璃组合物包括至少一个单-、双-或三-官能母体以及
其中的旋装玻璃组合物包括至少一个单-、双-或三-官能母体以及至少一个四官能母体;其中所说单-、双-或三-官能母体具有1~3个官能离去基团;并且所述单-、双-或三-官能母体是一种具有下列化学式烷氧基硅烷:
An-SiHm              (化学式1)
其中各A是独立的烷氧基O-R,及R是独立地选自烷基和芳基的有机部分;其中n是1~3的整数;m是是1~3的整数,及m与n的和是4;或者其中单-、双-或三-官能母体是一种乙酸基化合物,例如乙酸基硅烷化合物和/或卤代化合物,例如卤代硅烷化合物和/或它们的组合;并且其中回收的多纳米孔隙膜母体通过一种选自旋转沉积、浸渍涂布、喷雾沉积及它们的结合的方法沉积到所说基质上。
24.权利要求23的多纳米孔隙电介质膜,该膜用傅立叶变换红外光谱学测定,在2150cm-1处显示了Si-H键的吸收。
CNB998127647A 1998-08-27 1999-08-24 硅烷基多纳米孔隙二氧化硅薄膜 Expired - Fee Related CN1146965C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9806898P 1998-08-27 1998-08-27
US60/098,068 1998-08-27
US09/378,705 1999-08-23
US09/378,705 US6410149B1 (en) 1998-08-27 1999-08-23 Silane-based nanoporous silica thin films and precursors for making same

Publications (2)

Publication Number Publication Date
CN1325543A CN1325543A (zh) 2001-12-05
CN1146965C true CN1146965C (zh) 2004-04-21

Family

ID=26794053

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998127647A Expired - Fee Related CN1146965C (zh) 1998-08-27 1999-08-24 硅烷基多纳米孔隙二氧化硅薄膜

Country Status (7)

Country Link
US (1) US6410149B1 (zh)
JP (1) JP2003526197A (zh)
KR (1) KR100682614B1 (zh)
CN (1) CN1146965C (zh)
AU (1) AU5584499A (zh)
TW (1) TW454262B (zh)
WO (1) WO2000013222A1 (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
KR100797202B1 (ko) * 2000-06-23 2008-01-23 허니웰 인터내셔널 인코포레이티드 손상된 실리카 유전 필름에 소수성을 부여하는 방법 및 손상된 실리카 유전 필름 처리 방법
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6677680B2 (en) * 2001-02-28 2004-01-13 International Business Machines Corporation Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
KR100530765B1 (ko) * 2002-10-04 2005-11-23 이규왕 나노 다공성 유전체를 이용한 플라즈마 발생장치
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
CN1742363B (zh) * 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
CN101154479B (zh) * 2003-04-04 2011-05-04 东丽株式会社 电介质组合物和使用了该电介质组合物的电容器及光布线
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
JP4447283B2 (ja) 2003-11-05 2010-04-07 東京応化工業株式会社 シリカ系被膜形成用塗布液
CN1300262C (zh) * 2004-05-09 2007-02-14 中国科学院化学研究所 超亲水性和/或超亲油性纳米孔材料的用途
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
US7163900B2 (en) * 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
KR100640595B1 (ko) * 2004-11-09 2006-11-01 삼성전자주식회사 높은 파티클 밀도를 가지는 균일한 나노파티클 모노레이어필름의 형성방법 및 그 나노파티클 모노레이어 필름을구비하는 소자
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
FR2897472B1 (fr) * 2006-02-14 2008-09-05 St Microelectronics Sa Photodetecteur monolithique
US20080173541A1 (en) * 2007-01-22 2008-07-24 Eal Lee Target designs and related methods for reduced eddy currents, increased resistance and resistivity, and enhanced cooling
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US8702919B2 (en) * 2007-08-13 2014-04-22 Honeywell International Inc. Target designs and related methods for coupled target assemblies, methods of production and uses thereof
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
EP2272068B1 (en) * 2008-04-28 2018-07-04 Basf Se Low-k dielectrics obtainable by twin polymerization
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
WO2009150021A2 (en) * 2008-05-26 2009-12-17 Basf Se Method of making porous materials and porous materials prepared thereof
US8135324B2 (en) * 2009-03-09 2012-03-13 Xerox Corporation Fuser member and methods of making thereof
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
EP2355141A3 (en) 2010-02-08 2017-09-20 Fujifilm Corporation Semiconductor device, method for producing the semiconductor device, substrate for semiconductor element and method for producing the substrate
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9181455B2 (en) * 2012-12-03 2015-11-10 Guardian Industries Corp. Method of making hydrophobic coated article, coated article including hydrophobic coatings, and/or sol compositions for use in the same
US20140172051A1 (en) * 2012-12-14 2014-06-19 Satinderpall S. Pannu Single layer polymer microelectrode array
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6997092B2 (ja) * 2016-02-19 2022-01-17 ダウ シリコーンズ コーポレーション エージングしたシルセスキオキサンポリマー
US11111398B2 (en) 2017-01-11 2021-09-07 International Business Machines Corporation Subsurface modified silica materials
US10822807B2 (en) 2019-02-18 2020-11-03 Royal Building Products (Usa) Inc. Assembly for improved insulation

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE9002967L (sv) 1990-09-18 1992-03-19 Bofors Electronics Ab Radar
JP2739902B2 (ja) * 1993-09-30 1998-04-15 東京応化工業株式会社 酸化ケイ素系被膜形成用塗布液
US5488015A (en) * 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
DE19526568C1 (de) * 1995-07-20 1997-01-30 Siemens Ag Integrierter Schaltkreis mit CMOS-Schaltung und Verfahren zur Herstellung von isolierten, aktiven Bereichen einer CMOS-Schaltung
EP0775669B1 (en) 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6143643A (en) * 1998-07-08 2000-11-07 International Business Machines Corporation Process for manufacture of integrated circuit device using organosilicate insulative matrices

Also Published As

Publication number Publication date
KR100682614B1 (ko) 2007-02-15
JP2003526197A (ja) 2003-09-02
KR20010074860A (ko) 2001-08-09
AU5584499A (en) 2000-03-21
WO2000013222A9 (en) 2000-08-17
US20020086166A1 (en) 2002-07-04
TW454262B (en) 2001-09-11
CN1325543A (zh) 2001-12-05
US6410149B1 (en) 2002-06-25
WO2000013222A8 (en) 2000-06-22
WO2000013222A1 (en) 2000-03-09

Similar Documents

Publication Publication Date Title
CN1146965C (zh) 硅烷基多纳米孔隙二氧化硅薄膜
CN1187799C (zh) 用于超大规模集成电路的经硅氧烷聚合物处理的纳米级多孔二氧化硅
CN1236480C (zh) 多官能硅基低聚物/聚合物纳米孔二氧化硅薄膜的表面改性中的应用
CN1303619C (zh) 形成具有低介电常数的薄膜的方法
CN1279588C (zh) 恢复电介质膜及电介质材料中疏水性的方法
CN1487567A (zh) 制备低介材料的组合物
CN1125138C (zh) 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途
CN1616468A (zh) 多官能环状硅氧烷化合物和由该化合物制备的硅氧烷基聚合物和用该聚合物制备介电薄膜的方法
CN1160767C (zh) 纳米多孔二氧化硅的蒸气沉积工艺
CN1697865A (zh) 生产绝缘膜的涂料组合物、使用该涂料组合物制备绝缘膜的方法、由其得到的用于半导体器件的绝缘膜及含有该绝缘膜的半导体器件
CN1277952C (zh) 制备基体上的低介电纳米多孔薄膜的方法、一种制品和一种集成电路
CN1708839A (zh) 低介电常数无定形二氧化硅类被膜的形成方法及由该方法得到的低介电常数无定形二氧化硅类被膜
CN1681737A (zh) 多孔质薄膜的改质方法及被改质的多孔质薄膜及其用途
CN1957020A (zh) 有机二氧化硅系膜及形成法、布线结构体、半导体装置及膜形成用组合物
CN1831070A (zh) 一种耐蚀性能优异的有机-无机杂化涂层材料及其制备方法
WO2007020878A1 (ja) 多孔質シリカの製造方法および製造装置
CN1221592C (zh) 无机涂料组合物及其生产方法与应用
CN1387676A (zh) 用于集成电路平面化的改进装置和方法
CN1657530A (zh) 硅氧烷化合物及其聚合物和用该聚合物制备介电膜的方法
CN1536023A (zh) 多孔膜形成用组合物,多孔膜的制备方法,多孔膜、层间绝缘膜和半导体器件
CN1626537A (zh) 多官能环状硅酸盐(或酯)化合物,由该化合物制得的基于硅氧烷的聚合物和使用该聚合物制备绝缘膜的方法
WO2019165093A1 (en) Perhydropolysilazane compositions and methods for forming oxide films using same
JP5695290B2 (ja) シリカ系被膜形成用塗布液の調製方法
CN1836017A (zh) 涂料组合物和通过使用该涂料组合物制得的低介电硅质材料
WO2014061517A1 (ja) シリカ粒子を含む硬化性組成物およびその硬化物、並びにそれを用いた半導体封止材

Legal Events

Date Code Title Description
C06 Publication
C10 Entry into substantive examination
PB01 Publication
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1078601

Country of ref document: HK

C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee