KR20210122684A - 상이한 두 표면 상에 상이한 두 재료의 선택적 동시 증착 - Google Patents

상이한 두 표면 상에 상이한 두 재료의 선택적 동시 증착 Download PDF

Info

Publication number
KR20210122684A
KR20210122684A KR1020210038544A KR20210038544A KR20210122684A KR 20210122684 A KR20210122684 A KR 20210122684A KR 1020210038544 A KR1020210038544 A KR 1020210038544A KR 20210038544 A KR20210038544 A KR 20210038544A KR 20210122684 A KR20210122684 A KR 20210122684A
Authority
KR
South Korea
Prior art keywords
reactant
metal
semimetal
substrate
different
Prior art date
Application number
KR1020210038544A
Other languages
English (en)
Inventor
마이클 유진 기븐스
에바 토이스
수비 하우카
다리아 네브스트루바
찰스 데젤라
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210122684A publication Critical patent/KR20210122684A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • C23C16/20Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/341Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one carbide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

일부 구현예에서, 동일한 반응 화학물질을 사용하여, 기판의 제1 표면 상에 제1 재료를, 그리고 동일한 기판의 제2 상이한 표면 상에 제2 상이한 재료를 동시에 그리고 선택적으로 증착하는 방법이 제공된다. 예를 들어, 제1 재료는 금속 표면 상에 선택적으로 증착될 수 있는 반면에, 제2 재료는 인접한 유전체 표면 상에 동시에 그리고 선택적으로 증착된다. 제1 재료와 제2 재료는 상이한 재료 특성, 예컨대 상이한 에칭 속도를 갖는다.

Description

상이한 두 표면 상에 상이한 두 재료의 선택적 동시 증착{SIMULTANEOUS SELECTIVE DEPOSITION OF TWO DIFFERENT MATERIALS ON TWO DIFFERENT SURFACES}
관련 출원의 상호 참조
본 출원은 2020년 3월 30일에 출원된 미국 가출원 제63/001898호에 대한 우선권을 주장하며, 이는 본원에 전체가 참조로 포함된다.
기술분야
본 출원은, 동일한 기판의 두 개의 상이한 표면 상에 두 개의 상이한 재료를 동시에 선택적으로 증착하는 것에 관한 것이다. 특히, 이는 동일한 기상 반응물에 대한 두 개의 상이한 표면의 노출이 두 개의 상이한 표면 상에 두 개의 상이한 재료를 선택적으로 증착하는 기상 증착 공정에 관한 것이다.
현재, 집적 회로는, 다양한 재료 층이 반도체 기판 상에 소정의 배열로 순차적으로 구성된 정교한 공정에 의해 제조된다.
기판 상에 재료 층의 소정 배열은 전체 기판 표면 상에 재료를 증착한 다음 기판의 소정 영역으로부터 그 재료를 제거, 예를 들어 마스크 층의 증착 및 이후의 선택적인 에칭 공정에 의해 종종 이루어진다. 따라서, 제1 재료가 제1 표면 상에만 증착되고 제2 재료가 제2 표면 상에만 증착되는 것이 바람직한 경우에, 다수의 단계가 일반적으로 요구된다. 먼저, 제1 재료가 전체 기판 표면 위에 증착된다. 그런 다음, 포토리소그래피 공정을 사용하여 제1 재료가 제1 표면 상에 노출되지 않도록 제1 재료를 패턴화한다. 그런 다음, 남아 있는 것이 제1 표면 상의 제1 재료이도록 제1 표면이 에칭된다. 그런 다음, 동일한 단계를 따라 제2 표면 상에 제2 재료를 제조한다.
기판의 제1 표면 상에 제1 재료를, 그리고 기판의 제2 표면 상에 제2 재료를 동시에 그리고 선택적으로 증착하는 하나의 공정을 갖는 것이 유리할 것이다. 이러한 공정은, 제1 재료 및 제2 재료 각각을 증착하고 에칭하기 위한 별도의 단계가 필요하지 않게 함으로써, 제조 시간을 절약할 수 있다.
일부 양태에서, 기판의 제1 표면 상에 제1 재료를, 그리고 기판의 제2 상이한 표면 상에 제2 상이한 재료를 선택적으로 증착하는 방법이 제공된다. 기판의 제1 표면 상에 제1 재료, 및 기판의 제2 상이한 표면 상에 상이한 재료를 선택적으로 증착하는 방법은, 하나 이상의 제1 증착 사이클을 포함할 수 있고, 상기 사이클은, 기판의 제1 및 제2 표면을 패시베이션제에 노출시켜 패시베이션제가 제2 표면에 대해 제1 표면 상에 패시베이션층을 선택적으로 형성하도록 하는 단계; 기판의 제1 및 제2 표면을 제1 금속 또는 반금속 반응물에 노출시키는 단계; 및 기판의 제1 및 제2 표면을 제2 금속 또는 반금속 반응물에 노출시키는 단계를 포함한다. 일부 구현예에서, 제1 금속 또는 반금속 반응물로부터 제1 금속 또는 반금속, 및 제2 금속 또는 반금속 반응물로부터 제2 금속 또는 반금속을 포함하는 제1 재료의 제1 층은, 제1 표면이 아닌 제2 표면 상에 형성된다. 일부 구현예에서, 제2 금속 또는 반금속 반응물로부터 제2 금속 또는 반금속을 포함하는 제2 재료의 제2 층은, 제1 표면이 아닌 제2 표면 상에 형성된다.
일부 구현예에서, 제1 표면은 금속을 포함하고 제2 표면은 유전체 재료를 포함한다. 일부 구현예에서, 금속은 Co, Cu, W, Ru 및/또는 Ni이다. 일부 구현예에서, 유전체 재료는 실리콘디옥사이드(SiO2), 실리콘나이트라이드(SiN), 및/또는 실리콘옥사이드카바이드(SiOC)를 포함한다. 일부 구현예에서, 제1 재료는 제2 재료와 상이한 재료 특성을 갖는다. 일부 구현예에서, 제1 재료는 제2 재료와 상이한 에칭 속도를 갖는다. 일부 구현예에서, 제1 재료는 제2 재료와 상이한 전도도 또는 굴절률을 갖는다.
일부 구현예에서, 기판의 제1 표면 상에 제1 재료를, 그리고 기판의 제2 상이한 표면 상에 제2 상이한 재료를 선택적으로 증착하는 방법은, 제1 및 제2 표면을 추가 반응물과 접촉시키는 단계를 추가로 포함할 수 있다. 추가 반응물은, 예를 들어 산소 반응물, 질소 반응물 또는 탄소 반응물일 수 있다. 일부 구현예에서, 제1 표면은 금속 표면이고, 제2 표면은 유전체 표면이고, 패시베이션제는 Hthd, Hfac 또는 Hacac이고, 제1 금속 또는 반금속 반응물은 디에틸아미노실란 또는 헥사키스(에틸아미노)디실란이고, 제2 금속 또는 반금속 반응물은 트리메틸 알루미늄(TMA)이고, 추가 반응물은 물을 포함한 산소 반응물이다.
일부 구현예에서, 기판의 제1 표면 상에 제1 재료를, 그리고 기판의 제2 상이한 표면 상에 제2 상이한 재료를 선택적으로 증착하는 방법은, 패시베이션제와 제1 금속 또는 반금속 반응물을 기판과 교대 순차적으로 접촉시키는 단계를 추가로 포함한다. 일부 구현예에서, 하나 이상의 제2 증착 사이클은, 기판을 산소 반응물, 질소 반응물 및/또는 탄소 반응물과 접촉시키는 단계를 추가로 포함한다. 일부 구현예에서, 제1 사이클은 X회 반복되고 제2 사이클은 Y회 반복되며, 여기서 X 및 Y는 정수이다.
일부 구현예에서, 패시베이션제는 디-이민 또는 베타-디케토네이트를 포함한다. 일부 구현예에서, 패시베이션 층은 제1 금속 또는 반금속 반응물이 제1 표면과 반응하는 것을 입체적으로 방지한다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 실리콘을 포함한다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 헥사메틸디실라잔(HMDS), 테트라메틸디실라잔(TMDS), 디에틸아미노실란 및/또는 헥사키스(에틸아미노)디실란을 포함한다. 일부 구현예에서, 제2 금속 또는 반금속 반응물은 알루미늄, 지르코늄, 하프늄, 티타늄, 란타늄, 및/또는 에르븀을 포함한다.
일부 구현예에서, 기판 상에 두 개의 상이한 재료를 증착하는 방법이 제공된다. 기판 상에 두 개의 상이한 재료를 증착하는 방법은, 기판의 제1 표면 및 제2 표면을 동일한 기상 반응물의 순차적 펄스와 동시에 접촉시킴으로써, 기판의 제1 표면 상에 제1 재료를, 그리고 기판의 제2 상이한 표면 상에 제2 상이한 재료를 선택적으로 및 동시에 증착하는 단계를 포함한다. 일부 구현예에서, 기판 상에 두 개의 상이한 재료를 증착하는 방법은, 상기 제1 및 제2 표면을 패시베이션제에 노출시켜 패시베이션제가 제2 표면에 대해 제1 표면 상에 패시베이션 층을 선택적으로 형성하는 단계; 금속 또는 반금속을 포함한 제1 반응물에 제1 및 제2 표면을 노출시키는 단계; 및 금속 또는 반금속을 포함한 제2 반응물에 제1 및 제2 표면을 노출시키는 단계를 추가로 포함한다. 일부 구현예에서, 패시베이션제는 베타-디케토네이트를 포함한다. 일부 구현예에서, 제1 반응물은 HMDS, TMDS, 디에틸아미노실란, 및/또는 헥사키스(에틸아미노)디실란을 포함한다. 일부 구현예에서, 제2 반응물은 알루미늄, 지르코늄, 하프늄, 티타늄, 란타늄, 및/또는 에르븀을 포함한다. 일부 구현예에서, 제1 재료 및 제2 재료를 선택적으로 증착하는 단계는 주변 공기에 노출되지 않고 수행된다.
도 1은, 기판의 두 개의 상이한 표면 상에 두 개의 재료를 동시에 그리고 선택적으로 증착하는 공정의 예시적인 구현예를 도시하는 흐름도이다.
도 2a 및 도 2b는, 기판의 두 개의 상이한 표면 상에 두 개의 상이한 재료를 동시에 그리고 선택적으로 증착하는 공정의 예시적인 구현예의 단계를 개략적으로 나타낸다.
일부 상황에서, 예컨대 집적 회로의 형성에서, 제1 표면 상에 제1 재료를, 그리고 기판의 제2 상이한 표면 상에 제2 상이한 재료를 선택적으로 형성하는 것이 바람직하다. 본원에 설명된 바와 같이, 단일 기상 증착 공정으로 이를 수행하는 것은, 예를 들어 많은 통상적인 처리 단계를 회피함으로써 처리 속도를 증가시키는 것을 포함하여, 다수의 이점을 제공할 수 있다. 일부 구현예에서, 증착된 제1 재료는, 제2 재료와 하나 이상의 상이한 재료 특성을 가질 수 있고, 이들 차이는 후속 처리에서 이용될 수 있다. 예를 들어, 이러한 재료 특성은 상이한 에칭 속도 및 상이한 전도도를 포함할 수 있다.
단일 증착 공정을 사용하여 기판의 제1 표면 상에 제1 재료를, 그리고 기판의 제2 표면 상에 제2 재료를 동시에 및 선택적으로 증착하기 위한 방법 및 재료가 본원에 개시된다. 제1 및 제2 재료는 서로 상이하고 상이한 재료 특성을 갖는다. 일부 구현예에서, 유전체 재료 및 금속과 같은 두 개의 상이한 재료의 층을 포함한 기판이 다수의 상이한 전구체, 반응물 및/또는 패시베이션제에 노출되는 기상 증착 공정이 제공된다. 상이한 반응물 중 적어도 하나는, 기판 상의 두 개의 상이한 층의 표면과 상이하게 반응한다. 예를 들어, 일부 구현예에서, 금속 층의 표면과 같은 기판의 제1 표면, 및 유전체 재료를 포함한 층의 표면과 같은 기판의 제2 표면은, 패시베이션제, 제1 금속 또는 반금속 반응물, 및 제2 금속 또는 반금속 반응물에 순차적으로 노출된다. 일부 구현예에서, 원하는 재료를 제조하기 위해 제3 반응물이 교대 순차적으로 제공될 수도 있다. 예를 들어, 제3 반응물은, 증착된 재료와 반응하여 산화물, 질화물 또는 탄화물을 생성하는 산소 반응물, 질소 반응물 또는 탄소 반응물일 수 있다. 일부 구현예에서, 제1 표면 및 제2 표면은 기판 상에서 서로 인접한다.
패시베이션제는 제2 표면에 대해 제1 표면과 선택적으로 반응하여 제1 표면 상에 패시베이션 종의 층을 우선적으로 형성한다. 예를 들어, 일부 구현예에서, 패시베이션제는 유전체 표면에 대해 기판의 금속 표면 상에 패시베이션 층을 선택적으로 형성한다. 제1 표면의 패시베이션의 결과로서, 제1 금속 또는 반금속 반응물은 패시베이션되지 않은 제1 표면과 반응하지 않고 패시베이션되지 않은 제2 표면과 반응하여, 제2 표면 상에 제1 금속 또는 반금속 반응물의 금속 또는 반금속을 포함한 반응물 종의 층을 형성한다. 일부 구현예에서, 제1 표면 상의 제1 금속 또는 반금속 반응물의 금속 또는 반금속을 포함한 반응물 종은 실질적으로 없다. 이론에 의해 제한되지 않는다면, 이는, 제1 금속 또는 반금속 반응물이 제1 표면과 접촉하고 반응하는 것을 방지하는 패시베이션제에 의해 야기된 입체 장애로 인한 것일 수 있다.
제2 금속 또는 반금속 반응물은 패시베이션 층에 의해 반응하는 것이 방지되지 않고, 따라서 제1 및 제2 표면 둘 모두와 반응한다. 예를 들어, 제2 금속 또는 반금속 반응물은, 패시베이션 층의 존재에도 불구하고 제1 표면과 접촉하지 못하게 입체적으로 방지되지 않는 크기의 것일 수 있다. 따라서, 제2 금속 또는 반금속 반응물의 금속 또는 반금속을 포함한 반응물 종의 층이 제1 표면 상에 형성된다. 또한, 제2 금속 또는 반금속 반응물은 또한 제2 표면과 반응하여, 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속과 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속 모두를 포함한, 제2 표면 상의 종 층을 형성한다.
유사하게, 선택적인 제3 반응물은, 제1 패시베이션 표면 및 제2 비-패시베이션 표면 둘 모두와 반응할 수 있다. 결과적으로, 제3 반응물은 제1 표면 상의 종과 반응하여 제1 표면 상의 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속을 포함한 제1 재료를 형성하고, 제3 반응물은 제2 표면 상의 종과 반응하여 제1 금속 또는 반금속 반응물로부터의 제1 금속 또는 반금속, 및 제2 표면 상의 제2 금속 또는 반금속 반응물로부터의 제2 금속 또는 반금속을 포함한 제2 상이한 재료를 형성한다. 따라서, 제1 재료 및 제2 재료는 상이한 재료이며, 에칭 속도, 밀도, 전도도, 및 굴절률과 같은 하나 이상의 상이한 재료 특성을 가질 수 있다.
일부 구현예에서, 제1 재료 층의 제1 표면 및 제2 상이한 재료 층의 제2 표면, 예컨대 제1 금속 표면 및 제2 유전체 표면을 포함한 기판이 제공된다. 일부 구현예에서, 예를 들어 기판은 Co, Cu 또는 W를 포함한 금속 표면, 및 SiO2를 포함한 유전체 표면을 포함할 수 있다.
일부 구현예에서, 제1 표면은 하프늄 산화물, 티타늄 산화물, 알루미늄 산화물, 몰리브덴 산화물, 코발트 산화물, 또는 구리 산화물과 같은 금속 산화물을 포함하거나 이로 이루어지거나, 필수적으로 이루어지는 반면에, 제2 표면은 상이한 금속 또는 금속 산화물, 예컨대 게르마늄 산화물, 텅스텐 산화물, 아연 산화물, 철 산화물, 금속성 루테늄 또는 금속성 백금을 포함하거나 이로 필수적으로 이루어지거나 이로 구성된다.
일부 구현예에서, 제1 표면은 하프늄 산화물, 티타늄 산화물, 알루미늄 산화물, 몰리브덴 산화물, 코발트 산화물, 또는 구리 산화물과 같은 금속 산화물을 포함하거나 이로 이루어지거나, 필수적으로 이루어지는 반면에, 제2 표면은 실리콘 산화물, 실리콘 질화물, 또는 금속성 백금을 포함하거나 이로 필수적으로 이루어지거나 이로 구성된다.
일부 구현예에서, 제1 표면은 게르마늄 산화물, 텅스텐 산화물, 아연 산화물, 철 산화물, 금속성 루테늄 또는 금속성 백금과 같은 금속 또는 금속 산화물을 포함하거나 이로 필수적으로 이루어지거나, 구성되는 반면에, 제2 표면은 실리콘 산화물, 실리콘 질화물 또는 금속성 팔라듐을 포함하거나 이로 필수적으로 이루어지거나 이로 구성된다.
기판의 두 개의 상이한 표면은 패시베이션제, 제1 금속 또는 반금속 반응물, 제2 금속 또는 반금속 반응물, 및 산화제와 같은 제3 반응물에 교대 순차적으로 노출된다. 패시베이션제는, 제2 표면에 대해 제1 표면과, 예컨대 제2 유전체 표면에 대해 제1 금속 표면과 선택적으로 반응하고, 제1 표면 상에 패시베이션 종의 층을 우선적으로 형성한다. 패시베이션 이후에, 기판의 제1 및 제2 표면은 제1 금속 또는 반금속 반응물에 노출된다. 제1 표면의 패시베이션의 결과로서, 제1 금속 또는 반금속 반응물은 패시베이션되지 않은 제1 표면과 반응하고 패시베이션된 제2 표면과 반응하지 않아, 제2 표면 상에 제1 금속 또는 반금속 반응물의 금속 또는 반금속을 포함한 층을 형성한다. 예를 들어, 제1 금속 또는 반금속 반응물의 금속 또는 반금속을 포함한 층은, 패시베이션된 제1 금속 표면에 대해 제2 유전체 표면 상에 형성될 수 있다.
제1 및 제2 표면은, 이어서 제2 금속 또는 반금속 반응물에 노출된다. 제2 금속 또는 반금속 반응물은, 패시베이션 층에 의해 반응하는 것이 방지되지 않으며, 따라서 기판이 제2 금속 또는 반금속 반응물과 접촉하는 경우에, 이는, 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속 종의 층을 포함한 제1 표면, 및 제2 패시베이션 표면과 모두 반응한다. 결과적으로, 제2 표면은, 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속, 및 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속 모두를 포함하는 반면에, 제1 표면은 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속만을 포함한다. 예를 들어, 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속을 포함한 제1 재료가 제1 금속 표면 상에 형성될 수 있고, 제1 금속 또는 반금속 반응물로부터의 제1 금속 또는 반금속, 및 제2 금속 또는 반금속 반응물로부터의 제2 금속 또는 반금속을 포함한 제2 상이한 재료가 제2 유전체 표면 상에 형성된다.
제1 및 제2 표면은 선택적으로 제3 반응물과 추가 접촉한다. 제3 반응물은 패시베이션제에 의해 반응하는 것이 방지되지 않으며, 따라서 각각의 산화물, 질화물 또는 탄화물을 형성하는 것과 같이, 제1 재료 및 제2 재료와 반응한다. 원하는 재료 조성을 달성하기 위해, 추가적인 반응물이 제공될 수 있다. 따라서, 생성된 제1 재료 및 제2 재료는 상이한 재료이며, 에칭 속도, 밀도, 전도도, 및 굴절률과 같은 하나 이상의 상이한 재료 특성을 가질 수 있다. 일부 구현예에서, 제1 및 제2 재료 각각의 원하는 재료 조성 및 특성을 달성하기 위해, 하나 이상의 추가 반응물이 제공될 수 있다.
일부 구현예에서, 제1 재료 및 제2 재료는 적어도 25%, 적어도 50%, 적어도 75%, 적어도 85%, 적어도 90%, 또는 적어도 95%만큼 상이한 에칭 속도를 가질 수 있다. 제1 재료는, 제2 재료의 에칭 속도의 적어도 1.5배, 적어도 2배, 적어도 3배, 적어도 5배, 적어도 7배, 또는 적어도 10배인 에칭 속도를 가질 수 있다.
일부 구현예에서, 제1 재료 및 제2 재료는 적어도 20%, 적어도 40%, 적어도 60%, 적어도 100%, 또는 적어도 500%만큼 상이한 전도도를 가질 수 있다. 일부 구현예에서, 제1 재료는 제2 재료의 전도도보다 적어도 10배, 적어도 100배, 또는 적어도 1000배 더 큰 전도도를 가질 수 있다.
일부 구현예에서, 제1 재료 및 제2 재료는 적어도 5%, 적어도 10%, 적어도 20%, 적어도 30%, 또는 적어도 40%, 또는 적어도 50%만큼 상이한 밀도를 가질 수 있다.
일부 구현예에서, 제1 재료 및 제2 재료는 적어도 5%, 적어도 10%, 적어도 20%, 적어도 30%, 또는 적어도 40%, 또는 적어도 50%만큼 상이한 굴절률을 가질 수 있다.
일부 구현예에서, 제1 및 제2 재료 중 하나는 에칭에 의해 제1 및 제2 재료 중 다른 하나에 대해 선택적으로 제거될 수 있다. 일부 구현예에서, 제1 재료 또는 제2 재료 중 하나는 에칭에 의해 선택적으로 제거되고, 제1 재료와 제2 재료 사이의 에칭 공정의 선택도는 적어도 25%, 적어도 50%, 적어도 75%, 적어도 85%, 적어도 90%, 또는 적어도 95%일 수 있다. 제1 재료와 제2 재료 사이의 에칭 공정의 선택도는 (제1 재료의 에칭 속도/제2 재료의 에칭 속도)에 의해 계산된 백분율로서 주어질 수 있다.
패시베이션제, 제1 금속 또는 반금속 반응물, 제2 금속 또는 반금속 반응물, 및 제3 반응물의 교대 순차적인 제공을 하나의 증착 사이클로 간주할 수 있다. 증착 사이클은, 원하는 두께로 두 개의 상이한 표면 상에 두 개의 상이한 재료를 선택적으로 증착하기 위해 여러 번 반복될 수 있다. 후속 사이클에서, 패시베이션제는 제1 표면 상에 형성되지만 제2 표면 상에는 형성되지 않은 재료와 반응함으로써, 선택도를 유지한다. 예를 들어, 패시베이션제가 유전체 표면에 대해 상대적으로 금속 표면에 대해 초기에 선택적이면, 유전체의 상단 표면이 초기 증착 사이클에 의해 변경되었더라도, 이러한 선택도는 후속 증착 사이클에서 유지될 것이다. 일부 구현예에서, 예를 들어 패시베이션제는 유전체에 대해 상대적으로 금속 산화물에 대해 선택적이며, 초기 금속 표면은 산화물을 포함하거나 일부 산화물을 포함하도록 처리된다. 후속 사이클에서, 금속 산화물이 형성되고 (가능하면 상이한 금속을 포함하고), 선택도가 유지된다. 유사하게, 제1, 제2 및 제3 반응물의 선택도는 후속 증착 사이클에서 유지될 것이다. 제1, 제2, 및 제3 반응물로서 지칭되지만, 패시베이션제, 제1 금속 또는 반금속 반응물, 제2 반금속 또는 금속 반응물, 및 제3 반응물은 임의의 순서로 제공될 수 있다. 또한, 순서는 사이클마다 달라질 수 있다.
전술한 바와 같이, 일부 구현예에서, 패시베이션제는 기판의 제2 표면이 아니라 제1 표면을 선택적으로 패시베이션한다. 일부 구현예에서, 패시베이션제는 유전체 표면에 대해 금속 표면을 선택적으로 패시베이션시킨다. 일부 구현예에서, 패시베이션제는 패시베이션된 제1 표면 상에서 제1 금속 또는 반금속 반응물의 반응을 입체적으로 방해할 수 있다. 그러나, 제2 표면이 패시베이션되지 않기 때문에, 제2 표면은 제1 금속 또는 반금속 반응물과 반응할 수 있다. 한편, 패시베이션제는 하나 이상의 추가 반응물의 반응을 방해하지 않으므로, 이들 반응물은 제1 및 제2 표면 둘 모두와 반응할 수 있다. 이러한 방식으로, 제1 및 제2 표면에 동시에 노출된 제1 금속 또는 반금속 반응물은, 제1 패시베이션된 표면이 아닌 제2 표면과 선택적으로 반응하는 반면에, 제2 금속 또는 반금속 반응물 및 임의의 추가 반응물은 이어서 제1 패시베이션된 표면 및 제2 비 패시베이션된 표면 둘 모두와 반응할 수 있어서, 제1 및 제2 표면 상에 상이한 재료의 형성을 초래한다. 따라서, 패시베이션제는 특정 상황에 기초하여 선택되어, 제2 표면에 대해 제1 표면을 선택적으로 패시베이션할 수 있도록 하고, 제2 및 선택적으로 추가 전구체의 반응을 허용하면서 제1 전구체의 반응을 방지하도록 한다. 일부 구현예에서, 패시베이션제는 헤테로원자 사이에 에틸렌 또는 프로판 브릿지를 포함하는 두자리 화합물이다. 예를 들어, 패시베이션제는 O, S, N 또는 P 헤테로원자를 포함할 수 있다. 일부 구현예에서, 패시베이션제는 화학식 (I), 화학식 (II), 화학식 (III) 또는 화학식 (IV)에 따른 화합물을 포함하고,
Figure pat00001
여기서 R1 및 R2는 선형, 분지형, 환형 및 방향족 C1 내지 C10 탄화수소로부터 독립적으로 선택된다.
일부 구현예에서, 패시베이션제는 화학식 (V), 화학식 (VI), 화학식 (VII) 또는 화학식 (VIII)에 따른 화합물을 포함하고,
Figure pat00002
여기서 R1, R2, R3 및 R4 각각은 선형, 분지형, 환형 및 방향족 C1 내지 C10 탄화수소로부터 독립적으로 선택된다.
일부 구현예에서, 패시베이션제는 화학식 (IX)에 따른 피리딘계 화합물, 일산화탄소, 니트릴로옥소늄, 화학식 (X)에 따른 치환된 부타디엔, 화학식 (XI)에 따른 트리-알킬 포스핀, 화학식 (XII) 또는 (XIII)에 따른 디알킬 설파이드, 또는 화학식 (XIV)에 따른 디설파이드이다.
Figure pat00003
여기서 각각의 R1, R2, R3, 및 R4는 선형, 분지형, 환형 또는 방향족 C1 내지 C10 탄화수소로부터 독립적으로 선택될 수 있다.
일부 구현예에서, 패시베이션제는 디-이민 계열 화합물이다. 디-이민계 화합물의 두 개의 이민 기는 인접한 탄소 원자(즉, (R1-C(N-R3-C(N-R4-R2에 위치할 수 있다. 대안적으로, 이민기는 탄소 원자(즉, R1-C(N-R3)-C-C(N-R4)-R2)에 의해 분리될 수 있다. 디-이민-계 화합물의 R1 및 R2 기는 선형, 분지형, 환형 또는 방향족 C1 내지 C10 탄화수소로부터, 선형, 분지형, 환형 또는 방향족 C1-C10 알콕시 기로부터, 알킬아미노기로부터 독립적으로 선택될 수 있으며, 여기서 N 상의 치환기는 독립적으로 H 또는 알킬이고, 알킬은 선형, 분지형, 환형 또는 방향족 C1-C10, 또는 1 내지 10개의 탄소 및 할로겐(F, Cl, Br 또는 I)을 포함한 할로카본, 예컨대 -CF3 or -CF2CF3이다. 일부 구현예에서, 패시베이션제는 디케톤-계 화합물이다. 디케톤-계 화합물의 두 개의 케톤 기는 인접한 탄소 원자(즉, (R1-C(O)-C(O)-R2))에 위치할 수 있다. 대안적으로, 케톤기는 탄소 원자(즉, R1-C(O)-C-C(O)-R2)에 의해 분리될 수 있다. 디케톤-계 화합물의 R1 및 R2 기는 선형, 분지형, 환형 또는 방향족 C1 내지 C10 탄화수소로부터, 선형, 분지형, 환형 또는 방향족 C1-C10 알콕시 기로부터, 알킬아미노기로부터 독립적으로 선택될 수 있으며, 여기서 N 상의 치환기는 독립적으로 H 또는 알킬이고, 알킬은 선형, 분지형, 환형 또는 방향족 C1-C10, 또는 1 내지 10개의 탄소 및 할로겐(F, Cl, Br 또는 I)을 포함한 할로카본, 예컨대 -CF3 or -CF2CF3이다. 일부 구현예에서, 패시베이션제는 베타-디케토네이트이다. 일부 구현예에서, 패시베이션제는 테트라메틸-3,5-헵탄디온(Hthd)이다. 일부 구현예에서, 패시베이션제는 아세틸아세톤(Hacac)이다. 일부 구현예에서, 패시베이션제는 헥사플루오로아세틸아세톤(Hfac)이다.
일부 구현예에서, 제1 금속 또는 반금속 전구체는, 제1 금속 또는 반금속 반응물 분자가 패시베이션 층을 관통할 수 없게 하는 큰 분자 크기를 가지므로, 패시베이션제는 제1 금속 또는 반금속 전구체가 제1 표면과 접촉하고 반응하는 것을 방지한다. 한편, 제2 금속 또는 반금속 전구체는, 제1 표면과 반응하기 위해 패시베이션제를 통해 침투시킬 수 있는 분자 크기를 갖는다. 따라서, 유익하게는, 패시베이션된 표면은 제2 금속 또는 반금속 전구체와만 반응하는 반면에, 패시베이션되지 않은 표면은 제1 및 제2 금속 또는 반금속 전구체 둘 모두와 반응한다. 재차, 양 표면과 반응할 수 있는 하나 이상의 추가 반응물, 예컨대 제1 및 제2 표면 상의 재료와 반응하여 각각의 금속 산화물을 형성할 수 있는 산소 함유 반응물, 제1 및 제2 표면 상의 재료와 반응하여 각각의 금속 질화물을 형성할 수 있는 질소 함유 반응물, 및/또는 제1 및 제2 표면 상의 재료와 반응하여 각각의 금속 탄화물을 형성할 수 있는 탄소 함유 반응물이 제공될 수 있다. 따라서, 제1 재료는 기판의 제1 표면 상에 형성되고, 제2 재료는 양 표면이 동일한 화학물질에 노출되더라도 기판의 제2 표면 상에 형성된다. 전술한 바와 같이, 제1 및 제2 재료는 상이한 재료 특성을 가질 수 있다.
일부 구현예에서, 제1 표면은 금속 또는 금속성 표면이고, 제2 표면은 유전체 표면이다.
일부 구현예에서, 제1 금속 또는 반금속 반응물은 실리콘을 포함할 수 있다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 실리콘 반응물과 같은 반금속 반응물이다. 일부 구현예에서, 실리콘 반응물은 화학식 Sia(NR1R')bR2c, 또는 Sia(OR')bR1c, 또는 SiaXbRc를 가질 수 있되, R1과 R2는 H 및 C1 내지 C6 탄화수소로부터 독립적으로 선택될 수 있고, R'는 C1 내지 C6 탄화수소로부터 선택될 수 있고, X는 F, Cl, Br 및 I로 이루어진 군으로부터 선택될 수 있고, a는 1 내지 4의 정수이고, b는 1 내지 2a + 2의 정수이고, c는 2a + 2 - b이다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 헥사메틸디실라잔(HMDS), 테트라메틸디실라잔(TMDS), 디에틸아미노실란 및/또는 헥사키스(에틸아미노)디실란 중 하나 이상을 포함할 수 있다.
일부 구현예에서, 제1 금속 또는 반금속 반응물은 팔라듐을 포함할 수 있다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 게르마늄, 텅스텐, 아연, 루테늄, 철 및/또는 백금을 포함할 수 있다.
일부 구현예에서, 제2 금속 또는 반금속 반응물은 게르마늄, 텅스텐, 아연, 루테늄, 철, 백금, 몰리브덴, 코발트, 구리, 알루미늄, 지르코늄, 하프늄, 티타늄, 란타늄, 및/또는 에르븀을 포함하는 금속 또는 반금속 반응물이다. 일부 구현예에서, 제2 금속 또는 반금속 반응물은 알루미늄 반응물이다. 알루미늄 반응물은 알킬알루미늄 화합물, 예컨대 트리메틸알루미늄(TMA)을 포함할 수 있다. 일부 구현예에서, 알루미늄 반응물은 산소를 포함할 수 있다. 일부 구현예에서, 알루미늄 반응물은 질소를 포함할 수 있다. 예를 들어, 알루미늄 반응물은 화학식 AlR3, 또는 AlRx(OR)y, 또는 AlRx(NRR')y, 또는 AlRxHy를 가질 수 있고, 여기서 x는 0, 1 또는 2이고, y는 3-x이고, 여기서 R은 H 또는 임의의 C1 내지 C6 탄화수소기이고, R'는 임의의 C1 내지 C6 탄소기이다. 구체적으로, 알루미늄 반응물은 Al(iPr2AMD)3, Al(tBu2AMD)3, Al(iPr2FMD)3, 또는 Al(tBu2FMD)3를 포함할 수 있고, 여기서 iPr은 이소프로필을 나타내고, tBu는 터트-부틸을 나타내고, AMD는 아세트아미디네이트를 나타내고, FMD는 포름아미디네이트를 나타낸다.
일부 구현예에서, 제1 금속 또는 반금속 반응물은 팔라듐을 포함할 수 있고, 제2 금속 또는 반금속 반응물은 게르마늄, 텅스텐, 아연, 루테늄, 철 또는 백금을 포함할 수 있다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 팔라듐을 포함할 수 있고, 제2 금속 또는 반금속 반응물은 하프늄, 티타늄, 알루미늄, 몰리브덴, 코발트 또는 구리를 포함할 수 있다.
일부 구현예에서, 제1 금속 또는 반금속 반응물은 실리콘을 포함할 수 있고, 제2 금속 또는 반금속 반응물은 게르마늄, 텅스텐, 아연, 루테늄, 철 또는 백금을 포함할 수 있다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 실리콘을 포함할 수 있고, 제2 금속 또는 반금속 반응물은 하프늄, 티타늄, 알루미늄, 몰리브덴, 코발트 또는 구리를 포함할 수 있다.
일부 구현예에서, 제1 금속 또는 반금속 반응물은 게르마늄, 텅스텐, 아연, 루테늄, 철 및/또는 백금을 포함할 수 있고, 제2 금속 또는 반금속 반응물은 하프늄, 티타늄, 알루미늄, 몰리브덴, 코발트 또는 구리를 포함할 수 있다.
원자층 증착(ALD) 유형 공정
일부 구현예에서, ALD 유형 공정은 두 개의 상이한 표면 상에 두 개의 상이한 재료를 동시에 증착하는 데 사용된다. ALD 유형 공정은 전구체 화학물질 및 다른 반응물의 제어된 자기-제한 표면 반응을 기반으로 한다. 기상 반응은 기판을 반응물과 교대 순차적으로 접촉시킴으로써 회피된다. 기상 반응물은, 예를 들어 반응물 펄스 사이의 반응 챔버로부터 과량의 반응물 및/또는 반응물 부산물을 제거함으로써, 반응 챔버에서 서로 분리된다.
간략히, 제1 표면 및 상이한 제2 표면을 포함한 기판은 일반적으로 낮아진 압력에서 적합한 증착 온도로 가열된다. 증착 온도는 일반적으로 반응물의 열 분해 온도 이하이지만 반응물의 응축을 피하고 목적하는 표면 반응을 위한 활성화 에너지를 제공하기에 충분히 높은 수준으로 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 범위는 관련된 표면 말단 및 반응물 종에 따라 달라질 것이다. 여기서, 온도는 증착되는 막의 유형 및 이용되는 반응물 및 패시베이션제에 따라 달라진다. 일부 구현예에서, 증착 온도는 바람직하게는 약 400°C 이하, 보다 바람직하게는 약 200°C 이하, 가장 바람직하게는 약 20°C 내지 약 200°C이다.
각각의 ALD 사이클에서, 기판의 표면은 기상 패시베이션 반응물, 제1 및 제2 금속 또는 반금속 기상 반응물, 및 하나 이상의 추가 기상 반응물과 접촉한다. 일부 구현예에서, 기상 반응물의 펄스가 기판을 함유한 반응 공간에 제공된다. 일부 구현예에서, 기판은 기상 반응물을 함유한 반응 공간으로 이동된다. 바람직하게는, 각각의 반응물이 적절한 표면과 반응하여 원하는 선택적 증착을 얻도록 조건이 선택된다. 적절한 접촉 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다. 과량의 반응물 및 반응 부산물이 존재하는 경우, 이들은 예컨대 불활성 기체로 퍼지함으로써 또는 기판을 반응물의 존재로부터 제거함으로써 기판 표면으로부터 제거된다.
퍼지는, 예컨대 진공 펌프로 챔버를 배기하고/배기하거나 반응기 내부의 가스를 아르곤 또는 질소와 같은 불활성 가스로 대체함으로써, 기상 반응물 및/또는 기상 부산물이 기판 표면으로부터 제거되는 것을 의미한다. 전형적인 퍼지 시간은 약 0.05 내지 약 20초, 보다 바람직하게는 약 1 내지 약 10초, 및 보다 더 바람직하게는 약 1 내지 약 2초이다. 그러나, 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조에 대한 고도의 등각성 단차 피복도가 필요한 경우와 같이, 필요하다면 다른 퍼지 시간이 사용될 수 있다.
각각의 증착 사이클은, 두 개의 상이한 재료 층을 포함한 기판이 패시베이션제와 접촉하여 제2 재료 층의 표면에 대해 제1 재료 층의 표면 상에 패시베이션 층을 선택적으로 형성하는, 제1 단계를 포함할 수 있다. 일부 구현예에서, 제1 및 제2 표면을 패시베이션제와 접촉시키기 전에, 하나 또는 둘 모두의 표면이 적절한 표면 종결을 제공하도록 처리될 수 있어서, 패시베이션제가 제2 표면에 상대적으로 제1 표면에 대해 원하는 선택도를 갖는다. 예를 들어, 제1 금속 표면 및 제2 유전체 표면은, 패시베이션 층이 유전체 표면에 대해 산화된 금속 표면 상에 선택적으로 형성되도록, 제1 금속 표면 상에 산화물을 제공하도록 처리될 수 있다.
유전체 표면에 대해 금속 표면 상에 패시베이션 층의 선택적 형성을 허용하는 적절한 접촉 시간 후에, 과량의 패시베이션제는, 예를 들어 반응 공간을 퍼지함으로써 기판 표면으로부터 제거된다.
제2 단계에서, 제1 금속 또는 반금속 반응물은 제1 및 제2 표면과 접촉한다. 패시베이션 층의 존재로 인해, 제1 금속 또는 반금속 반응물은 패시베이션되지 않은 표면만 반응하고 패시베이션된 표면과는 반응하지 않는다. 따라서, 제1 금속 또는 반금속 반응물로부터 금속 또는 반금속을 포함한 재료의 층이 패시베이션되지 않은 표면 상에 형성된다. 그런 다음, 과량의 제1 금속 또는 반금속 반응물 및 반응 부산물이, 예를 들어 반응 공간을 퍼지함으로써 기판 표면으로부터 제거된다.
제3 단계에서, 제2 금속 또는 반금속 반응물은 제1 및 제2 표면과 접촉한다. 제2 금속 또는 반금속 반응물은, 패시베이션된 표면 및 패시베이션되지 않은 표면 둘 모두와 반응한다. 따라서, 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속을 포함한 재료의 층이 패시베이션된 표면 상에 형성되는 반면에, 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속, 그리고 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속을 포함한 재료의 층은 패시베이션되지 않은 표면 상에 형성된다. 그런 다음, 과량의 제2 금속 또는 반금속 반응물 및 반응 부산물이, 예컨대 반응 공간을 퍼지함으로써 기판 표면으로부터 제거된다.
제4 단계에서, 제1 및 제2 표면은 추가적인 비-금속 비-반금속 반응물, 예컨대 산소 반응물, 질소 반응물 또는 탄소 반응물과 접촉한다. 제3 반응물은, 예컨대 금속 또는 반금속 산화물, 질화물, 탄화물, 산질화물 또는 산탄화물을 형성함으로써, 패시베이션된 표면 상의 재료 및 패시베이션되지 않은 표면 상의 재료와 반응한다. 그런 다음, 과량의 제3 반응물 및 반응 부산물이, 예컨대 반응 공간을 퍼지함으로써 기판 표면으로부터 제거된다.
기판 표면을 다른 반응물과 교대 순차적으로 접촉하는 단계, 및 표면으로부터 반응물을 제거하는 단계를 포함한 추가 단계는, 보다 복잡한 재료를 형성하기 위해 포함될 수 있다.
일부 구현예에서, 각 사이클의 각 단계는 자기 제한적이다. 과량의 반응물 전구체가 민감한 구조 표면을 포화시키기 위해 각 페이즈에서 공급될 수 있다. 표면 포화는 이용 가능한 모든 반응 부위(예컨대, 물리적 크기 또는 "입체 장애" 제약 조건에 종속됨)의 반응물 점유를 보장하고 따라서 우수한 단차 피복도를 보장한다. 전형적으로, 하나 미만의 분자 재료층이 각 사이클을 이용하여 증착되지만, 일부 구현예에서, 하나 이상의 분자층이 상기 사이클 동안 증착된다.
과량의 반응물과 반응 부산물을 기판 표면으로부터 제거하는 단계는, 반응 공간의 내용물 전부 또는 일부를 배기하고/배기하거나 반응 공간을 헬륨, 질소 또는 다른 불활성 가스로 퍼지하는 단계를 포함할 수 있다. 일부 구현예에서, 퍼지는 불활성 캐리어 가스를 반응 공간으로 지속적으로 흘리면서 반응 가스의 흐름을 차단하는 단계를 포함할 수 있다. 일부 구현예에서, 과량의 반응물 및 반응 부산물은 기판을, 예를 들어 상이한 반응 공간으로 이동시킴으로써 기판 표면으로부터 제거된다.
ALD형 공정에서 사용되는 반응물이 기판 표면과 접촉하기 전에 기상으로 있는 경우, 이들 반응물은 표준 조건(실온 및 대기압) 하에서 고체, 액체 또는 가스 재료일 수 있다. 기판 표면을 기화된 반응물과 접촉시키는 것은 한정된 기간 동안 반응물 증기가 기판 표면과 접촉한다는 것을 의미한다. 전형적으로, 접촉 시간은 약 0.05 내지 약 10초이다. 그러나, 기판 유형 및 이의 표면적에 따라, 상기 접촉 시간은 10초보다 훨씬 더 길 수 있다. 접촉 시간은 경우에 따라 분 단위일 수 있다. 최적의 접촉 시간은 특정 환경에 기초하여 당업자에 의해 결정될 수 있다.
반응물의 질량 유속은 또한 당업자에 의해 결정될 수 있다. 일부 구현예에서, 전구체의 유속은 바람직하게는 제한 없이 약 1 내지 약 1000 sccm, 또는 약 100 내지 약 500 sccm이다.
반응 챔버 내 압력은 약 0.01 내지 약 20 mbar, 또는 약 1 내지 약 10 mbar일 수 있다. 일부 경우에, 압력은 특정 상황에 기초하여 이들 범위보다 높거나 낮을 것이다.
사용될 수 있는 적절한 반응기의 예는, 상업적으로 이용 가능한 ALD 장비를 포함한다. ALD 반응기 외에, 적절한 장비 및 전구체를 펄스화하기 위한 수단을 갖춘 화학 기상 증착(CVD) 반응기를 포함하여 박막의 ALD 성장이 가능한 다른 많은 종류의 반응기가 사용될 수 있다. 일부 구현예에서, 유동형 ALD 반응기가 사용된다. 바람직하게는 반응물은 반응 챔버에 도달할 때까지 분리되어 유지되어, 전구체에 대한 공유 선이 최소화된다.
성장 공정은 클러스터 툴에 연결된 반응기 또는 반응 공간에서 선택적으로 수행될 수 있다. 클러스터 툴에서, 각각의 반응 공간은 하나의 유형의 공정에 전용되기 때문에, 각 모듈 내의 반응 공간의 온도는 일정하게 유지될 수 있으며, 이는 각 공정 실행 전에 기판이 공정 온도로 가열되는 반응기에 비해 처리량을 향상시킨다.
독립형 반응기는 로드-록을 구비할 수 있다. 이러한 경우, 각각의 공정 실행 사이에 반응 공간을 냉각할 필요가 없다.
도 1은, 금속 표면과 같은 기판의 제1 표면 상에 제1 재료를 그리고 유전체 표면과 같은 기판의 제2 표면 상에 제2 상이한 재료를 선택적으로 증착하기 위한 단일 증착 사이클을 나타낸다. 일부 구현예에서, 제1 재료는 제1 표면 상에만 증착되고 제2 재료는 제2 표면 상에만 증착된다.
제1 표면과 제2 표면을 갖는 기판이 제공된다. 제1 표면 및 제2 표면을 포함한 기판은, 패시베이션제(102), 제1 금속 또는 반금속 반응물(104), 제2 금속 또는 반금속 반응물(106) 및 제3 반응물(108)과 교대 순차적으로 접촉한다.
일부 구현예에서, 선택적으로 패시베이션된 제1 표면은 금속 또는 금속성 표면이다. 일부 구현예에서, 금속 표면은 상단 표면에서 산화된다. 일부 구현예에서, 제1 금속 또는 금속성 표면은 코발트(Co), 구리(Cu), 텅스텐(W), 및/또는 니켈(Ni)을 포함할 수 있다.
일부 구현예에서, 패시베이션되지 않는 제2 표면은 유전체 표면일 수 있다. 일부 구현예에서, 유전체 표면은 실리콘 기반 유전체 재료, 예컨대 실리콘 디옥사이드(SiO2), 실리콘 나이트라이드(SiN), 및/또는 실리콘 옥사이드 카바이드(SiOC)의 표면일 수 있다.
블록(102)에서, 제1 표면 및 제2 표면은 동시에 패시베이션 단계에서 패시베이션제에 노출된다. 패시베이션제의 예시는 2,2,6,6,-테트라메틸-3,5-헵탄디온(Hthd), 아세틸아세톤(Hacac), 및 헥사플루오로아세틸아세톤(Hfac) 중 적어도 하나를 포함한다. 일부 구현예에서, 패시베이션제는 베타-디케토네이트이다. 패시베이션 단계 동안에, 패시베이션제는 제2 유전체 표면이 아니라 제1 금속 표면 상에 선택적으로 흡착되어, 금속 층의 표면 상에 패시베이션 층을 형성할 수 있다. 예를 들어, 일부 구현예에서, 제1 표면은 루테늄을 포함할 수 있고, 제2 표면은 실리콘 함유 재료, 예컨대 실리콘 산화물을 포함할 수 있고, 패시베이션제는 알릴, 예컨대 알릴 벤젠일 수 있다. 일부 구현예에서, 제1 표면은 구리를 포함할 수 있고, 제2 표면은 실리콘 산화물과 같은 실리콘 함유 재료를 포함할 수 있고, 패시베이션제는 티올일 수 있다. 일부 구현예에서, 제1 표면은 금속 또는 금속 산화물을 포함할 수 있고, 제2 표면은 실리콘 함유 재료, 예컨대 실리콘 산화물을 포함할 수 있고, 패시베이션제는 시클로펜타디에닐 화합물을 포함할 수 있다. 제1 및 제2 표면을 패시베이션제에 노출시킨 후, 과량의 패시베이션제가, 예컨대 퍼지에 의해 제1 표면 및 제2 표면으로부터 제거될 수 있다.
블록(104)에서, 제1 표면 및 제2 표면은 동시에 제1 기상 금속 또는 반금속 반응물에 노출된다. 제1 금속 또는 반금속 반응물은 실리콘 반응물과 같은 반금속 반응물일 수 있다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 헥사메틸디실라잔(HMDS), 테트라메틸디실라잔(TMDS), 디에틸아미노실란 및/또는 헥사키스(에틸아미노)디실란이다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은, 제1 금속 또는 반금속 반응물이 제1 금속 표면과 접촉하고 반응하는 것을 패시베이션층이 입체적으로 방지하도록 하는, 분자 크기를 갖는다. 따라서, 제1 금속 또는 반금속 반응물은, 패시베이션층을 포함하지 않는 제2 표면과 우선적으로 접촉하고 반응한다. 제1 표면 및 제2 표면이 제1 금속 또는 반금속 반응물에 노출된 후, 과량의 제1 금속 또는 반금속 반응물은, 예컨대 반응 공간을 퍼지함으로써 제1 표면 및 제2 표면으로부터 제거될 수 있다.
블록(106)에서, 제1 표면 및 제2 표면은 동시에 제2 금속 또는 반금속 반응물에 노출된다. 일부 구현예에서, 제2 금속 또는 반금속 반응물은, 알루미늄 반응물, 지르코늄 반응물, 하프늄 반응물, 티타늄 반응물, 란타늄 반응물, 및/또는 에르븀 반응물과 같은 금속 반응물일 수 있다. 일부 구현예에서, 제2 금속 또는 반금속 반응물은 트리메틸알루미늄(TMA)이다. 제2 금속 또는 반금속 반응물의 분자 크기는, 패시베이션제가 제2 금속 또는 반금속 반응물과 제1 표면과의 반응을 입체적으로 방해하거나 차단하지 않도록 할 수 있다. 따라서, 제2 금속 또는 반금속 반응물은, 제1 패시베이션 표면 및 제2 비 패시베이션 표면 둘 모두와 접촉하고 반응할 수 있다. 제1 표면 및 제2 표면이 제2 금속 또는 반금속 반응물에 동시에 노출된 후, 과량의 제2 금속 또는 반금속 반응물은, 예컨대 반응 공간을 퍼지함으로써 제1 표면 및 제2 표면으로부터 제거될 수 있다.
제1 표면 및 제2 표면은, 하나 이상의 추가 반응물, 예컨대 산소 반응물, 질소 반응물, 및/또는 탄소 반응물에 추가 노출될 수 있다. 산소 반응물은, 예를 들어 물(H2O), 오존(O3), 산소 분자(O2), 공기, 또는 다른 산화제를 포함할 수 있다. 제1 표면 및 제2 표면이 산화제에 노출되는 경우에, 제1 표면 및 제2 표면 상에 증착된 재료는 산화되어 제1 표면 상에 제1 산화물 재료 및 제2 표면 상에 제2 산화물 재료를 형성할 수 있다. 유사하게, 질소 반응물은 금속 질화물을 형성하기 위해 사용될 수 있고/있거나 탄소 반응물은 금속 탄화물을 형성하기 위해 사용될 수 있다. 질소 반응물은, 예를 들어 암모니아, 히드라진, 메틸히드라진, 1,1-디메틸히드라진, 터트-부틸히드라진, 페닐히드라진, 1,1-디페닐히드라진, 1-아미노피페리딘, 1-아미노피롤리딘, 아지리딘, 1-아미노아지리딘, 아조-터트-부탄, 아닐린, C1-C6 알킬아민 및 디알킬아민(분지형 및 환형 알킬 기 포함)을 포함하거나, 이로 필수적으로 이루어지거나, 이로 구성될 수 있다. 탄소 반응물은, 예를 들어 에틸렌, 아세틸렌, 프로파디엔, 1,3-부타디엔, 2,4-디메틸-1,3-부타디엔, 1,3-시클로헥사디엔, 1,4-시클로헥사디엔, 클로로메탄, 디클로로메탄, 클로로포름, 사염화탄소, 브로모메탄, 디브로모메탄, 브로모포름, 사브롬화탄소, 요오드메탄, 디요오드메탄, 요오드포름, 사요오드화탄소, 브로모에탄, 요오드에탄, 1,2-디브로모에탄, 1,2-디요오드에탄, 알릴 클로라이드, 알릴 브로마이드, 알릴 요오드를 포함하거나, 이로 필수적으로 이루어지거나, 이로 구성될 수 있다. 전술한 바와 같이, 추가 반응물을 사용하는 추가 단계는, 산질화물 또는 산탄화물과 같은 더 복잡한 물질을 형성하기 위해 사용될 수 있다. 제1 표면 및 제2 표면이 추가 반응물에 노출된 후에, 과량의 반응물은 제1 표면 및 제2 표면으로부터 제거될 수 있다.
일부 구현예에서, 제1 표면 상에 증착된 제1 재료는, 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속이 아닌, 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속을 포함한다. 반면에, 제2 표면 상에 증착된 제2 재료는, 제1 및 제2 금속 또는 반금속 반응물 둘 모두로부터의 금속 또는 반금속을 포함한다. 예를 들어, 일부 구현예에서, 제1 금속 표면 및 제2 유전체 표면은, 증착 사이클에서 실리콘 반응물, 알루미늄 반응물 및 산소 반응물과 교대 순차적으로 접촉한다. 일부 구현예에서, 금속 표면은 Co, Co, Ni, 또는 W을 포함하고, 유전체 표면은 SiO2를 포함한다. Hacac, Hfac 또는 Hthd가 패시베이션제로서 사용될 수 있고, 디에틸아미노실란 또는 헥사키스(에틸아미노)디실란이 제1 금속 또는 반금속 반응물로서 사용될 수 있고, TMA가 제2 금속 또는 반금속 반응물로서 사용될 수 있다. 물 또는 다른 산화제가 제3 반응물로서 사용될 수 있다. 즉, 일부 구현예에서, 증착 사이클은 제1 금속 표면 및 제2 유전체 표면을, Hacac, Hthd, 및/또는 Hfac를 포함한 패시베이션제, 디에틸아미노실란 또는 헥사키(에틸아미노)디실란을 포함한 제1 금속 또는 반금속 반응물, TMA를 포함한 제2 금속 또는 반금속 반응물, 및 물과 같은 제3 산소 반응물과 교대 순차적으로 접촉시키는 단계를 포함한다. 증착 사이클은 2회 이상 반복될 수 있고, 금속 층 상에 알루미늄 산화물(Al2O3)을, 유전체 표면 상에 알루미늄 실리케이트(AlXSiYO)를 선택적으로 증착한다.
따라서, 금속 표면 상에 증착된 제1 재료는, 유전체 표면 상에 증착된 제2 재료와 상이한 재료 특성을 갖는다. 예를 들어, 제1 재료는 상이한 에칭 속도, 상이한 전도도, 상이한 밀도, 상이한 굴절 또는 다른 재료 특성을 가질 수 있고, 이는 제2 재료의 것과 상이하다.
일부 구현예에서, 과량의 반응물 또는 패시베이션제를 제거하는 단계는, 과량의 반응물 및/또는 반응 부산물이 퍼지 가스의 도움으로 반응 공간으로부터 제거되는, 퍼지 사이클을 포함할 수 있다. 퍼지 사이클은 퍼지 가스, 예를 들어 헬륨(He) 또는 아르곤(Ar)과 같은 불활성 가스를 포함할 수 있다.
전술한 바와 같이, 제1, 제2 및 제3 반응물로서 지칭되지만, 반응물은 각각의 증착 사이클에서 임의의 순서로 제공될 수 있고, 상이한 증착 사이클에서 상이한 순서로 제공될 수 있다.
일부 구현예에서, 공정은 위에서 논의된 제1 증착 사이클에 더하여 제2 증착 사이클을 포함할 수 있다. 제2 증착 사이클에서, 기판은 하나 이상의 추가 반응물에 교대 순차적으로 노출될 수 있다. 일부 구현예에서, 기판은 패시베이션제, 및 제1 증착 사이클에 사용된 제1 또는 제2 금속 또는 반금속 반응물 중 하나에 노출될 수 있지만, 제1 증착 사이클에 사용된 다른 제1 또는 제2 금속 또는 반금속 반응물에는 노출되지 않는다. 예를 들어, 제2 증착 사이클에서, 기판의 제1 및 제2 표면은 패시베이션제 및 제1 금속 또는 반금속 반응물에 교대 순차적으로 노출될 수 있지만, 제1 표면 및 제2 표면을 제2 금속 또는 반금속 반응물에 노출시키지 않는다(블록(106)). 따라서, 제2 증착 사이클은, 제1 표면 및 제2 표면을 패시베이션제, 및 패시베이션제에 의한 반응으로부터 차단된 제1 금속 또는 반금속 반응물과 교대 순차적으로 노출시키는 단계를 포함할 수 있다. 이러한 방식으로, 제2 사이클은, 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속을 포함한 재료를, 패시베이션되지 않은 표면으로 차등적으로 기여할 수 있다. 따라서, 제2 사이클은, 패시베이션되지 않은 표면 상에 증착된 재료 내의 금속 또는 반금속 중 하나의 농도를 조절하거나 조정하는 데, 사용될 수 있다. 일부 구현예에서, 하나 또는 둘 모두의 표면에 추가 성분을 첨가하고/첨가하거나 하나 또는 둘 모두의 표면 상에 상이한 재료를 증착하기 위해, 상이한 반응물이 제2 사이클에서 사용된다. 제2 증착 사이클은, 기판의 제1 표면 및 제2 표면을 산화제, 질소 반응물, 또는 탄소 반응물에 노출시키는 단계를 추가로 포함할 수 있다. 제2 증착 사이클은, 예를 들어 제2 표면 상의 제2 재료의 조성을 조절하기 위해 사용될 수 있다.
각각의 증착 사이클은 소정 횟수만큼 순차적으로 반복될 수 있고, 원하는 조성 및 두께를 갖는 막을 선택적으로 증착하기 위해 원하는 비율로 2회(또는 그 이상)의 상이한 증착 사이클이 수행될 수 있다. 예를 들어, 제1 증착 사이클은 X회 반복될 수 있다. 제2 증착 사이클이 수행되는 경우, 제2 증착 사이클은 Y회 반복될 수 있으며, 여기서 X 및 Y는 정수이다. X 및 Y에 대한 특정 수 및 X:Y의 비율은 원하는 조성 및 두께를 달성하기 위해 선택될 수 있다.
일부 구현예에서, 제1 증착 사이클은 전술한 바와 같이 수행되며, 여기서 제1 금속 표면 및 제2 유전체 표면을 포함한 기판은 패시베이션제, 제1 실리콘 반응물, 제2 금속 또는 반금속 반응물, 및 제3 산소, 질소 또는 탄소 반응물에 교대 순차적으로 노출된다. 일부 구현예에서, 제1 증착 사이클이 금속 층 상에 금속 산화물을, 그리고 유전체 표면 상에 금속 실리케이트를 선택적으로 증착하도록, 반응물을 선택한다. 예를 들어, 금속 실리케이트는 알루미늄 실리케이트(AlxSiyO), 티타늄 실리케이트(TiXSiYO), 탄탈륨 실리케이트(TaXSiYO), 하프늄 실리케이트(HfXSiYO), 지르코늄 실리케이트(ZrXSiYO), 이트륨 실리케이트(YXSiYO), 및 란타늄 실리케이트(LaXSiYO)일 수 있다. 다른 금속 산화물은 티타늄 산화물(TiO2), 탄탈륨 산화물(Ta2O5), 하프늄 산화물(HfO2), 지르코늄 산화물(ZrO2), 이트륨 산화물(Y2O3), 및 란타늄 산화물(La2O3)일 수 있다.
일부 구현예에서, 제1 금속 표면 및 제2 유전체 표면, 예컨대 Cu 표면 및 SiO2 표면을 포함한 기판은, Hacac, Hthd, Hfac, 및/또는 베타-디케토네이트를 포함한 패시베이션제, 예를 들어 HMDS, TMDS, 디에틸아미노실란, 및/또는 헥사키스(에틸아미노디실란과을 포함한 제1 실리콘 반응물, TMA를 포함한 알루미늄 반응물과 같은 제2 금속 반응물, 및 물과 같은 제3 산소 반응물에 교대 순차적으로 노출된다. 제1 증착 사이클은, 금속 층 상에 알루미늄 산화물(Al2O3)을, 유전체 표면 상에 알루미늄 실리케이트(AlXSiYO)를 선택적으로 증착한다.
제2 증착 사이클은 유전체 표면 상의 알루미늄 실리케이트의 실리콘 함량을 조정하기 위해 수행될 수 있다. 제2 증착 사이클은, 제1 표면 및 제2 표면을 패시베이션제, 실리콘 반응물 및 산소 반응물에 교대 순차적으로 노출시키는 단계를 포함할 수 있다. 패시베이션제가 (이전의 증착 사이클로부터 알루미늄 산화물을 포함할 수 있는) 금속 표면을 패시베이션하기 때문에, 제2 금속 또는 반금속 반응물은 (이전의 증착 사이클로부터 알루미늄 실리케이트를 포함할 수 있는) 유전체 표면만 반응하여, 제2 증착 사이클에서, 실리콘 산화물이 금속 표면에 대해 유전체 표면 위에 선택적으로 증착되도록 한다. 제1 및 제2 증착 사이클의 적절한 비율을 선택함으로써, 실리콘 농도가 증가된 알루미늄 실리케이트가 유전체 표면 위에 형성될 수 있다.
도 2a는 도 1에 나타낸 것과 같이 주기적인 기상 증착 공정의 예시적인 구현의 다양한 단계를 나타낸다. 도 2a에서, 250에서, 제1 표면(204) 및 제2 표면(202)을 갖는 기판이 제공된다. 제1 표면(204)은 제2 표면(202)과 상이한 재료일 수 있다. 일부 구현예에서, 제1 표면(204)은 금속 또는 금속성인 반면에, 제2 표면(202)은 유전체 표면일 수 있다. 일부 구현예에서, 제1 금속 또는 금속성 표면은, 예를 들어 Co, Cu, W, 및/또는 Ni를 포함할 수 있다. 일부 구현예에서, 제1 금속 표면은 제1 금속 표면 상단에 표면 산화물을 포함한다. 제1 금속 표면 상의 표면 산화물은, 제1 금속 표면의 금속 산화물, 예를 들어 코발트 산화물, 구리 산화물, 또는 텅스텐 산화물일 수 있다. 일부 구현예에서, 제2 유전체 표면은 SiO2, SiN, 및/또는 SiOC를 포함할 수 있다.
패시베이션 단계(252) 동안에, 제1 및 제2 표면을 Hthd 또는 Hacac과 같은 패시베이션제에 노출시킴으로써, 제1 표면(204) 상에 패시베이션 층(206)이 선택적으로 형성된다. 패시베이션 층(206)은, 존재하는 경우에 제1 표면(204) 상의 표면 산화물 상에 형성될 수 있다. 패시베이션 단계(252) 동안에, 패시베이션제는 제2 표면(202)이 아닌 제1 표면(204)과 선택적으로 반응하여, 제2 표면에 대해 제1 표면 상에 패시베이션 층이 선택적으로 형성되도록 한다. 전술한 바와 같이, 패시베이션제는, 예를 들어 Hthd, Hfac 또는 Hacac일 수 있다.
제1 금속 또는 반금속 반응물 단계(254)에서, 패시베이션된 제1 표면(204) 및 제2 표면(202)은 제1 금속 또는 반금속 반응물에 노출된다. 일부 구현예에서, 제1 금속 또는 반금속 반응물은 실리콘 반응물, 예컨대 디에틸아미노실란 또는 헥사키스(에틸아미노)디실란이다. 제1 표면(204) 상의 패시베이션 층(206)은, 제1 금속 또는 반금속 반응물이 제1 표면(204)과 접촉하는 것을 입체적으로 방지한다. 따라서, 제1 금속 또는 반금속 반응물은 제2 표면(202)과 선택적으로 반응하고, 실리콘과 같은 제1 금속 또는 반금속을 포함한 반응물 종의 층(208)은 제1 표면(204)이 아니라 제2 표면(202) 상에 선택적으로 증착된다.
제2 금속 또는 반금속 반응물 단계(256)에서, 기판은 제2 금속 또는 반금속 반응물에 노출된다. 제2 금속 또는 반금속 반응물은, 예를 들어 TMA와 같은 알루미늄 반응물일 수 있다. 일부 구현예에서, 제2 금속 또는 반금속 반응물은 Zr 반응물, Hf 반응물, Ti 반응물, La 반응물 또는 Er 반응물일 수 있다. 패시베이션 층(206)의 존재는, 제2 금속 또는 반금속 반응물이 제1 표면(204)과 접촉하고 반응하는 것을 방해하지 않는다. 따라서, 제2 금속 또는 반금속 반응물은 제1 표면(204) 및 제2 표면(202) 둘 모두와 접촉하고 반응한다. 결과적으로, 제1 층(212)은 제2 표면(202) 상에 형성되며 상기 제2 표면은 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속, 및 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속 모두를 포함하는 반면에, 제2 층(210)은 제 1표면(204) 상에 형성되며, 상기 제1 표면은 제2 금속 또는 반금속 반응물로부터의 금속 또는 반금속만을 포함한다. 예를 들어, 제1 금속 또는 반금속 반응물이 실리콘 반응물이고 제2 금속 또는 반금속 반응물이 알루미늄 반응물인 경우, 비-피시베이션된 제2 표면(204) 상의 제1 층(212)은 실리콘 및 알루미늄을 포함할 수 있는 반면에, 패시베이션된 표면(204) 상의 제2 층(210)은 알루미늄을 포함하지만 실리콘을 포함하지 않는다.
전술한 바와 같이, 추가적인 단계(258)는, 기판이 산소 반응물, 질소 반응물 및/또는 탄소 반응물과 같은 제3 반응물에 노출되는 증착 사이클에 포함될 수 있다. 도 2b는 전술한 바와 같은 추가 반응물에 노출된 후의 기판을 도시한다. 일부 구현예에서, 산소 반응물은 물, 오존, 산소 분자, 또는 공기를 포함할 수 있다. 산소 반응물에 노출된 후, 제2 표면(202) 상의 제1 층(212) 및 제1 표면(204) 상의 제2 층(210)은, 제1 표면(204) 상의 제1 재료(214) 및 제2 표면(202) 상의 제2 재료(216)로 변환된다. 제1 금속 또는 반금속 반응물이 실리콘 반응물이고, 제2 금속 또는 반금속 반응물이 알루미늄 반응물이고, 제3 반응물이 산소 반응물인 경우dp, 제1 재료(214)는 Al2O3일 수 있고, 제2 재료(216)는 알루미늄 실리케이트(AlXSiYO)일 수 있다.
제1 재료(214) 및 제2 재료(216)의 두께를 증가시키기 위해, 도 2a 및 도 2b는 원하는 두께에 도달할 때까지 반복될 수 있다.
또한, 일부 구현예에서 전술한 바와 같이, 제2 재료(216)의 함량은 하나 이상의 제2 증착 사이클을 제공함으로써 조절되거나 조정될 수 있다. 이들 조정 사이클은 도 2a 및 도 2b에 나타낸 것처럼 제1 증착 사이클의 단계를 반영하지만, (제2 금속 또는 반금속 반응물 단계(256)에서 수행되는 바와 같이) 기판을 제2 금속 또는 반금속 반응물에 노출시키는 단계는 생략한다. 이러한 제2 증착 조정 사이클이 수행되는 경우에, 제1 금속 또는 반금속 반응물은 여전히 제2 표면(202)과 접촉할 것이고, 이는 제2 재료(216) 내의 제1 금속 또는 반금속 반응물로부터의 금속 또는 반금속의 양을 증가시킬 수 있다. 그러나, 제1 금속 또는 반금속 반응물은 패시베이션 층(206)의 존재로 인해 제1 표면(204)과 접촉하지 않으며, 따라서 조정 사이클에서 제1 표면(204) 상에 추가 재료가 거의 또는 전혀 증착되지 않을 것이다. 이러한 방식으로, 예를 들어 알루미늄 실리케이트의 실리콘 함량을 증가시킬 수 있다.
특정 구현예 및 실시예가 논의되었지만, 당업자는 청구범위의 범주가 구체적으로 개시된 구현예 내지 대안적인 구현예 및/또는 용도 및 이들의 명백한 변형물 및 균등물 너머로 연장됨을 이해할 것이다.

Claims (23)

  1. 기판의 제1 표면 상에 제1 재료를, 그리고 상기 기판의 제2 표면 상에 제2 상이한 재료를 서낵적으로 증착하기 위한 방법으로서, 상기 방법은 하나 이상의 제1 증착 사이클을 포함하며, 상기 사이클은,
    상기 기판의 제1 및 제2 표면을 패시베이션제에 노출시켜, 상기 패시베이션제가 상기 제2 표면에 대해 상기 제1 표면 상에 패시베이션 층을 선택적으로 형성하는 단계;
    상기 기판의 제1 및 제2 표면을 제1 금속 또는 반금속 반응물에 노출시키는 단계; 및
    상기 기판의 제1 및 제2 표면을 제2 금속 또는 반금속 반응물과 접촉시키는 단계를 포함하되,
    제1 금속 또는 반금속 반응물로부터 제1 금속 또는 반금속, 및 제2 금속 또는 반금속 반응물로부터 제2 금속 또는 반금속을 포함한 제1 재료의 제1 층은, 제1 표면 상이 아닌 제2 표면 상에 형성되고,
    제2 금속 또는 반금속 반응물로부터 제2 금속 또는 반금속을 포함한 제2 재료의 제2 층은, 제2 표면 상이 아닌 제1 표면 상에 형성되는, 방법.
  2. 제1항에 있어서, 상기 제1 표면은 금속을 포함하고, 상기 제2 표면은 유전체 재료를 포함하는, 방법.
  3. 제2항에 있어서, 상기 금속은 Co, Cu, W, Ru 또는 Ni인 방법.
  4. 제2항에 있어서, 상기 유전체 재료는 실리콘디옥사이드(SiO2), 실리콘나이트라이드(SiN), 및/또는 실리콘 옥사이드 카바이드(SiOC)를 포함하는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 제1 재료는 상기 제2 재료와 상이한 재료 특성을 갖는, 방법.
  6. 제5항에 있어서, 상기 제1 재료는 상기 제2 재료와 상이한 에칭 속도를 갖는, 방법.
  7. 제5항에 있어서, 상기 제1 재료는 상기 제2 재료와 상이한 전도도 및 굴절률을 갖는, 방법.
  8. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 제1 및 제2 표면을 산소 반응물, 질소 반응물 또는 탄소 반응물을 포함한 추가 반응물과 접촉시키는 단계를 추가로 포함하는 방법.
  9. 제8항에 있어서, 상기 제1 표면은 금속 표면이고, 상기 제2 표면은 유전체 표면이고, 상기 패시베이션제는 Hthd, Hfac 또는 Hacac이고, 상기 제1 금속 또는 반금속 반응물은 디에틸아미노실란 또는 헥사키스(에틸아미노)디실란이고, 상기 제2 금속 또는 반금속 반응물은 트리메틸 알루미늄(TMA)이고, 상기 추가 반응물은 물을 포함한 산소 반응물인, 방법.
  10. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 방법은 하나 이상의 제2 증착 사이클을 추가로 포함하고, 상기 사이클은 상기 기판을 상기 패시베이션제 및 상기 제1 금속 또는 반금속 반응물과 교대 순차적으로 접촉시키는 단계를 포함하는, 방법.
  11. 제10항에 있어서, 상기 하나 이상의 제2 증착 사이클은, 상기 기판을 산소 반응물, 질소 반응물 및/또는 탄소 반응물과 접촉시키는 단계를 추가로 포함하는, 방법.
  12. 제10항에 있어서, 상기 제1 사이클은 X회 반복되고 상기 제2 사이클은 Y회(여기서 X 및 Y는 정수임) 반복되는 방법.
  13. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 패시베이션제는 디-이민 또는 베타-디케토네이트를 포함하는, 방법.
  14. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 패시베이션 층은 상기 제1 금속 또는 반금속 반응물이 상기 제1 표면과 반응하는 것을 입체적으로 방지하는, 방법.
  15. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 제1 금속 또는 반금속 반응물은 실리콘을 포함하는, 방법.
  16. 제15항에 있어서, 상기 제1 금속 또는 반금속 반응물은 헥사메틸디실라잔(HMDS), 테트라메틸디실라잔(TMDS), 디에틸아미노실란 및/또는 헥사키스(에틸아미노)디실란을 포함하는, 방법.
  17. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 제2 금속 또는 반금속 반응물은 알루미늄, 지르코늄, 하프늄, 티타늄, 란타늄, 및/또는 에르븀을 포함하는, 방법.
  18. 기판 상에 두 개의 상이한 재료를 증착하는 방법으로서,
    상기 기판의 제1 표면 및 제2 표면을 상기 동일한 기상 반응물의 순차적 펄스와 동시에 접촉시킴으로써, 상기 기판의 제1 표면 상에 제1 재료를, 그리고 상기 기판의 제2 상이한 표면 상에 제2 상이한 재료를 선택적으로 및 동시에 증착하는 단계를 포함하는, 방법.
  19. 제18항에 있어서, 상기 제1 재료 및 상기 제2 재료를 선택적으로 증착하는 단계는 주변 공기에 노출하지 않고 수행되는, 방법.
  20. 제18항 또는 제19항에 있어서,
    상기 제1 및 제2 표면을 패시베이션제에 노출시켜, 상기 패시베이션제가 상기 제2 표면에 대해 상기 제1 표면 상에 패시베이션 층을 선택적으로 형성하는 단계;
    상기 제1 및 제2 표면을 제1 반응물에 노출시키는 단계로서, 상기 제1 반응물은 금속 또는 반금속을 포함하는 단계; 및
    상기 제1 및 제2 표면을 제2 반응물에 노출시키는 단계로서, 상기 제2 반응물은 금속 또는 반금속을 포함하는 단계를 추가로 포함하는 방법.
  21. 제20항에 있어서, 상기 패시베이션제는 베타-디케토네이트를 포함하는, 방법.
  22. 제20항에 있어서, 상기 제1 반응물은 HMDS, TMDS, 디에틸아미노실란 및/또는 헥사키스(에틸아미노)디실란을 포함하는, 방법.
  23. 제20항에 있어서, 상기 제2 반응물은 알루미늄, 지르코늄, 하프늄, 티타늄, 란타늄, 및/또는 에르븀을 포함하는, 방법.
KR1020210038544A 2020-03-30 2021-03-25 상이한 두 표면 상에 상이한 두 재료의 선택적 동시 증착 KR20210122684A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063001898P 2020-03-30 2020-03-30
US63/001,898 2020-03-30

Publications (1)

Publication Number Publication Date
KR20210122684A true KR20210122684A (ko) 2021-10-12

Family

ID=77855644

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210038544A KR20210122684A (ko) 2020-03-30 2021-03-25 상이한 두 표면 상에 상이한 두 재료의 선택적 동시 증착

Country Status (4)

Country Link
US (2) US11608557B2 (ko)
KR (1) KR20210122684A (ko)
CN (1) CN113463069A (ko)
TW (1) TW202204658A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023204453A1 (ko) * 2022-04-19 2023-10-26 인천대학교 산학협력단 영역-선택적 원자층 증착법을 이용한 박막의 선택적 증착방법 및 박막이 선택적으로 형성된 기판
WO2024039625A1 (en) * 2022-08-15 2024-02-22 Applied Materials, Inc. Methods for selective molybdenum deposition

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10985028B1 (en) * 2019-10-18 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
US20220127717A1 (en) * 2020-10-27 2022-04-28 Applied Materials, Inc. Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
KR20230012422A (ko) * 2021-07-15 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 전이 금속과 13족 원소를 포함한 층을 형성하기 위한 방법 및 시스템
US20230343581A1 (en) * 2022-04-21 2023-10-26 Gelest, Inc. Inherent area selective deposition of mixed oxide dielectric film
US20240120195A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Dielectric on dielectric selective deposition using aniline passivation
KR20240097611A (ko) * 2022-12-20 2024-06-27 삼성전자주식회사 원자층 증착법을 이용한 선택적 층 형성 방법 및 이를 이용한 반도체 소자의 배선 형성 방법

Family Cites Families (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
EP1563117B1 (en) 2002-11-15 2010-01-06 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US20040266185A1 (en) * 2003-06-30 2004-12-30 Texas Instruments Incorporated Method for reducing integrated circuit defects
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
CN101815807B (zh) 2007-09-14 2012-06-13 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
CN101883877A (zh) 2007-11-06 2010-11-10 Hcf合伙人股份两合公司 原子层沉积法
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
JP2012501550A (ja) 2008-08-27 2012-01-19 アプライド マテリアルズ インコーポレイテッド 印刷誘電体障壁を使用するバックコンタクト太陽電池
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
KR20110103988A (ko) 2008-12-01 2011-09-21 이 아이 듀폰 디 네모아 앤드 캄파니 유기 전자 소자용 애노드
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US20120189868A1 (en) 2009-07-31 2012-07-26 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US8716130B2 (en) 2010-07-01 2014-05-06 Tokyo Electron Limited Method of manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
CN103476965B (zh) 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 由铝和硅前体沉积Al2O3/SiO2叠层的方法
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9352944B2 (en) 2012-03-19 2016-05-31 Gray Manufacturing Company, Inc. Control and communication system for a wireless vehicle lift system
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
WO2014119693A1 (ja) 2013-01-31 2014-08-07 大日本印刷株式会社 電子線硬化性樹脂組成物、リフレクター用樹脂フレーム、リフレクター、半導体発光装置、及び成形体の製造方法
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
KR102216417B1 (ko) 2013-06-28 2021-02-17 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
MX2016002656A (es) 2013-09-20 2016-06-06 Baker Hughes Inc Materiales compuestos para uso en operaciones de estimulacion y control de arena.
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9530733B2 (en) 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9932671B2 (en) 2014-03-27 2018-04-03 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015147858A1 (en) 2014-03-28 2015-10-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9911591B2 (en) * 2015-05-01 2018-03-06 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
WO2016204772A1 (en) 2015-06-18 2016-12-22 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
KR20230026514A (ko) 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
JP7146690B2 (ja) * 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) * 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023204453A1 (ko) * 2022-04-19 2023-10-26 인천대학교 산학협력단 영역-선택적 원자층 증착법을 이용한 박막의 선택적 증착방법 및 박막이 선택적으로 형성된 기판
WO2024039625A1 (en) * 2022-08-15 2024-02-22 Applied Materials, Inc. Methods for selective molybdenum deposition

Also Published As

Publication number Publication date
US20230203644A1 (en) 2023-06-29
US11608557B2 (en) 2023-03-21
CN113463069A (zh) 2021-10-01
US20210301391A1 (en) 2021-09-30
TW202204658A (zh) 2022-02-01

Similar Documents

Publication Publication Date Title
KR20210122684A (ko) 상이한 두 표면 상에 상이한 두 재료의 선택적 동시 증착
KR102691008B1 (ko) 기상 증착 저항성 패시베이션
US9587307B2 (en) Enhanced deposition of noble metals
JP7196291B2 (ja) タングステン膜又はモリブデン膜を堆積させるための方法
JP7523936B2 (ja) 金属表面上の金属酸化物の選択的堆積
JP4713041B2 (ja) 遷移金属窒化物薄膜の堆積方法
KR20200108248A (ko) SiOCN 층을 포함한 구조체 및 이의 형성 방법
US7198820B2 (en) Deposition of carbon- and transition metal-containing thin films
JP2006522225A (ja) 窒化ハフニウム堆積の方法
JP2010508661A (ja) 金属炭化物膜の気相成長
WO2013043501A1 (en) Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US11643720B2 (en) Selective deposition of silicon oxide on metal surfaces
JP2006257551A (ja) Aldによる貴金属の促進された堆積
KR20220087543A (ko) 저 저항률 금속 함유 필름들을 성장시키기 위한 방법들
JP7486588B2 (ja) エッチングまたは堆積のための方法
US20130078455A1 (en) Metal-Aluminum Alloy Films From Metal PCAI Precursors And Aluminum Precursors
KR20240096719A (ko) 몰리브데넘 전구체 화합물
CN117721436A (zh) 用于选择性地沉积过渡金属的方法和组件

Legal Events

Date Code Title Description
A201 Request for examination