CN106887380A - 实现无缝钴间隙填充的方法 - Google Patents

实现无缝钴间隙填充的方法 Download PDF

Info

Publication number
CN106887380A
CN106887380A CN201710145552.5A CN201710145552A CN106887380A CN 106887380 A CN106887380 A CN 106887380A CN 201710145552 A CN201710145552 A CN 201710145552A CN 106887380 A CN106887380 A CN 106887380A
Authority
CN
China
Prior art keywords
layer
substrate
deposition
layers
contact metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710145552.5A
Other languages
English (en)
Other versions
CN106887380B (zh
Inventor
布尚·N·左普
阿夫热里诺·V·杰拉托斯
博·郑
雷宇
付新宇
斯里尼瓦斯·甘迪科塔
尚浩·于
马修·亚伯拉罕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN106887380A publication Critical patent/CN106887380A/zh
Application granted granted Critical
Publication of CN106887380B publication Critical patent/CN106887380B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供用于在半导体器件的接触结构中沉积接触金属层的方法。在一个实施方式中,提供一种沉积接触金属层以用于形成半导体器件中的接触结构的方法。所述方法包括进行循环金属沉积工艺以在基板上沉积接触金属层和对设置在基板上的接触金属层进行退火。所述循环金属沉积工艺包括使基板暴露于沉积前驱物气体混合物以在基板上沉积接触金属层的一部分,使所述接触金属层的所述部分暴露于等离子体处理工艺,并重复使基板暴露于沉积前驱物气体混合物和使所述接触金属层的所述部分暴露于等离子体处理工艺的步骤,直到达到所述接触金属层的预定厚度。

Description

实现无缝钴间隙填充的方法
本申请是申请日为2013年2月6日、申请号为201380014720.7、发明名称为“实现无缝钴间隙填充的方法”的发明专利申请的分案申请。
技术领域
本发明的实施方式大体涉及半导体制造工艺领域,更具体地,涉及用于在半导体器件的接触结构中沉积接触金属层的方法。
背景技术
集成电路可包括一百万个以上的微电子场效应晶体管(例如,互补金属氧化物半导体(CMOS)场效应晶体管),这些晶体管形成在基板(例如,半导体晶片)上且共同合作以执行电路内的各种功能。可靠地制造次半微米(sub-halfmicron)和更小的特征结构是制造下一代半导体器件的超大规模集成电路(VLSI)和特大规模集成电路(ULSI)的关键技术之一。然而,当集成电路技术被推向极限时,欲不断缩小VLSI和ULSI技术中的互连线(interconnects)尺寸在处理能力上有着额外的要求。可靠地形成栅极图案对于集成电路的成功并持续致力于提高电路密度和各别基板和芯片(die)的品质而言相当重要。
随着特征结构尺寸越来越小,对于较高深宽比(aspect ratio)(定义为所述特征结构的深度与宽度之间的比例)的要求也稳定提高至20:1,甚至更高。当在具有小的几何形状(诸如具有约20:1的深宽比或更小的几何形状)的接触结构中沉积接触金属层时,可能发生各式各样的问题。例如,当过孔具有小于50nm的临界尺寸或具有大于10:1的深宽比时,使用传统的PVD工艺所沉积的接触金属层经常遭遇阶梯覆盖不良、悬突(overhang)和在过孔或沟槽内形成空隙(void)的情况。过孔或沟槽的底部和侧壁上沉积不足亦可能造成沉积不连续,从而导致器件短路或互连形成不良。此外,接触金属层对于下方的含硅层可能具有较差的附着力,导致所述接触金属层从基板和后续的导电金属层上剥落。
随着晶体管密度的增加和随之而来金属接触截面的减少,要使用现有的低电阻率钨(W)集成方案以满足接触电阻的要求将极具挑战性。在钨接触集成方案中必须使用高电阻率粘附层(例如,B2H6成核作用)和阻挡层(例如,TiN),导致接触电阻升高,使得钨接触集成方案对于小于22纳米的节点技术而言是缺乏吸引力的选项。
因此,需要一种用于在高深宽比特征结构中形成接触金属层的改进方法。
发明内容
本发明的实施方式大体涉及半导体制造工艺领域,更具体地,涉及用于在半导体器件的接触结构中沉积接触金属层的方法。在某些实施方式中,提供一种沉积接触金属层以用于形成半导体器件中的接触结构的方法。所述方法包括进行循环金属沉积工艺以在基板上沉积接触金属层,并对设置在所述基板上的接触金属层进行退火。所述循环金属沉积工艺包括使所述基板暴露于沉积前驱物气体混合物,以在所述基板上沉积所述接触金属层的一部分,使所述接触金属层的所述部分暴露于等离子体处理工艺,以及重复进行使所述基板暴露于沉积前驱物气体混合物的步骤及使所述接触金属层的所述部分暴露于等离子体处理工艺的步骤,直到达到所述接触金属层的预定厚度。
在某些实施方式中,提供一种沉积接触金属层以用于形成半导体器件中的接触结构的方法。所述方法包括进行阻挡层沉积工艺以在基板上沉积阻挡层,进行润湿层沉积以在所述基板上沉积润湿层,以及进行循环金属沉积工艺以在所述基板上沉积接触金属层。所述循环金属沉积工艺包括使所述基板暴露于沉积前驱物气体混合物,以在所述基板上沉积所述接触金属层的一部分,以及重复进行使所述基板暴露于沉积前驱物气体混合物的步骤和使所述接触金属层的所述部分暴露于等离子体处理工艺的步骤,直到达到所述接触金属层的预定厚度。所述方法进一步提供对设置在所述基板上的接触金属层进行退火。
在某些实施方式中,提供一种沉积接触金属层以用于形成半导体器件中的接触结构的方法。所述方法包括进行阻挡层沉积工艺以在基板上沉积阻挡层,进行润湿层沉积工艺以在所述基板上沉积润湿层,以及在所述润湿层上进行退火工艺。所述方法进一步包括进行金属沉积工艺以在所述基板上沉积接触金属层,这一步是通过使所述接触金属层暴露于沉积前驱物气体混合物而在所述基板上沉积所述接触金属层的一部分。最后,所述方法包括使所述接触金属层的所述部分暴露于等离子体处理工艺并对设置在所述基板上的接触金属层进行退火。
附图说明
可参照各实施方式(一些实施方式描绘于附图中)来详细理解本发明的上述特征以及以上简要概述的有关本发明更特定的描述。然而应注意,这些附图仅描绘本发明的典型实施方式,因此不应视为对本发明范围的限制,本发明可允许其他等效实施方式。
图1描绘适合用于执行本文所述实施方式的金属沉积处理腔室的一个实施方式的剖视图;
图2为说明性的多腔室处理系统的示意性俯视图,所述系统中并入图1的金属沉积处理腔室;
图3是根据本文所述的某些实施方式,描绘形成半导体器件中的接触金属层的流程图;
图4A-4E是根据本发明的一个实施方式,描绘在形成接触金属层的制造工艺期间的半导体器件的剖视图;以及
图5是根据本文所述的某些实施方式,描绘用于形成半导体器件中的接触金属层的循环沉积工艺的流程图;
图6是根据本文所述的某些实施方式,描绘形成半导体器件中的接触金属层的流程图;
图7A-7E是根据本文所述的某些实施方式,描绘在形成接触金属层的制造工艺期间的半导体器件的剖视图;
图8是根据本文所述的某些实施方式,描绘形成半导体器件中的接触金属层的流程图。
为了便于理解,已尽可能地使用相同的参考数字来标示各图共有的相同元件。预期一个实施方式的元件和特征结构可有利地结合到其他实施方式中,而无需进一步详述。然而应注意,这些附图仅描绘本发明的示例性实施方式,因此不应将这些附图视为对本发明范围的限制,因为本发明可允许其他等效实施方式。
具体实施方式
本发明的实施方式提供使用金属CVD工艺(例如,钴CVD工艺)进行间隙填充以实现接触填充的潜在低接触电阻(Rc)单材料解决方案。根据文中所述实施方式所沉积的CVD膜具有保形(conformal)的阶梯覆盖和低的表面粗糙度。此外,文中所示的实施方式展示出用于填充半导体器件的接触孔而不会形成缝的工艺。
在一个实施方式中,提供一种在基板上沉积接触金属层的方法,所述方法包括使所述基板暴露于钴前驱物气体和氢气,以在特征结构内选择性地形成无缝间隙填充钴层的一部分,以及在后处理工艺中使所述钴层暴露于等离子体和试剂,诸如暴露于氮、氨、氢、氨/氮混合物或上述试剂的组合。
如以下将进一步详细描述的,在基板上沉积接触金属层以在所述基板上形成接触金属结构。本文中使用的术语“基板”是指材料层,所述材料层作为进行后续处理操作的基础且包括待被设置成用以在其上形成接触金属层的表面。所述基板可为下述材料,诸如晶体硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片、经图案化或未经图案化的绝缘体上硅(silicon on insulator,SOI)晶片、掺杂碳的氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃或蓝宝石(sapphire)。所述基板亦可包括一种或更多种非导电性材料,诸如硅、氧化硅、掺杂硅、锗、砷化镓、玻璃和蓝宝石。所述基板亦可包括介电材料,诸如二氧化硅、有机硅酸盐和掺杂碳的氧化硅。此外,根据应用,所述基板可包括任何其他材料,诸如金属氮化物和金属合金。
在一个或更多个实施方式中,所述基板可形成栅极结构,所述栅极结构包括栅极介电层和栅极电极层,以便于与后续形成在栅极结构上的互连特征结构(诸如插头(plug)、过孔、触点、线路和电线)连接。所述基板可具有各种尺寸,诸如直径为200mm、300mm或450mm的晶片或其他尺寸,以及矩形或方形的板。除非另有注明,否则文中所述实施方式和实例可在具有200mm直径、300mm直径或450mm直径(尤其是300mm直径)的基板上进行。
本文中使用的术语“接触结构”是指包括接触金属层的材料层,所述接触金属层可形成栅极电极的一部分。在一个或更多个实施方式中,接触金属层可为镍层、钴层、钛层或上述层的任意组合。
再者,所述基板不受限于任何特定的尺寸或形状。所述基板可为具有200mm直径、300mm直径或其他直径(诸如450mm,等等)的圆形晶片。所述基板亦可为任意多边形、方形、矩形、弧形或其他非圆形的工件,诸如用于制造平板显示器的多边形玻璃基板。
文中所述实施方式提供在基板上沉积/形成接触金属层以形成接触结构的方法。所述沉积工艺可有效地改进沉积膜在整个基板上的阶梯覆盖、保形性及连续性和均匀性,从而改进遍及基板所形成的整体膜性质。
图1描绘处理腔室150,所述处理腔室150可如文中所述用于通过气相沉积工艺形成接触金属材料。这些接触金属材料可包括金属钴、金属镍、钴或镍的衍生物或钴和镍的组合。处理腔室150可用于进行CVD、等离子体增强CVD(PE-CVD)、脉冲CVD、ALD、PE-ALD、上述沉积法的衍生方法或上述沉积法的组合。水沟道(诸如盘绕式(convolute)液体沟道162)可用于在进行沉积含钴材料的气相沉积工艺期间调节盖组件100的温度。在一个实施方式中,可使盖组件100加热至或维持在介于约100℃至约300℃(优选为介于约125℃至约225℃且更优选为介于约150℃至约200℃)的范围内的温度。在含钴材料和/或含镍材料的气相沉积工艺期间维持所述温度。
喷头156具有相对短且向上延伸的缘(rim)158,并且所述缘158固定(screwed)在气箱板160上。喷头156和气箱板160两者可由金属形成或含有金属,诸如铝、不锈钢或上述金属的合金。盘绕式液体沟道162形成于气箱板160的顶部中,并使用水冷却盖板134覆盖并密封所述盘绕式液体沟道162。通常使水流经盘绕式液体沟道162。然而,醇类、乙二醇醚类和其他有机溶剂亦可单独使用或与水混合使用,以将热导离或传递至盖组件100。盘绕式液体沟道162形成为蛇形(serpentine),但所述液体沟道162通常形成具有弯曲部(bend)(例如具有三个急剧的U形转弯或U形弯曲)的圆周路径,且所述路径在径向沟道中从内侧向外侧前进然后再回到内侧(未示出)。盘绕式液体沟道162足够窄,以确保水流能变成湍流(turbulent),从而有助于热从气箱板160的凸缘流向盘绕式液体沟道162中的水。液体温度调节系统(未示出)可附接至盘绕式液体沟道162,且所述液体温度调节系统是用于将热导离或传递至盖组件100。在一个实施方式中,盖组件100被构造成被加热至或维持在约150℃的温度并且与钴前驱物(诸如丁基乙炔六羰基二钴“CCTBA”)来源和氢前驱物(诸如H2)来源流体连通。
喷头156的延伸缘158附接至气箱板160的底缘171。缘158和缘171两者的最大尺寸为介于围绕盖隔离件175与喷头156所包含的下空腔130之间。所述喷头156与气箱板160之间使用螺钉紧固,以确保在最大尺寸的接触面积上能有良好的热接触。热流面积(thermalflow area)从盖隔离件175的外侧(除了盖隔离件175与喷头156或气箱板160任一者之间的间隙之外)延伸至下空腔130的内侧。盘绕式液体沟道162的结构为水与气箱板160之间提供有效的热传递。气箱板160的凸缘与喷头156之间的机械性界面(interface)可确保气箱板160与喷头156之间进行有效的热传递。从而大大增强对喷头156的冷却。
处理腔室150进一步包含加热器基座152,所述加热基座152与基座杆(stem)154连接,所述基座杆154可在处理腔室150内垂直移动。加热器基座152的加热部分可由陶瓷材料形成。在加热器基座152的上方沉积位置,加热器基座152使基板402靠近且面对所述喷头156的下表面107。加热器基座152与喷头156的下表面107之间界定为处理区域126。喷头156具有多个孔或洞(hole)109,所述多个孔或洞109在所述下空腔130与处理区域126之间连通,以允许处理气体通过。水冷却的气箱板160是由铝制成的,且在所述气箱板160的中央处形成气体端口132,并通过气体端口132供应所述处理气体。气箱板160的上侧被水冷却盖板134覆盖,且所述水冷却盖板134环绕着包括气体端口132的气箱板160的上部。气体端口132将处理气体供应至上空腔138,且通过阻隔板(blocker plate)140隔开上空腔138与下空腔130。阻隔板140具有许多个设置成穿过所述阻隔板的洞109。在一个实施方式中,空腔130和空腔138、喷头156及阻隔板140使处理气体均匀地分布在基板402的上表面上。
基板402可被支撑在加热器基座152上,图中描绘所述基板402位于升高的沉积位置。在下降的装载位置,升降环116附接于升降管117,所述升降管117可升降四个升降杆118。这些升降杆118适合滑入加热器基座152中,使得这些升降杆118能把通过装载锁定端口(loadlock port)119而载入所述腔室中的基板402接收在腔室主体120中。在一个实施方式中,诸如在等离子体增强气相沉积工艺期间,加热器基座152可包含可选的限制环(confinement ring)110。
侧净化气源123可耦接至处理腔室150,且所述侧净化气源123被构造成根据需要将净化气体供应至基板402的边缘部分151。在一个实施方式中,可从侧净化气源123将这些气体供应至基板402的边缘部分151。这些气体可为氢气、氩气、氮气、氦气、上述气体的组合或类似气体。此外,底部净化气源125亦可耦接至腔室150,以从腔室150的底部将净化气体供应至基板402的表面。同样地,由底部净化气源125所供应的净化气体可包括氢气、氩气、氮气、氦气、上述气体的组合或类似气体。
盖隔离件175插入喷头156与盖缘166之间,且盖隔离件175可从腔室主体120卸除,以打开处理腔室150作为维修入口。通过使真空泵170连接至处理腔室150内的泵增压部(pump plenum)172,且所述泵增压部172连接至环形泵送沟道174而维持所述处理腔室150内的真空环境。
处理腔室150中设置由石英制成的环形腔室衬里179,所述环形腔室衬里179界定环形泵送沟道174的一侧,但所述环形腔室衬里179亦部分界定设置在处理区域126与环形泵送沟道174之间的另一扼流孔181。环形腔室衬里179亦在所述加热器基座152的下降位置中支撑限制环110。腔室衬里179亦在加热器基座152的背部处环绕一周。腔室衬里179搁置在腔室主体120的窄凸出部(narrow ledge)上但其他的接触极少,从而使热传递降至最低。由不透明石英制成的Z形下腔室屏蔽件121位于腔室衬里179下方。在下腔室屏蔽件121的底部上形成环状凸起部(boss)177,且下腔室屏蔽件121借助环状凸起部177而搁置在腔室主体120的底部上。石英防止加热器基座152的底部与腔室主体120之间发生辐射耦合(radiative coupling)。环状凸起部177使腔室主体120的热传导减至最小。在替代的实施方式中,下腔室屏蔽件121包括向内延伸的下唇部,所述向内延伸的下唇部连接至圆锥形上部,且所述圆锥形上部与腔室主体120的内壁保持一致。虽然这种替代设计在操作上也能令人满意,但将石英制成倾斜形状要昂贵许多。
在一个实施方式中,远程等离子体源141可通过气体端口132耦接至处理腔室150,以从远程等离子体源141供应反应性等离子体并使等离子体通过喷头156中的多个洞109进入处理腔室150中而到达基板402的表面。应注意,远程等离子体源141可于任何适当位置处耦接至处理腔室150,以根据需要将反应性远程等离子体源供应至基板402表面。可供应至远程等离子体源141以被解离并进一步输送到基板402表面的适当气体包括氢、氩、氦、氮、氨、上述气体的组合和类似气体。
在图1中,控制单元180可耦接至腔室150以控制处理条件。控制单元180包括中央处理单元(CPU)182、支持电路184和包含相关控制软件183的存储器186。控制单元180可为任何形式的可用在控制各种腔室和子处理器的工业设置中的通用计算机处理器之一。CPU182可使用任何适当的本地(local)或远程的存储器186,诸如随机存取存储器、只读存储器、软盘驱动器、光盘驱动器(compact disc drive)、硬盘或任何其他形式的数字储存器。各种支持电路可耦接至CPU182以支持腔室150。控制单元180可耦接至位于各腔室部件邻近处的另一控制器。可通过多个信号缆线处理控制单元180与腔室150的各种其它部件之间的双向通信,这些信号缆线统称为信号总线(signal bus),图1中描绘部分信号总线。
图2是说明性多腔室处理系统200的示意性俯视图,所述多腔室处理系统200能够适于进行文中所述的金属层沉积工艺,所述系统200整合有处理腔室80,诸如以上参照图1所描述的腔室150。系统200能够包括一个或更多个装载锁定腔室202和204,用于传送基板90进出系统200。通常,系统200保持处于真空状态,且装载锁定腔室202和204能够被“抽空”以引导基板90进入系统200中。第一机械手210能够在装载锁定腔室202和204与第一组的一个或更多个基板处理腔室212、214、216和80之间传送基板90。每个处理腔室212、214、216和80被构造成进行至少一种基板沉积工艺,诸如循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、除气、预清洁、定向(orientation)、退火和其它基板处理工艺。此外,处理腔室212、214、216和80的其中一个腔室亦可被构造成在基板90上进行沉积工艺或热退火工艺之前,先进行预清洁工艺。用于进行热退火工艺的处理腔室80与其他腔室212、214、216间的相对位置用于举例说明,如果需要,处理腔室80的位置可视情况与处理腔室212、214、216中的任一者交换。
第一机械手210亦能够传送基板90进出一个或更多个传送腔室222和224。传送腔室222和224能够用于维持超高真空条件,同时允许在系统200内传送基板90。第二机械手230能够在传送腔室222和224与第二组的一个或更多个处理腔室232、234、236和238之间传送基板90。类似于处理腔室212、214、216和80,处理腔室232、234、236和238能够被配备成除了进行例如循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、除气和定向工艺之外,还用于进行各种基板处理操作,包括如文中所述的干法蚀刻工艺。对于系统200中进行的特定工艺,若非必需使用这些腔室,可从系统200移除基板处理腔室212、214、216、232、234、236和238中的任一腔室。在预清洁之后,在处理腔室80中进行沉积和/或热退火工艺,可根据需要将基板进一步传送至系统200的处理腔室212、214、216、232、234、236和238中的任一腔室以进行其他工艺。
图3描绘工艺步骤300的一个实施方式的流程图,所述工艺步骤300用于在基板上沉积半导体器件结构中的接触金属层。图3中所述的工序对应于以下讨论的图4A-4E中所示的制造阶段。图4A-4E描绘由工艺步骤300所示的在器件结构408上制造接触金属层420的不同阶段期间,在基板402上形成器件结构408的基板402的示意性剖视图。图3的工序通常对CVD、ALD或PVD沉积钴接触金属层提供参考。
可行的集成方案包括但不限于:(a)PVD钛+ALD氮化钛;(b)PVD钛+CVD钴;(c)CVD钴;和(d)CVD钴+PVD钴。PVD钛在源极或漏极处提供与下层硅化物良好的电接触。ALD氮化钛增进钴膜的附着力,如有需要可帮助钴膜再流动(re-flow)。CVD钴:使用CVD膜或于CVD之后利用再流动进行钴填充。
工艺步骤300始于方框310,提供基板(诸如图4A所示的基板402)至所述处理腔室中,诸如图1中所示将基板402设置于处理腔室150中,或是提供基板至其他适当的处理腔室中。图4A中所示的基板402包括形成在基板402上的半导体器件结构408(例如,诸如构造用于形成接触结构的栅极结构或其他结构)。应注意,这一特定器件结构408可用于具有高深宽比或其他奇特几何形状的三维(3-D)闪速存储器(flash memory)应用、DRAM应用或其他适当应用中。
基板402上形成有含硅层404,且在所述含硅层404中形成多个具有高深宽比(诸如深宽比大于10:1,例如约大于20:1)的开口406。这些开口406可为接触开口、接触过孔、接触沟槽、接触沟道或类似者,且这些开口406形成在器件结构408中并具有侧壁412和底部414,侧壁412和底部414形成开放沟道以暴露下方的含硅层404。含硅层404可包括任何适当的层,诸如单硅层或其中形成有至少一个含硅层的多层膜堆叠。在含硅层404为单层形式的实施方式中,含硅层404可为氧化硅层、氧化物层、氮化硅层、氮化物层、氮氧化硅层、氮化钛层、多晶硅层、微晶硅层、单晶硅层、掺杂多晶硅层、掺杂微晶硅层或掺杂单晶硅层。
在另一实例中,含硅层404可为膜堆叠,所述膜堆叠包括复合的氧化物和氮化物层、夹有(sandwiching)氮化物层的至少一个或更多个氧化物层以及上述层的组合。适合掺杂在含硅层404中的掺杂剂可包括p-型掺杂剂和n-型掺杂剂,诸如含硼(B)掺杂剂或含磷(P)掺杂剂。在含硅层404为具有至少一个含硅层的多层膜堆叠形式的实施方式中,含硅层404可包括重复对的包括含硅层和介电层的层。在一个实施方式中,含硅层404中可包括设置有多晶硅层和/或其它金属材料层和/或介电层。介电层的适当实例可选自下述组:氧化物层、氧化硅层、氮化硅层、氮化物层、氮化钛层、氧化物层和氮化物层的复合物、夹有氮化物层的至少一个或更多个氧化物层以及上述层的组合,等等。
在如方框310所述的传送基板至金属沉积处理腔室中之前,视需要进行预清洁工艺以处理基板表面411以及这些开口406的侧壁412和底部414,以去除原生氧化物或其他污染源。从基板402去除原生氧化物或其他污染源可提供低接触电阻表面,以形成用于形成接触金属层的良好接触表面。
所进行的预清洁工艺包括将预清洁气体混合物供应至预清洁腔室中。预清洁腔室可为Preclean PCII腔室、PCXT腔室或SiconiTM腔室,这些腔室可购自位于美国California(加利福尼亚)州Santa Clara(圣克拉拉)市的AppliedMterials,Inc.(应用材料公司)。预清洁腔室可根据需要被并入说明性多腔室处理系统200中且可被构造成系统200的处理腔室212、214、216、232、234、236、238之一。应注意,购自其他制造商的其他预清洁腔室亦可用于实现文中所述的实施方式。
预清洁工艺的执行是通过将清洁气体混合物供应至并入系统200中的预清洁处理腔室中,由预清洁气体混合物形成等离子体以去除原生氧化物。在一个实施方式中,用于去除原生氧化物的预清洁气体混合物是氨气(NH3)与三氟化氮(NF3)气体的混合物。引入处理腔室的每一种气体的量可加以改变和调整以适合例如待去除的原生氧化物层的厚度、所清洁的基板的几何形状、等离子体的容量(volume capacity)、腔室主体的容量以及耦接至腔室主体的真空系统的性能。
在一个或更多个实施方式中,所添加的气体提供氨(NH3)与三氟化氮(NF3)的摩尔比为至少1:1的预清洁气体混合物。在一个或更多个实施方式中,所述预清洁气体混合物的摩尔比为至少约3:1(氨:三氟化氮)。以氨:三氟化氮为约5:1至约30:1的摩尔比引入这些气体。在另一个实施方式中,气体混合物的摩尔比为约5:1(氨:三氟化氮)至约10:1。预清洁气体混合物的摩尔比亦能够落在约10:1(氨:三氟化氮)和约20:1之间。
预清洁气体混合物中亦能够添加净化气体或载气。能使用任何适当的净化气体/载气,诸如氩气、氦气、氢气、氮气或上述气体的混合物。总预清洁气体混合物含有约0.05体积%至约20体积%的氨和三氟化氮。预清洁气体混合物的其余部分可为净化气体/载气。
预清洁腔室内的操作压力能改变。所述压力可维持在约1托(Torr)至约10托之间。可施加射频(RF)功率源以维持清洁气体混合物中的等离子体。例如,可施加约15瓦(Watt)至约100瓦的功率以维持所述预清洁处理腔室内部的等离子体。所施加的功率的频率为约350千赫(kHz)。所述频率的范围可为约50千赫至约350千赫。等离子体能量使氨气和三氟化氮气体解离成反应性物种,例如氟自由基和/或氢自由基,两种自由基结合而形成气相的高反应性氟化铵(NH4F)化合物和/或氟化氢铵(NH4F·HF)。随后将这些分子从等离子体位置处输送至待清洁的基板表面。能够使用净化气体/载气帮助输送反应性物种至基板。在一个实施方式中,可于预清洁工艺之后沉积钛层。所述钛层的作用是收集下方基板和过孔的界面处任何残余的氧,所述钛层可增进与下方基板的电接触。
于金属沉积处理腔室150中提供基板402的方框310之后,且在基板402上沉积接触金属层之前,可于方框320进行预处理工艺以对基板表面411进行预处理,从而如图4B所示,在含硅层404中的这些开口406的表面411、侧壁412和底部414上形成经过处理的表面区域410。在某些实施方式中,先前于基板402上进行的可选预清洁工艺可能在基板表面411上留下一些Si-F、N-F、H-F和Si-N的弱的或残余悬空(dangling)键合结构。这些悬空键可能在后续接触金属沉积工艺中阻碍沉积在基板表面上的金属原子的吸附或粘附作用,这种情况是非期望且不利的。因此,可执行方框320的预处理工艺,以有效地改变含硅层404的表面411上的表面键合结构,从而提供具有良好吸附能力的表面以促进后续接触金属沉积工艺所提供的金属原子的附着力。相信所述预处理工艺可有效去除Si-F、H-F、N-F和Si-N的键合结构或可有效地将这些Si-F、N-F、H-F和Si-N结构转化成Si-H或Si-Si的键合,这可帮助金属原子粘着于表面上而形成层。
在一个实施方式中,在进行接触金属沉积工艺之前,可先将预处理气体混合物供应至金属沉积处理腔室150中以改变基板402的表面性质。在一个实施方式中,所述预处理气体混合物可包括至少一种含氢气体,诸如H2、H2O、H2O2或类似气体。亦可供应惰性气体(诸如Ar、He、Kr和类似气体)至所述预处理气体混合物中。此外,亦可供应含氮气体(诸如N2、NH3、N2O、NO2和类似气体)至所述预处理气体混合物中。在一示例性的实施方式中,所提供用于对基板表面411进行预处理的预处理气体混合物包括含氢气体(诸如H2气体)和惰性气体(诸如Ar气体)。在另一示例性的实施方式中,所提供用于对基板表面411进行预处理的预处理气体混合物包括含氢气体(诸如H2气体)、惰性气体(诸如Ar气体)和含氮气体(诸如NH3气体)。
可从远程等离子体源(诸如从耦接至金属沉积处理腔室150的远程等离子体源141)供应预处理气体混合物,以从远离处理腔室150之处供应预处理气体混合物等离子体至基板表面411。或者,可从安装在处理腔室150中的任何其他适当来源供应预处理气体混合物至基板表面411。
在方框320的预处理工艺期间,可调节数个工艺参数以控制预处理工艺。在一个示例性的实施方式中,调节金属沉积处理腔室150中的工艺压力,使所述压力介于约50毫托(mTorr)至约5000毫托之间,诸如介于约500毫托至约1000毫托之间,例如,所述工艺压力处于约700毫托。可施加射频功率源以维持预处理气体混合物中的等离子体。例如,可施加约1000瓦至约6000瓦的功率以维持处理腔室150内部的等离子体。可使预处理气体混合物中所供应的含氢气体以介于约400sccm至约4000sccm之间的速率流入处理腔室150,且预处理气体混合物中所供应的惰性气体可以介于约200sccm至约2000sccm之间的速率流动。预处理气体混合物中所供应的含氮气体可以介于约100sccm至约3000sccm之间的速率流动。基板402的温度保持在介于约125摄氏度至约250摄氏度之间。在一个实施方式中,根据操作温度、压力和气体流动速率,对基板402进行预处理工艺约10秒至约2分钟。例如,基板402可暴露于预处理工艺下持续约30秒至约60秒。在示例性的实施方式中,基板暴露于预处理工艺下持续约40秒或更短时间。
视情况,可进行方框330的阻挡层沉积工艺,以在基板上沉积阻挡层416,如图4C中所示。阻挡层416通常防止接触金属层扩散至基板上的结材料(junction material),所述结材料通常是硅或硅锗化合物。所述阻挡层通常含有金属或金属氮化物材料,诸如钛(Ti)、氮化钛(TiN)、上述材料的合金或上述材料的组合物。阻挡层416亦可包含经等离子体氮化(N2或NH3)的钛和PVD钴。若阻挡层416包括经氮化的钛层,则仅顶部几个埃(angstrom)的钛被转化成TiN化合物。发现经过氧化和未经氧化的Ti和TiN阻挡层两者皆能提供提高的扩散阻力。阻挡层416所具有的厚度范围可从约至约更窄范围为约至约更窄范围为约至约更窄范围为约至约更窄范围为约至约更窄范围为约至约以及更窄范围为约至约通常利用原子层沉积(ALD)工艺、等离子体增强ALD(PE-ALD)工艺、化学气相沉积(CVD)工艺或物理气相沉积(PVD)工艺来沉积所述阻挡层。
阻挡层416类似于以下详细描述的润湿层。如上所述,阻挡层416通常用于防止接触金属层扩散到基板上的结材料。润湿层通常增强接触金属层(在某些实施方式中为钴)的粘着力,所述润湿层可在接触金属层上进行退火工艺期间减少在这些特征结构中形成不想要的空隙。
在基板表面上进行方框320的预处理工艺以形成经处理的表面区域410或方框330的沉积阻挡层416之后,在方框340处,可在处理腔室150中进行CVD接触金属沉积工艺以沉积接触金属层420,如图4D所示。可使用如图5所述的循环沉积工艺来沉积接触金属层420。接触金属层420填充这些开口406。接触金属层420的适当实例包括钛(Ti)、钴(Co)、镍(Ni)、上述金属的合金或上述金属的组合。在文中所述的一个特定实施方式中,沉积在基板402上的接触金属层420为钴(Co)层。
可使用多步骤式沉积工艺来沉积接触金属层420,所述多步骤式沉积工艺包括进行循环金属沉积工艺以沉积接触金属层420且随后对接触金属层420进行退火的多个循环。在某些实施方式中,接触金属层420的厚度应小于待填充的最小特征结构的特征直径(临界尺寸)的50%。例如,进行循环金属沉积工艺以部分地填充一特征结构达到小于特征直径的一半的程度并接着进行退火工艺。随后将重复进行循环沉积工艺且接着退火的动作,直到接触金属层420达到预定厚度。在替代的实施方式中,可以在单一的非循环沉积工艺中沉积接触金属层420以完全填充所述特征结构。在此实施方式中,随后对接触金属层420进行退火。由于非循环接触金属层420沉积工艺和后续的退火工艺完成填充所需的时间较少,因此可提高产量。
图5描绘如方框340所示的循环沉积工艺的流程图,所述循环沉积工艺是根据本发明的一个实施方式在半导体器件中形成接触金属层(诸如接触金属层420)。在一个实施方式中,所述工艺包括使基板暴露于沉积气体以形成接触金属层的一部分(方框510)、视需要净化所述沉积腔室(方框520)、使所述基板暴露于等离子体处理工艺(方框530)、视需要净化所述沉积腔室(方框540)以及确定钴接触金属层是否已达到预定厚度(方框550)。在一个实施方式中,若所形成的钴接触金属层尚未具有预定厚度,则可重复进行方框510-方框550的循环。或者,一旦所形成的接触金属层已具有预定厚度,可停止所述工艺。
在所述接触金属沉积工艺期间,可于热CVD工艺、脉冲式CVD工艺、PE-CVD工艺、脉冲式PE-CVD工艺或热ALD工艺期间,通过将包括钴前驱物或镍前驱物的沉积前驱物气体混合物与(或不与)还原气体混合物(试剂)同时、先后或交替地引入金属沉积处理腔室150中而形成或沉积接触金属层420,其中所述还原气体混合物(试剂)诸如为氢气(H2)或NH3气体。此外,所述沉积前驱物气体混合物亦可包括同时供应至处理腔室中用于处理的净化气体混合物。在另一实施方式中,可于热ALD工艺或脉冲式PE-CVD工艺期间,通过依次将沉积前驱物气体混合物(诸如钴前驱物)的脉冲和还原气体混合物(诸如氢气(H2)或NH3气体)的脉冲重复引入金属沉积处理腔室150中而形成或沉积接触金属层420。在另一实施方式中,可于热ALD工艺或脉冲式PE-CVD工艺期间,通过将还原气体混合物(诸如氢气(H2)或NH3气体)连续地流入金属沉积处理腔室150中,同时重复地将沉积前驱物气体混合物(诸如钴前驱物)的脉冲和还原气体混合物的脉冲引入金属沉积处理腔室150中,而形成或沉积接触金属层420。在另一实施方式中,可于PE-CVD工艺期间,通过使还原气体混合物(诸如氢气(H2)或NH3气体)和沉积前驱物气体混合物(诸如钴前驱物)在等离子体条件下连续地流动而形成或沉积接触金属层420。在另一实施方式中,可于PE-CVD工艺期间,通过使还原气体混合物(诸如氢气(H2)或NH3气体)在等离子体条件下连续地流动,且周期性地脉冲输送沉积前驱物气体混合物(诸如钴前驱物)而形成或沉积接触金属层420。
适合利用文中所述的CVD或ALD工艺形成含钴材料(例如,金属钴或钴合金)的钴前驱物包括羰基钴复合物(complex)、脒基钴化合物、二茂钴(cobaltocene)化合物、二烯基钴复合物、亚硝酰钴复合物、上述物质的衍生物、上述物质的复合物、由上述物质形成的等离子体或上述物质的组合。在一些实施方式中,可使用CVD和ALD工艺沉积钴材料,且在共同受让的美国专利第7,264,846号和2003年5月22日提出的美国专利申请第10/443,648号(其公开号为US 2005-0220998)中有进一步的描述,通过引用将二者作为整体结合在此。
合适的钴前驱物可包括但不限于羰基钴复合物、脒基钴化合物、二茂钴化合物、二烯基钴复合物、亚硝酰钴复合物、二氮二烯基钴复合物、氢化钴(cobalthydride)复合物、上述物质的衍生物、上述物质的复合物、由上述物质形成的等离子体或上述物质的组合。在一个实施方式中,可用于本发明中的钴前驱物的实例包括丁基乙炔六羰基二钴(CCTBA,(CO)6Co2(HC≡CtBu))、甲基丁基乙炔六羰基二钴((CO)6Co2(MeC≡CtBu))、苯基乙炔六羰基二钴((CO)6Co2(HC≡CPh))、甲基苯基乙炔六羰基二钴((CO)6Co2(MeC≡CPh))、甲基乙炔六羰基二钴((CO)6Co2(HC≡CMe))、二甲基乙炔六羰基二钴((CO)6Co2(MeC≡CMe))、脒基钴(C20H42CoN)、六氟乙酰丙酮钴(Co(C5HF6O2)2·xH2O)、乙酰丙酮钴((CH3COC=COCH3)3Co)、乙酰丙酮钴(II)((CH3COC=COCH3)2Co)、醋酸钴((CH3COO)2Co)、上述物质的衍生物、上述物质的复合物、上述物质所形成的等离子体或上述物质的组合。其他示例性羰基钴复合物包括二(羰基)环戊二烯钴(CpCo(CO)2)、三羰基烯丙基钴((CO)3Co(CH2CH=CH2))、三羰基亚硝酰钴(Co(CO)3NO)、上述物质的衍生物、上述物质的复合物、上述物质所形成的等离子体或上述物质的组合。在一个特定实例中,用于本发明中的钴前驱物为丁基乙炔六羰基二钴(CCTBA,(CO)6Co2(HC≡CtBu))。应注意,可使用载气(诸如Ar气体)将丁基乙炔六羰基二钴(CCTBA,(CO)6Co2(HC≡CtBu))前驱物供应至金属沉积处理腔室150中。
替代试剂即为在文中所述的沉积工艺期间与钴前驱物一起用于形成钴材料的还原剂,所述替代试剂的实例可包括氢(例如,H2或原子氢(H))、氮(例如,N2或原子氮(N))、氨(NH3)、联胺(hydrazine,N2H4)、氢与氨的混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、甲基硅烷(SiCH6)、二甲基硅烷(SiC2H8)、磷化氢(PH3)、上述试剂的衍生物、上述试剂所形成的等离子体或上述试剂的组合。在一个特定实例中,用于本发明中的试剂或还原剂为氨(NH3)。
在方框340的循环沉积工艺期间,在沉积前驱物气体混合物的每一次脉冲与所述等离子体预处理工艺之间,可于每次或选定的沉积前驱物脉冲之间从处理腔室150的侧方/边缘和/或底部供应净化气体混合物至基板402的边缘部分151。可由设置在处理腔室150中的侧方净化气源123和/或底部净化气源125供应所述净化气体混合物,以将所述净化气体混合物供应至基板402表面的边缘/周围。应注意,文中所述的基板402的边缘/周围区域对于300毫米的基板而言,可以是指介于距离所述基板边缘/斜面(bevel)约1mm和约5mm之间的基板402的边缘区域,或指介于距离所述基板中心点/中心线(例如,通过基板中心点的直径)约145mm和约149mm之间的基板402的边缘区域。亦应理解,于方框530的等离子体处理工艺期间的气流亦可用于净化所述处理腔室。
在一个实施方式中,所述接触金属沉积工艺中所供应的净化气体混合物可包括至少一种含氢气体和惰性气体。需注意,如有需要,可于沉积工艺期间,随着所述沉积前驱物气体混合物一起供应所述净化气体混合物。含氢气体的适当实例可包括H2、H2O、H2O2或类似气体。惰性气体的适当实例包括Ar、He或Kr。在一个特定的实施方式中,所述金属沉积工艺期间所供应的净化气体混合物可包括H2气体和Ar气体。
在所述沉积工艺的一个实施方式中,将所述沉积前驱物气体混合物的脉冲伴随着还原气体以及可选的净化气体/载气混合物供应至沉积腔室150。本文中使用的术语脉冲是指注入所述处理腔室中的材料剂量(dose)。所述沉积前驱物体混合物的脉冲持续一段预定时间。在所述沉积前驱物气体混合物的每次脉冲与所述等离子体处理工艺之间,可于所述沉积前驱物气体混合物的每一次或多次脉冲之间将所述净化气体混合物脉冲输送至所述处理腔室中,以去除杂质或未反应的/未被基板402表面吸附的残余前驱物气体混合物,例如去除来自钴前驱物的未反应的含氮杂质或其他物质,从而可将这些杂质或残余的前驱物气体混合物抽出所述沉积腔室。
所述沉积前驱物气体混合物的脉冲时间间隔可根据多个因素而改变,这些因素诸如膜厚度要求、处理腔室容积、产量考虑、气体速率和类似因素。在一个实施方式中,可有利地选择这些工艺条件,以使所述沉积前驱物气体混合物的脉冲提供足够量的前驱物,使得基板402上吸附至少单层的钴金属前驱物。之后,利用所述净化气体混合物可从处理腔室去除残余在腔室中的过量钴金属前驱物并抽出所述过量钴金属前驱物。
在一些实施方式中,可在单次脉冲中同时供应所述还原气体混合物和所述沉积前驱物气体混合物,以形成接触金属层416。在文中所述的一个实施方式中,在经过所述沉积前驱物气体混合物的最初数次脉冲之后,诸如介于第一次脉冲至第五次脉冲之间,可使所述还原气体的脉冲与所述沉积前驱物气体混合物一起流动(co-flow)。
在方框510的操作中,将所述沉积前驱物气体混合物的第一次脉冲脉冲输送至处理腔室150中,以在基板上沉积钴接触金属层420的一部分。所述沉积前驱物气体混合物的每一次脉冲进入处理腔室150可沉积厚度介于约和约之间的钴层。在所述沉积前驱物气体混合物的脉冲期间,亦可调节数个工艺参数。在一个实施方式中,所述工艺压力控制在介于约7托至约30托之间。所述处理温度介于约125摄氏度至约250摄氏度之间。对于等离子体增强工艺而言,所述RF功率可控制在介于约100瓦至约1200瓦之间。所述沉积前驱物气体混合物中所供应的钴气体前驱物可控制在介于约1sccm至约10sccm之间。可用介于约100sccm至约10000sccm(诸如介于约3000sccm至约5000sccm之间)之间的流速供应所述还原气体(诸如H2气体)。从基板边缘/基板底部所供应的H2气体可控制在介于约200sccm至约1000sccm之间。可用介于约200sccm至约1000sccm之间的流速从基板边缘/基板底部供应氩气。
视情况而定,在方框510之后,可净化所述处理腔室。在脉冲输送所述沉积前驱物气体混合物之后,随后可将净化气体混合物供应至所述处理腔室中以从所述处理腔室清除残余物和杂质。在脉冲输送所述净化气体混合物期间,可在相对短的时间间隔内(诸如约1秒至约5秒之间)将所述工艺压力抽低至某一低水平,诸如低于2托,例如低于0.5托,以助于快速地从所述处理腔室中抽出残余物和杂质。亦可在脉冲输送所述净化气体混合物期间调节数个工艺参数。在一个实施方式中,所述工艺压力控制在介于约0.1托至约2托之间,诸如介于0.1托至约1托之间,例如介于约0.1托至约0.6托之间。所述处理温度介于约125摄氏度至约250摄氏度之间。所述RF功率可控制在介于约100瓦至约800瓦之间。所述净化气体混合物中所供应的H2气体可控制在介于约200sccm至约1000sccm之间。可用介于约200sccm至约1000sccm之间的流速供应Ar气体。
于方框510使基板402暴露于沉积气体之后,或于方框520净化所述沉积腔室之后,使基板402暴露于等离子体处理工艺。所述等离子体处理工艺降低表面粗糙度并改善钴接触金属层420的沉积部分的电阻率。示例性的等离子体形成气体包括氢气(H2)、氮气(N2)、氨气(NH3)和上述气体的组合。在所述等离子体处理工艺期间,亦调节数个工艺参数。在一个实施方式中,所述工艺压力控制在介于约7托至约30托之间。所述处理温度介于约125摄氏度至约250摄氏度之间。所述RF功率可控制在介于约100瓦至约800瓦之间,例如约400瓦。可用介于约3000sccm至约5000sccm之间(例如约4000sccm)的流速供应所述等离子体形成气体,诸如H2气体。从基板边缘/基板底部所供应的H2气体可控制在介于约200sccm至约1000sccm之间。可用介于约200sccm至约1000sccm之间的流速从基板边缘/基板底部供应Ar气体。
结果表明,于沉积期间或于沉积之后进行所述等离子体处理有助于降低所沉积膜的表面粗糙度且有助于降低所沉积膜中的碳杂质。因此,H自由基的寿命,尤其是在节点≤14nm的晶体管技术所预期的狭窄的(临界尺寸﹤15nm且深宽比﹥5)过孔和沟槽结构内部的H自由基的寿命,是实现无缝且无空隙的钴间隙填充的一项重要参数。通过使用电感耦合等离子体源、微波等离子体源或电子束等离子体源,在所述等离子体处理期间使惰性气体(诸如He、Ne、Ar,等等)流动,可提高CVD工艺过程中所述腔室内部的H自由基的寿命。这些等离子体源购自应用材料公司或其他供应商。
于方框530使所述基板暴露于等离子体处理工艺之后,可视情况于方框540净化所述沉积腔室。可采用类似于方框520中所描述的净化工艺来进行方框540的可选的净化。
在方框550处,若尚未达到接触金属层420的预定厚度,则可重复进行使基板暴露于所述沉积前驱物气体混合物中且随后进行等离子体预处理工艺的额外循环,直到接触金属层420达到期望的厚度范围。若已达到所述接触金属层的预定厚度,则所述工艺前进至方框350,于方框350处进行热退火工艺。
例如,若所述接触金属层的总厚度为10nm,且以2nm/循环来沉积接触层部分,则将需要进行5个循环(沉积2nm之后接着进行等离子体处理)。
在方框350处,于热退火腔室中的基板402上进行热退火工艺以提高接触金属层420的性能。热退火腔室可根据需要为系统200的处理腔室212、214、216、232、234、236、238的其中一个腔室。在一个实施方式中,在方框350处所进行的热退火工艺可具有介于约200摄氏度至约1400摄氏度之间的温度范围,诸如温度可介于约200摄氏度至约500摄氏度之间。在所述热退火工艺期间,将包括至少一种含氢气体和/或惰性气体(例如,氩)的气体混合物供应至退火腔室中。可使用静态工艺(在进行退火工艺之前使所述腔室充满气体)或使用连续流动工艺(于退火工艺期间使所述气体混合物连续地流经退火腔室)任一种方式将所述气体混合物供应至退火腔室。
在一个实施方式中,可通过以介于约100sccm至约2000sccm之间的流速将包括含氢气体、惰性气体和含氮气体的至少一种的气体混合物供应至退火腔室中,控制腔室压力在约0.5托至约15托之间(例如,介于约5托至约8托之间),且使温度维持在介于约150摄氏度至约500摄氏度的范围(例如,介于约300摄氏度至约475摄氏度之间),以进行方框350处的热退火工艺,并且进行所述热退火工艺持续约30秒至约600秒之间的时间,视情况同时旋转所述基板。根据需要,适合用于供应至所述热退火腔室中的气体混合物的气体实例可包括氢气、含氮气体、惰性气体(例如,氩)或其他气体。在一个实施方式中,供应至所述处理腔室中以进行硅化工艺的气体混合物包括以介于约1:10至约1:1(诸如约1:3)之间的流量比供应的氢气(H2)。
可进行方框350的合适的热处理腔室的实例为双模式除气(DMD)腔室,所述腔室可购自应用材料公司。合适的热处理腔室的其他实例为VulcanTM RTP腔室和AstraTM DSA腔室。应注意,所述退火工艺可不必与接触金属层420沉积腔室整合。使用RTP退火和DSA退火可对温度均匀性和快速温度变化提供进一步的控制。应注意,亦可使用购自其他制造商的其他热退火腔室来实现本发明。
完成所述热退火工艺之后,在方框360处,若尚未达到接触金属层420的预定厚度,则可重复进行方框340处的循环金属沉积以沉积接触金属层且随后进行方框350处的在接触金属层上进行退火工艺的额外的循环,直到接触金属层420达到期望的厚度范围。若已达到所述接触金属层的预定厚度,则完成所述工艺,并可进行额外的处理步骤。
因此,根据上述实施方式,提供用于在接触结构中沉积接触金属层的各种方法。这些方法包括通过对所沉积的接触金属层进行退火而使用无缝接触金属层填充接触孔。对CVD钴膜进行退火产生从下到上无缝的间隙填充。在某些实施方式中,无需使用润湿层以进行钴的再流动。所述接触金属层(例如,CVD钴层)的厚度可小于特征直径(临界尺寸)的50%。所使用的循环工艺是利用薄钴膜沉积和短时间退火的结合。所述短时间退火期间的环境(ambience)降低了实现无缝钴填充所需的退火温度。利用空白晶片(blanket wafer)进行研究显示经退火处理之后,钴膜的电阻率降低50%。可改变退火步骤期间的退火时间、温度、气氛(所使用的气体类型)、静态气压或气体流量以降低所述接触金属层的粗糙度并改善所述接触金属层的电阻率。短的退火时间(例如,1分钟)足以降低钴的电阻率和粗糙度。退火期间的气体流量进一步改善钴膜的电阻率。可使用氩气和氢气或两者的组合作为退火气氛。PVD钴可用来代替CVD钴。亦可使用CVD与PVD的结合,其中CVD钴是作为润湿层以供PVD钴再流动之用。
图6是根据本发明的一个实施方式描绘在半导体器件中形成接触金属层的流程图。图6中所述的工序对应于以下讨论的图7A-7E中所示的制造阶段。图7A-7E描绘通过处理步骤600在器件结构408上制造接触金属层420的不同阶段期间,在基板402上形成器件结构408的基板402的示意性剖视图。图6的工序通常对CVD、ALD或PVD沉积钴接触金属层提供参考。
工序600的某些方面类似于参照图3所述的工序300,且为简洁起见下文将不再重复。在一个实施方式中,方框610和方框620类似于如上所述图3所示的方框310和方框320。方框610和方框620分别对应于图7A和图7B所示的制造阶段。图7A和图7B的详细论述可参照图4A和图4B。然而,可视需要在方框620处于所述基板上进行预处理工艺。
方框630提供进行阻挡层沉积以在基板402上沉积阻挡层416,如图7C所示。所述阻挡层通常含有金属或金属氮化物材料,诸如钛(Ti)、氮化钛(TiN)、上述材料的合金或上述材料的组合。阻挡层416亦可包括经等离子体氮化(N2或NH3)的钛和PVD钴。若阻挡层416包括经氮化的钛层,则仅顶部几个埃的钛被转化成TiN化合物。发现未经氧化的Ti和TiN阻挡层能提供提高的扩散阻力。阻挡层416所具有的厚度范围可从约至约更窄范围从约至约更窄范围从约至约更窄范围从约至约更窄范围从约至约更窄范围从约至约以及更窄范围从约至约通常利用原子层沉积(ALD)工艺、等离子体增强ALD(PE-ALD)工艺、化学气相沉积(CVD)工艺或物理气相沉积(PVD)工艺来沉积所述阻挡层。
在一个实施方式中,进行阻挡层沉积包括进行ALD工艺,所述ALD工艺包括提供含Ti前驱物,可在载气(诸如惰性气体)存在的情况下将所述含Ti前驱物提供至所述腔室。在另一实施方式中,含Ti前驱物可与含氮前驱物一起被提供以形成包括TiN的阻挡层。可在载气(诸如惰性气体)存在的情况下提供所述含Ti前驱物和所述含氮前驱物。在另一实施方式中,可在所沉积的Ti层上进行氮化工艺以形成TiN阻挡层。在另一实施方式中,利用PVD Ti工艺沉积Ti阻挡层。
方框635提供进行润湿层沉积以在基板402上沉积润湿层718,如图7D所示。润湿层718沉积在阻挡层416上。通常利用选自下列工艺沉积所述润湿层:PVD Co、CVD TiN、PVDTiN、CVD Ru、PVD Ru、PVD Ti的氮化反应或上述工艺的组合。在使用CVD工艺沉积润湿层718的实施方式中,将所需的前驱物气体提供至所述腔室,且可进一步在载气存在的情况下将所需的前驱物气体提供至所述腔室。在使用PVD工艺沉积润湿层718的实施方式中,提供包括待进行沉积的所需材料的靶材且进行PVD工艺以沉积PVD润湿层。在一个实施方式中,所述润湿层包括PVD TiN。在此实施方式中,提供Ti靶材且使用离子轰击所述靶材以溅射钛Ti而在阻挡层416上沉积润湿层718。在等离子体存在的情况下,使用含氮前驱物(诸如NH3)在所述PVD Ti层上进行氮化工艺以形成TiN润湿层718。在此实施方式中,润湿层718包括经氮化的钛层且仅顶部几个埃的钛被转化成TiN化合物。在另一实施方式中,所述润湿层为PVDCo。在此实施方式中,提供Co靶材且使用离子轰击所述Co靶材以溅射Co而在阻挡层416上沉积润湿层718。在使用PVD Co的实施方式中,以约5000瓦至约6000瓦(诸如约5500瓦)的频率提供RF功率。所提供的PVD Co工艺的功率从约400瓦至约600瓦,诸如约500瓦,且在进行所述PVD Co工艺时,所述腔室的压力为从约50毫托至约150毫托,诸如约100毫托。
应了解,可在与后续CVD Co沉积工艺相同的腔室(处于高真空)中沉积Ti或TiN润湿层。在替代的实施方式中,在退火期间,CVD Co膜的凝聚作用(agglomeration)涉及使用(具有不同膜性质的)CVD Co作为润湿层。此CVD Co润湿层含有>5%的碳的高碳原子%,相较之下,用于间隙填充的CVD Co膜则含有<1%的碳。在沉积步骤期间使用较低的H2分压并省略循环H2等离子体处理以获得高碳含量的CVD Co膜。
应注意,上述任一种润湿层718工艺可与方框640中所提供的后续接触金属层沉积工艺一起进行。润湿层718和阻挡层416通常增进后续的接触金属层沉积。发现在基板上的特征结构底部处或所述特征结构中的其他位置处可能形成空隙。相信当对所述接触金属层进行退火时,由于接触金属层的凝聚作用或累积导致形成这些空隙。由于在基板与接触金属层之间的空隙终将降低接触的品质且对整体器件性能造成不良影响,因此通常不希望形成空隙。此外,所述接触金属层与下方基板在退火工艺期间的相互扩散作用会导致Co和硅相互扩散。相互扩散作用会对器件性能造成不良影响且导致不可预知的器件行为。阻挡层416单独或与润湿层718结合降低Co与硅的相互扩散作用。此外,当沉积接触金属层以填充器件的过孔和沟槽时,所述润湿层单独或与阻挡层416结合通过降低后续退火工艺期间发生凝聚作用的机率而增进所述接触金属层的粘着力。
在替代的实施方式中,CVD Co膜在退火工艺期间的凝聚作用可使用CVD Co作为润湿层。此CVD Co润湿层可包括高碳含量(碳原子%>5%),相较之下,用于无缝间隙填充的CVD Co膜则含有低碳含量(碳原子%<1%)。在沉积步骤期间使用较低的H2分压并省略循环H2等离子体处理以获得高碳含量的CVD Co膜。
方框640提供进行循环金属沉积以在基板上沉积接触金属层。参照上述图3中的方框340和有关图5的对应描述内容中可找到所述循环金属沉积工艺的工艺参数和说明。方框650提供在设置于所述基板上的接触金属层上进行退火工艺。参照图3中的方框350可获得进行退火工艺的工艺参数和说明。
在完成所述热退火工艺之后,在方框660处,若尚未达到接触金属层420的预定厚度,则可重复进行方框640处的循环金属沉积以沉积接触金属层且随后进行方框650处的在接触金属层上进行退火工艺的额外的循环,直到接触金属层420达到期望的厚度范围。若已达到所述接触金属层的预定厚度,则完成所述工艺,并可进行额外的处理步骤。
如上所述,图6中所述的工艺步骤600可对CVD、ALD或PVD接触金属沉积工艺提供参考。整合(未经氧化)的CVD或ALD TiN阻挡层418减少器件特征结构底部处的空隙的存在。在沉积润湿层718之后或沉积接触金属层420之后,且在进行方框650的退火工艺之前,可引入真空破除(vacuum break)。应注意,可在与沉积接触金属层420不同的腔室中进行方框650的退火工艺。此外发现,如方框640处所提供的高频率的H2等离子体处理(以厚度为或更薄的CVD Co而言的等离子体处理,参见图5所示的相关等离子体处理参数)在消除器件特征结构底部处的空隙形成方面发挥了重要的作用。最终发现,通过利用上述工艺步骤600中所提供的工艺变量来控制杂质(即,碳、氧、氮等等)的原子百分比,可调节CVD或ALD接触金属层的再流动特性。可能需要1%或更低的碳杂质含量以实现无缝的接触金属层间隙填充,更具体地,是实现无缝的钴间隙填充。除了接触金属层沉积的工艺变量之外,可利用阻挡层418和润湿层718进一步控制杂质水平。
图8是根据本发明的一个实施方式描绘在半导体器件中形成接触金属层的流程图。图8中所示的工序对应于以下讨论的图7A-7E中所示的制造阶段。图7A-7E描绘利用处理步骤800在器件结构408上制造接触金属层420的不同阶段期间,在基板402上形成器件结构408的基板402的示意性剖视图。图8的工序通常对PVD沉积钴接触金属层提供参考。
处理步骤800始于方框810,在方框810处提供基板。方框810的详细描述可参照图3的方框310和图6的方框610的相关描述内容。方框820提供视需要在基板上进行预处理工艺。有关方框820的详细描述可参照图3的方框320和图6的方框620的相关描述内容。
方框830提供进行阻挡层沉积以在基板上沉积阻挡层。有关阻挡层416的一般描述可参照图6的方框630。在一个实施方式中,于所述基板上设置TiN阻挡层,诸如上述TiN阻挡层416。在此实施方式中,所提供的TiN层的厚度介于约至约之间,诸如约然而,有证据显示的TiN层可足以作为阻挡层。利用CVD沉积工艺或利用NH3或N2使先前沉积的Ti层进行氮化反应而形成TiN阻挡层416。用于沉积TiN阻挡层416的处理参数可参照图6的方框630。
方框835提供进行润湿层沉积以在所述基板上沉积润湿层。有关润湿层718的一般描述可参照图6的方框635。在一个实施方式中,利用CVD或ALD工艺沉积润湿层718。可用于提供润湿层718的合适工艺包括CVD TiN、CVD Co、CVD Ru、ALD TaN工艺和上述工艺的组合。在一个实施方式中,可利用CVD Co工艺沉积所述润湿层。将在CVD工艺期间所沉积的钴提供至使用含钴前驱物的处理腔室中,所述含钴前驱物诸如参照图3所提供的循环金属沉积工艺中所讨论的含钴前驱物。在一个实施方式中,将所述含钴前驱物提供至进行热沉积工艺的腔室。所述热沉积工艺通常包括加热基板402以促进基板402表面上的钴沉积作用。在一个实施方式中,所述热沉积工艺提供用于将所述基板加热至从约100℃至约200℃,诸如约150℃。在此实施方式中,在CVD Co工艺期间所沉积的钴是润湿层718,且所述润湿层718设置在阻挡层416上。
方框840提供在润湿层718上进行退火工艺。通常进行所述退火工艺以降低润湿层718的表面粗糙度、提高晶体结构的晶粒尺寸(grain size)及减少可能存在于润湿层718中的杂质,诸如碳。所述退火工艺是在介于约200℃至约500℃之间的温度(诸如约400℃)下进行。可在腔室中提供有惰性气体(诸如氩气)的腔室环境中进行所述退火工艺。在一个实施方式中,所述腔室内的氩气是静态的,并可在进行润湿层718的退火工艺之后视需要净化所述腔室。在一个实施方式中,进行所述退火工艺的时间介于约10秒至约1000秒之间,诸如介于约30秒至约90秒之间,诸如约60秒。在另一实施方式中,可在腔室中以静态或流动方式提供H2气体的腔室环境中进行所述退火工艺。在此实施方式中,进行所述退火工艺的时间可介于约10秒至约1000秒之间。在其他实施方式中,可提供氩气和H2气体进行退火工艺。
方框850提供进行金属沉积工艺以在基板上沉积接触金属层420。在一个实施方式中,利用PVD Co工艺沉积接触金属层420。所述PVD Co工艺可进一步为热PVD Co工艺。所述钴是使用传统的工艺溅射而成,且在一个实施方式中,可在工艺气体(诸如氩或H2)存在的情况下进行所述溅射工艺。在一个实施方式中,可通过以约5000瓦至约6000瓦(诸如约5500瓦)的频率提供RF功率以进行所述PVD Co工艺。可用介于约250瓦至约750瓦之间(诸如约500瓦)的功率以直流方式提供RF功率。在PVD Co工艺期间的腔室压力可保持介于约50毫托至约200毫托之间(诸如约100毫托)的压力下。一旦所述钴溅射至基板上,可通过向所述基板提供热使钴再次流动,以使所沉积的钴再流动。在一个实施方式中,通过加热所述基板达到介于约200℃至约500℃之间的温度可使所述PVD Co再流动。在采用PVD Co工艺的实施方式中,若所述腔室具有加热基板达到进行处理所需的温度的能力,则接触金属层420的沉积和退火两者可在同一个腔室中进行。
方框860提供使接触金属层420暴露于等离子体处理工艺。所述等离子体处理工艺通常包括提供工艺气体(诸如H2)至所述腔室,以及施加RF电流以使所述工艺气体形成等离子体。在一个实施方式中,所提供的RF电流的频率介于约200W至约800W之间,诸如约400W。所述等离子体处理工艺持续进行约1秒至约60秒,诸如进行约30秒。在一个实施方式中,可加热基板402至介于约100℃至约200℃之间的温度(诸如约150℃),以进一步降低接触金属层420的表面粗糙度并减少可能存在于接触金属层420中的杂质的百分比。
方框870提供在设置于基板402上的接触金属层420上进行退火工艺。通常进行所述退火工艺以降低接触金属层420的表面粗糙度并减少可能存在于接触金属层420中的杂质(诸如碳)。此外,所述退火工艺提高晶粒尺寸,晶粒尺寸提高会导致较低的电阻率,从而提高集成电路性能。所述退火工艺是在介于约200℃至约500℃之间的温度(诸如约400℃)下进行。进一步,在腔室中提供有惰性气体(诸如氩)和工艺气体(诸如H2)的腔室环境中进行所述退火工艺。在一个实施方式中,氩和H2在所述腔室内流动,并可在进行接触金属层420的退火工艺之后视需要净化所述腔室。在一个实施方式中,所述退火工艺进行约30秒至约90秒之间的时间,诸如进行约60秒。
在上述实施方式中,若在提供加热基板的腔室中进行Co沉积工艺和退火工艺,则可进行所述PVD Co工艺而无需使用循环金属沉积工艺。在替代的实施方式中,PVD Co层可沉积在特征结构的底部处并可被蚀刻和再溅射于所述特征结构的侧壁上以在所述侧壁上提供连续的钴膜,此方式允许所述PVD Co从场中再流动至所述特征结构的底部。进行接触金属层420的沉积以获得足以供后续进行接触金属层420的化学机械研磨所需的膜厚度。
在另一实施方式中,于CVD钴润湿层718之后所沉积的接触金属层420可包括钨(W)。此实施方式通常与双镶嵌式结构联用,所述双镶嵌式结构所具有的特征结构的下部呈现出小临界尺寸和具挑战性的(aggressive)深宽比。相较于所述双镶嵌式结构的下部而言,所述双镶嵌式结构的上部通常具有较大的临界尺寸和难度较低的深宽比。在此实施方式中,可使用上述的CVD钴工艺填充下部(所述下部在接触金属层沉积方面带来额外挑战)。所述CVD钴工艺填充所述特征结构的下部。在所述CVD钴沉积工艺之后,可进行CVD钨工艺以填充所述特征结构的其余部分。所述CVD钨工艺沉积材料的速度通常比CVD钴工艺快,因此能提高产量。
尽管上述内容涉及本发明的各实施方式,然而在不偏离本发明的基本范围的情况下,可设计本发明的其他和进一步的实施方式,且本发明的范围由以下要求保护的范围所确定。

Claims (20)

1.一种用于在半导体器件中沉积接触结构的方法,包括:
进行润湿层沉积工艺以在所述阻挡层上沉积润湿层;
在所述润湿层上进行退火工艺;
进行金属沉积工艺以在所述润湿层上沉积接触金属层,通过使所述润湿层暴露于沉积前驱物气体混合物而在所述基板上沉积所述接触金属层的一部分;
使所述接触金属层的所述部分暴露于等离子体处理工艺;以及
对沉积在所述基板上的所述接触金属层进行退火。
2.如权利要求1所述的方法,其中进行阻挡层沉积工艺的步骤包括沉积TiN阻挡层。
3.如权利要求2所述的方法,其中所述TiN阻挡层被沉积成介于约至约之间的厚度。
4.如权利要求1所述的方法,其中进行润湿层沉积工艺的步骤包括沉积未经氧化的Ti或TiN层、CVD Co层或PVD Co层。
5.如权利要求4所述的方法,其中所述CVD Co层由热沉积工艺来沉积。
6.如权利要求5所述的方法,其中在所述热沉积工艺期间使所述基板加热至介于约100℃至约200℃之间的温度。
7.如权利要求1所述的方法,其中进行润湿层沉积工艺的步骤包括沉积CVD TiN层、CVDRu层、ALD TaN层以及上述的组合。
8.如权利要求1所述的方法,其中在约200℃至约500℃之间的温度进行润湿层退火工艺。
9.如权利要求8所述的方法,其中进行所述润湿层退火工艺持续约30秒至约90秒之间的时间。
10.如权利要求8所述的方法,其中在含氩的腔室环境中进行所述润湿层退火工艺。
11.如权利要求10所述的方法,其中在进行所述润湿层退火工艺之后净化所述腔室环境。
12.如权利要求8所述的方法,其中在含氢的腔室环境中进行所述润湿层退火工艺。
13.如权利要求1所述的方法,其中进行金属沉积工艺的步骤包括沉积PVD Co层、CVDCo层或CVD W层。
14.如权利要求13所述的方法,其中所述PVD Co层经溅射至所述基板上,并且使所述PVD Co层在约200℃至约500℃之间的温度再次流动。
15.如权利要求1所述的方法,其中所述等离子体处理工艺包括使所述接触金属层暴露于含氢的等离子体持续约1秒至约60秒之间的时间。
16.如权利要求15所述的方法,其中在所述等离子体处理工艺期间使所述基板加热至介于约100℃至约200℃之间的温度,以降低所述接触金属层的表面粗糙度且减少所述接触金属层的杂质的百分比。
17.如权利要求1所述的方法,其中在约200℃至约500℃之间的温度进行接触金属层退火。
18.如权利要求17所述的方法,其中在含氩和含氢的腔室环境中进行所述接触金属层退火。
19.一种沉积接触金属层以用于在半导体器件中形成接触结构的方法,包括:
进行阻挡层沉积工艺以在基板上沉积TiN阻挡层;
进行润湿层沉积工艺以在所述TiN阻挡层上沉积Co润湿层;
在所述润湿层上进行退火工艺;
进行金属沉积工艺,以在所述Co润湿层上沉积Co接触金属层,通过使所述润湿层暴露于沉积前驱物气体混合物而在所述基板上沉积所述接触金属层的一部分;
使所述Co接触金属层的所述部分暴露于等离子体处理工艺;以及
对沉积在所述基板上的所述Co接触金属层进行退火。
20.一种沉积接触金属层以用于在半导体器件中形成接触结构的方法,包括:
进行阻挡层沉积工艺以在基板上沉积TiN阻挡层;
进行润湿层热沉积工艺以在所述TiN阻挡层上热沉积Co润湿层;
在所述润湿层上进行退火工艺,其中在约400℃的温度进行润湿层退火工艺;
进行金属沉积工艺,以在所述Co润湿层上沉积Co接触金属层,通过使所述Co润湿层暴露于沉积前驱物气体混合物而在所述基板上沉积所述接触金属层的一部分;
使所述Co接触金属层的所述部分暴露于等离子体处理工艺,其中在所述等离子体处理期间使所述基板加热至约150℃的温度;以及
对沉积在所述基板上的所述Co接触金属层在约400℃的温度进行退火。
CN201710145552.5A 2012-03-28 2013-03-22 实现无缝钴间隙填充的方法 Active CN106887380B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261616842P 2012-03-28 2012-03-28
US61/616,842 2012-03-28
US13/786,644 US9330939B2 (en) 2012-03-28 2013-03-06 Method of enabling seamless cobalt gap-fill
US13/786,644 2013-03-06
CN201380014720.7A CN104205302B (zh) 2012-03-28 2013-03-22 实现无缝钴间隙填充的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201380014720.7A Division CN104205302B (zh) 2012-03-28 2013-03-22 实现无缝钴间隙填充的方法

Publications (2)

Publication Number Publication Date
CN106887380A true CN106887380A (zh) 2017-06-23
CN106887380B CN106887380B (zh) 2020-03-17

Family

ID=49235580

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710145552.5A Active CN106887380B (zh) 2012-03-28 2013-03-22 实现无缝钴间隙填充的方法
CN201380014720.7A Active CN104205302B (zh) 2012-03-28 2013-03-22 实现无缝钴间隙填充的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201380014720.7A Active CN104205302B (zh) 2012-03-28 2013-03-22 实现无缝钴间隙填充的方法

Country Status (7)

Country Link
US (3) US9330939B2 (zh)
EP (2) EP3686920A3 (zh)
JP (3) JP6200486B2 (zh)
KR (3) KR101808209B1 (zh)
CN (2) CN106887380B (zh)
TW (1) TWI579961B (zh)
WO (1) WO2013148490A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112563143A (zh) * 2019-09-25 2021-03-26 长鑫存储技术有限公司 半导体结构制造方法
CN112714948A (zh) * 2018-09-26 2021-04-27 应用材料公司 气体分配组件及其操作

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2014052316A1 (en) 2012-09-25 2014-04-03 Advanced Technology Materials, Inc. Cobalt precursors for low temperature ald or cvd of cobalt-based thin films
TWI600786B (zh) * 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
CN110066984B (zh) 2013-09-27 2021-06-08 应用材料公司 实现无缝钴间隙填充的方法
US9287170B2 (en) * 2013-11-27 2016-03-15 Taiwan Semiconductor Manufacturing Company Limited Contact structure and formation thereof
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
WO2015126590A1 (en) * 2014-02-18 2015-08-27 Applied Materials, Inc. Hermetic cvd-cap with improved step coverage in high aspect ratio structures
KR102383971B1 (ko) 2014-02-23 2022-04-06 엔테그리스, 아이엔씨. 코발트 전구체
KR102398920B1 (ko) 2014-04-07 2022-05-17 엔테그리스, 아이엔씨. 코발트 cvd
CN106463358B (zh) * 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
KR102487441B1 (ko) * 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. 구리 및 유전체 상의 코발트 침착 선택성
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10014179B2 (en) * 2015-02-13 2018-07-03 Applied Materials, Inc. Methods for forming cobalt-copper selective fill for an interconnect
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9472502B1 (en) * 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
KR102467848B1 (ko) * 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
WO2017127197A1 (en) * 2016-01-21 2017-07-27 Applied Materials, Inc. Process and chemistry of plating of through silicon vias
US10446496B2 (en) * 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US9576901B1 (en) 2016-02-25 2017-02-21 International Business Machines Corporation Contact area structure and method for manufacturing the same
US10438849B2 (en) * 2016-04-25 2019-10-08 Applied Materials, Inc. Microwave anneal to improve CVD metal gap-fill and throughput
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI729457B (zh) * 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9716063B1 (en) 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR102275419B1 (ko) * 2016-11-23 2021-07-09 엔테그리스, 아이엔씨. 코발트의 화학적 증착을 위한 할로알키닐 디코발트 헥사카보닐 전구체
US10600685B2 (en) * 2016-11-27 2020-03-24 Applied Materials, Inc. Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
KR102654482B1 (ko) 2016-12-06 2024-04-03 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20180068595A (ko) 2016-12-14 2018-06-22 삼성전자주식회사 반도체 장치
US10128151B2 (en) 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10177030B2 (en) 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
CN106929821B (zh) * 2017-01-17 2019-12-20 复旦大学 一种金属含量可调的金属氮化物薄膜的制备方法及反应器
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
KR101914038B1 (ko) * 2017-02-02 2018-11-01 주식회사 에이치피에스피 3차원 플래시 메모리 소자의 제조방법
JP6586433B2 (ja) * 2017-03-30 2019-10-02 株式会社Kokusai Electric 基板処理方法、基板処理装置、プログラム
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
KR102579245B1 (ko) 2017-04-07 2023-09-14 어플라이드 머티어리얼스, 인코포레이티드 비정질 실리콘 갭충전을 개선하기 위한 표면 개질
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
JP7213827B2 (ja) * 2017-04-24 2023-01-27 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造における間隙充填方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR102629160B1 (ko) 2018-01-29 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 광학 디바이스 향상을 위한 습윤 층들
US10204828B1 (en) 2018-02-09 2019-02-12 International Business Machines Corporation Enabling low resistance gates and contacts integrated with bilayer dielectrics
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP7443250B2 (ja) * 2018-05-16 2024-03-05 アプライド マテリアルズ インコーポレイテッド 原子層自己整合基板の処理及び統合型ツールセット
TWI740046B (zh) * 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
US20200144056A1 (en) * 2018-11-03 2020-05-07 Applied Materials, Inc. Method of forming a cobalt layer on a substrate
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11355391B2 (en) * 2019-03-18 2022-06-07 Applied Materials, Inc. Method for forming a metal gapfill
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
KR20200124351A (ko) * 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
WO2021080726A1 (en) 2019-10-21 2021-04-29 Applied Materials, Inc. Method of depositing layers
CN114929925A (zh) * 2019-12-30 2022-08-19 恩特格里斯公司 形成有氟化镁区域的金属体
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JPWO2021186562A1 (zh) * 2020-03-17 2021-09-23
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US20220165852A1 (en) * 2020-11-23 2022-05-26 Applied Materials, Inc. Methods and apparatus for metal fill in metal gate stack
CN113078102B (zh) * 2021-03-24 2022-04-29 长鑫存储技术有限公司 半导体结构的制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
CN101466863A (zh) * 2006-04-11 2009-06-24 应用材料股份有限公司 用于形成含钴材料的工艺
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US5918149A (en) * 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6403478B1 (en) * 2000-08-31 2002-06-11 Chartered Semiconductor Manufacturing Company Low pre-heat pressure CVD TiN process
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
KR100433846B1 (ko) * 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
KR100539274B1 (ko) * 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) * 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7432200B2 (en) * 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
KR20090103058A (ko) 2008-03-27 2009-10-01 주식회사 하이닉스반도체 반도체 소자 및 이의 제조 방법
US8679970B2 (en) * 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
US8519541B2 (en) * 2008-08-14 2013-08-27 Macronix International Co., Ltd. Semiconductor device having plural conductive layers disposed within dielectric layer
JP2010080798A (ja) * 2008-09-29 2010-04-08 Renesas Technology Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
KR20110084275A (ko) * 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
JP2010212452A (ja) * 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
WO2011027834A1 (ja) * 2009-09-02 2011-03-10 株式会社アルバック Co膜の形成方法及びCu配線膜の形成方法
US8691687B2 (en) * 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
US9129945B2 (en) * 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US9177917B2 (en) * 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
JP2012089744A (ja) * 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US8546227B2 (en) * 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101466863A (zh) * 2006-04-11 2009-06-24 应用材料股份有限公司 用于形成含钴材料的工艺
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112714948A (zh) * 2018-09-26 2021-04-27 应用材料公司 气体分配组件及其操作
CN112563143A (zh) * 2019-09-25 2021-03-26 长鑫存储技术有限公司 半导体结构制造方法

Also Published As

Publication number Publication date
CN104205302A (zh) 2014-12-10
US9842769B2 (en) 2017-12-12
KR101808209B1 (ko) 2017-12-12
JP6200486B2 (ja) 2017-09-20
US9330939B2 (en) 2016-05-03
KR20190105141A (ko) 2019-09-11
WO2013148490A4 (en) 2013-11-21
EP2831907A4 (en) 2016-07-13
US10269633B2 (en) 2019-04-23
KR102021131B1 (ko) 2019-09-11
EP3686920A2 (en) 2020-07-29
CN106887380B (zh) 2020-03-17
EP2831907A1 (en) 2015-02-04
TWI579961B (zh) 2017-04-21
JP6502440B2 (ja) 2019-04-17
TW201409613A (zh) 2014-03-01
JP2017212466A (ja) 2017-11-30
EP3686920A3 (en) 2021-12-08
US20130260555A1 (en) 2013-10-03
KR20140143184A (ko) 2014-12-15
WO2013148490A1 (en) 2013-10-03
US20160247718A1 (en) 2016-08-25
KR102139238B1 (ko) 2020-07-29
EP2831907B1 (en) 2020-03-11
JP6962955B2 (ja) 2021-11-05
JP2019106549A (ja) 2019-06-27
JP2015519725A (ja) 2015-07-09
KR20170137960A (ko) 2017-12-13
US20180068890A1 (en) 2018-03-08
CN104205302B (zh) 2017-04-05

Similar Documents

Publication Publication Date Title
CN104205302B (zh) 实现无缝钴间隙填充的方法
JP6727359B2 (ja) シームレスのコバルト間隙充填を可能にする方法
US11043415B2 (en) Enhanced cobalt agglomeration resistance and gap-fill performance by ruthenium doping
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
TW202413683A (zh) 電漿增進之鉬沉積

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant