KR20140109834A - 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치 - Google Patents

금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치 Download PDF

Info

Publication number
KR20140109834A
KR20140109834A KR1020140026592A KR20140026592A KR20140109834A KR 20140109834 A KR20140109834 A KR 20140109834A KR 1020140026592 A KR1020140026592 A KR 1020140026592A KR 20140026592 A KR20140026592 A KR 20140026592A KR 20140109834 A KR20140109834 A KR 20140109834A
Authority
KR
South Korea
Prior art keywords
substrate
seed layer
metal
remote plasma
metal seed
Prior art date
Application number
KR1020140026592A
Other languages
English (en)
Other versions
KR102249529B1 (ko
Inventor
타이 에이. 스퍼린
조지 앤드류 안토넬리
나탈리아 브이. 더비나
제임스 이. 덩컨
조나단 디. 리드
데이비드 포터
달시 이. 램버트
두르가라크슈미 싱하르
스티번 라우
마셜 스토웰
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/787,499 external-priority patent/US9070750B2/en
Priority claimed from US14/020,339 external-priority patent/US20150072538A1/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140109834A publication Critical patent/KR20140109834A/ko
Priority to KR1020210056899A priority Critical patent/KR102379901B1/ko
Application granted granted Critical
Publication of KR102249529B1 publication Critical patent/KR102249529B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1862Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by radiant energy
    • C23C18/1865Heat
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1862Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by radiant energy
    • C23C18/1868Radiation, e.g. UV, laser
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • C25D5/38Pretreatment of metallic surfaces to be electroplated of refractory metals or nickel
    • C25D5/40Nickel; Chromium
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • C25D5/42Pretreatment of metallic surfaces to be electroplated of light metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Electrochemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

금속 산화물 표면을 개질된 (modified) 금속 표면으로 환원시키기 위한 방법 및 장치가 개시된다. 금속 산화물 표면을 원격 플라즈마에 노출시킴으로써, 기판 상의 금속 산화물 표면이 순수 금속으로 환원되고 금속이 리플로우될 수 있다. 원격 플라즈마 장치가 금속 산화물 표면을 처리할 뿐만 아니라 단일의 단독형 장치 내에서 기판을 냉각, 로딩/언로딩 및 이동시킬 수 있다. 원격 플라즈마 장치는 프로세싱 챔버 및 제어기를 포함하며, 제어기는 금속 시드 층을 갖는 기판을 프로세싱 챔버 내에 제공하며 환원 가스 종들의 원격 플라즈마━상기 원격 플라즈마는 환원 가스 종들로부터의 라디칼, 이온 및/또는 자외 방사선을 포함함━를 형성하고, 금속 시드 층의 금속 산화물이 금속으로 환원되고 금속이 리플로우되도록 기판의 금속 시드 층을 상기 원격 플라즈마에 노출시키도록 구성된다.

Description

금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치{METHOD AND APPARATUS FOR REDUCING METAL OXIDES ON A METAL SEED LAYER}
관련 출원에 대한 교차 참조
본원은 2013년 3월 6일자에 출원된 미국 특허 출원 번호 13/787,499 "METHODS FOR REDUCING METAL OXIDE SURFACES TO MODIFIED METAL SURFACES USING A GASEOUS REDUCING ENVIRONMENT; 이 특허 출원의 일부 추가 계속 출원이면서 2013년 9월 6일자에 출원된 미국 특허 출원 번호 14/020,339, "METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER"; 및 이 특허 출원의 일부 추가 계속 출원이면서 2013년 11월 21일자에 출원된 미국 특허 출원 번호 14/086,770, "METHODS AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER" 에 대한 우선권을 주장하며, 상기 특허 문헌들 모두는 본 명세서에서 참조로서 인용된다.
본 개시는 전반적으로 금속 시드 층 상의 금속 산화물 표면을 환원시키는 것에 대한 것이다. 본 개시의 소정의 측면들은 원격 플라즈마 장치를 사용하여서 금속 시드 층 상의 금속 산화물 표면을 환원시키는 것에 관한 것이다.
집적 회로 (IC) 내의 금속 배선 상호 접속부를 형성하는 것은 다마신 또는 이중 다마신 프로세스를 사용하여서 달성될 수 있다. 통상적으로, 트렌치 또는 홀들은 기판 상에 위치한 실리콘 이산화물와 같은 유전체 재료 내에 에칭된다. 홀 또는 트렌치들은 하나 이상의 접착 층 및/또는 확산 장벽 층으로 라이닝될 수 있다. 이어서, 금속 박층이 홀 또는 트렌치 내에 증착되어서 전기 도금된 금속을 위한 시드 층 역할을 할 수 있다. 이후에, 홀 또는 트렌치들이 전기 도금된 금속으로 충진될 수 있다.
통상적으로, 시드 금속은 구리이다. 하지만, 루테늄, 팔라듐, 이리듐, 로듐, 오스뮴, 코발트, 니켈, 금, 은, 알루미늄, 또는 이들의 조합들과 같은 다른 금속들이 사용될 수도 있다.
고 성능 집적 회로를 얻기 위해서, 집적 회로의 다수의 피처들은 그 크기가 작아지면서 구성 요소의 밀도가 높아지도록 제조되도고 있다. 몇몇 다마신 공정에서, 예를 들어서, 2X-nm 노드 피처들 상의 구리 시드 층은 50Å 이하로 얇을 수 있다. 몇몇 구현예들에서, 1X-nm 노드 피처들 상의 금속 시드 층이 도포되는데 구리를 포함하거나 포함하지 않을 수 있다. 이러한 작은 피처 크기에서도 보이드 (void) 또는 디펙트 (defect) 가 실질적으로 존재하지 않는 금속 시드 층 및 금속 배선을 생성하는 것이 기술적 과제이다.
본 개시는 금속 시드 층을 갖는 기판을 준비하는 방법에 관한 것이다. 이 방법은 상기 기판의 도금 표면 상에 상기 금속 시드 층을 갖는 상기 기판을 프로세싱 챔버 내에 제공하는 단계로서, 상기 금속 시드 층의 일부가 상기 금속의 산화물로 변화된, 상기 기판을 제공하는 단계를 포함한다. 이 방법은 원격 플라즈마 소스에서 환원 가스 종들의 원격 플라즈마를 형성하는 단계로서, 상기 원격 플라즈마는 상기 환원 가스 종들로부터의 라디칼들, 이온들 및 자외 (UV) 방사선 중 하나 이상을 포함하는, 상기 원격 플라즈마를 형성하는 단계를 더 포함한다. 이 방법은 상기 기판의 상기 금속 시드 층을 상기 원격 플라즈마에 노출시키는 단계로서, 상기 원격 플라즈마로의 노출은 상기 금속 시드 층과 일체화된 (integrated) 막의 형태로 상기 금속의 산화물을 상기 금속으로 환원시키는, 상기 원격 플라즈마에 노출시키는 단계를 더 포함한다.
몇몇 실시예들에서, 상기 금속 시드 층은 구리, 코발트, 루테늄, 팔라듐, 이리듐, 로듐, 오스뮴, 니켈, 금, 은, 알루미늄 및 텅스텐 중 적어도 하나를 포함한다. 몇몇 실시예들에서, 상기 기판은 상기 원격 플라즈마로의 노출 동안에 상기 금속 시드 층의 응집 (agglomeration) 을 일으키는 온도보다 낮은 온도에서 유지된다. 몇몇 실시예들에서, 상기 환원 가스 종들은 수소, 암모니아, 일산화탄소, 디보란, 설파이트 화합물, 탄소 및/또는 하이드로카본, 아인산염 (phosphites) 및/또는 하이드라진 중 적어도 하나를 포함한다. 몇몇 실시예들에서, 본 방법은 상기 기판을 도금 용액을 포함하는 도금 조로 전달하는 단계와, 상기 도금 용액을 사용하여서 금속을 상기 금속 시드 층 상에 도금하는 단계를 더 포함한다.
본 개시는 또한 금속 시드 층을 갖는 기판을 준비하는 장치에 관한 것이다. 이 장치는 프로세싱 챔버 및 상기 프로세싱 챔버 위의 원격 플라즈마 소스를 포함하다. 이 장치는 제어기를 더 포함하며, 상기 제어기는 상기 기판의 도금 표면 상에 상기 금속 시드 층을 갖는 상기 기판을 프로세싱 챔버 내에 제공하는 단계로서, 상기 금속 시드 층의 일부가 상기 금속의 산화물로 변화된, 상기 기판을 제공하는 동작을 수행하기 위한 인스트럭션; 원격 플라즈마 소스에서 환원 가스 종들의 원격 플라즈마를 형성하는 단계로서, 상기 원격 플라즈마는 상기 환원 가스 종들로부터의 라디칼들, 이온들 및 자외 방사선 중 하나 이상을 포함하는, 상기 원격 플라즈마를 형성하는 동작을 수행하기 위한 인스트럭션; 및 상기 기판의 상기 금속 시드 층을 상기 원격 플라즈마에 노출시키는 동작으로서, 상기 원격 플라즈마로의 노출은 상기 금속 시드 층과 일체화된 막의 형태로 상기 금속의 산화물을 상기 금속으로 환원시키는, 상기 원격 플라즈마에 노출시키는 동작을 수행하기 위한 인스트럭션을 구비한다.
몇몇 실시예들에서, 상기 제어기는 상기 원격 플라즈마로의 노출 동안에 상기 금속 시드 층의 응집을 일으키는 온도보다 낮은 온도에서 상기 기판을 유지시키기 위한 인스트럭션을 더 포함한다. 몇몇 실시예들에서, 상기 제어기는 상기 기판의 상기 금속 시드 층을 상기 원격 플라즈마에 노출시킨 후에 상기 기판을 냉각 가스에 노출시키기 위한 인스트럭션을 더 포함한다. 이 장치는 상기 프로세싱 챔버 내에서 상기 기판을 홀딩하기 위한 기판 지지부, 및 상기 원격 플라즈마 소스와 상기 기판 지지부 간의 샤워헤드를 더 포함한다. 몇몇 실시예들에서, 상기 제어기는 상기 원격 플라즈마 형성 및 기판의 금속 시드 층을 원격 플라즈마에 노출시키는 동안에 상기 기판 지지부를 약 0 ℃ 내지 약 400 ℃ 간의 처리 온도로 가열하기 위한 인스트럭션을 더 포함한다. 몇몇 실시예들에서, 상기 장치는 상기 프로세싱 챔버 내에 있으면서 상기 기판을 상기 샤워헤드와 상기 기판 지지부 간의 위치들로 이동시키도록 구성된 하나 이상의 이동 가능한 부재를 더 포함하며, 상기 제어기는 상기 기판의 금속 시드 층을 상기 원격 플라즈마로 노출시키기 이전에 상기 하나 이상의 이동 가능한 부재를 통해서 상기 기판을 상기 기판 지지부를 향해서 이동시키기 위한 인스트럭션을 더 포함한다. 몇몇 실시예들에서, 상기 장치는 전기 도금 시스템 또는 무전해 도금 시스템의 부분이다.
도 1a는 다마신 공정에서 비아 에칭 이전에 유전체 층들의 개략적 단면도의 실례를 나타내고 있다.
도 1b는 다마신 공정에서 에칭이 수행된 후의 도 1a의 유전체 층들의 개략적 단면도의 실례를 나타내고 있다.
도 1 c는 다마신 공정에서 에칭된 영역이 금속으로 충진된 후의 도 1a 및 도 1b의 유전체 층들의 개략적 단면도의 실례를 나타내고 있다.
도 2는 기판 상에 구리를 전기 도금하는 방법을 예시하는 예시적인 흐름도이다.
도 3은 금속 시드 층 상의 금속 산화물을 환원시키는 방법을 예시하는 예시적인 흐름도이다.
도 4a는 산화된 금속 시드 층의 개략적 단면도의 실례를 나타내고 있다.
도 4b는 금속 산화물 제거로 인한 보이드를 갖는 금속 시드 층의 개략적 단면도의 실례를 나타내고 있다.
도 4c는 금속 시드 층과 일체화되지 않은 반응 산물을 형성하는 환원된 금속산화물을 갖는 금속 시드 층의 개략적 단면도의 실례를 나타내고 있다.
도 4d는 금속 시드 층과 일체화된 막을 형성하는 환원된 금속 산화물을 갖는 금속 시드 층의 개략적 단면도의 실례를 나타내고 있다.
도 5는 프로세싱 챔버를 갖는 원격 플라즈마 장치의 개략적 단면도의 실례를 나타내고 있다.
도 6a는 금속 시드 층을 갖는 기판을 처리하는 방법을 예시하는 예시적인 흐름도를 나타내고 있다.
도 6b는 금속 시드 층을 갖는 기판을 처리하는 다른 방법을 예시하는 예시적인 흐름도를 나타내고 있다.
도 7a 내지 도 7d는 원격 플라즈마 장치를 사용하여서 금속 시드 층을 갖는 기판을 처리하는 다양한 스테이지를 예시하는 개략적 단면도의 실례를 나타내고 있다.
도 8a는 전기 도금 장치의 개략적 평면도의 실례를 나타내고 있다.
도 8b는 전기 도금 장치를 함께 원격 플라즈마 장치의 확대된 평면도의 실례를 나타내고 있다.
도 8c는 전기 도금 장치에 부착된 원격 플라즈마 장치의 3차원 사시도의 실례를 나타내고 있다.
도 9는 원격 플라즈마에 노출의 효과들 및 구리에 대한 전기 전도도 이득을 나타내는 그래프이다.
도 10은 원격 플라즈마를 사용하여서 처리될 경우 및 원격 플라즈마를 사용하여서 처리되지 않는 경우에서의 시드 트렌치 쿠폰들 (seed trench coupons) 의 SEM (scanning electron microscopy) 이미지들을 나타내고 있다.
도 11은 환원 처리 후에 대기 조건에 노출된 금속 시드 층 상의 금속 산화물 성장을 나타내는 그래프이다.
도 12는 환원 처리 후 및 환원 처리하지 않은 후의 상이한 기간들 동안에 대기 조건에 노출된 시드 트렌치 쿠폰들의 SEM 이미지들을 나타내고 있다.
도 13은 프로세싱 챔버 내의 상이한 조건들 하에서 시간에 따른 온도 냉각 프로파일들을 나타내는 그래프이다.
도 14는 원격 플라즈마 처리 후의 금속 시드 층의 온도 및 표면 조도 (roughness) 의 효과들을 나타내는 그래프이다.
도 15는 금속 시드 층 내의 온도 및 보이드 저감의 효과들을 나타내는 그래프이다.
다음의 설명에서, 다수의 특정 세부 사항들이 제공된 개념들의 철저한 이해를 제공하기 위해서 제시된다. 제공된 개념들은 이러한 특정 세부 사항들이 전혀 또는 일부가 없어도 실시될 수 있다. 다른 경우에서, 잘 알려진 프로세스 동작들은 기술된 개념들을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로 기술되지는 않을 것이다. 몇몇 개념들이 특정 실시예와 함께 기술되지만, 이러한 실시예들은 한정적으로 해석되어서는 안된다.
서론
본 발명이 다양한 이용 상황에서 사용될 수 있지만, 하나의 매우 유용한 이용 상황은 반도체 디바이스들을 제조할 시에 통상적으로 사용되는 다마신 또는 이중 다마신 공정이다. 다마신 또는 이중 다마신 공정은 구리 배선과 같은 금속 배선을 포함할 수 있다.
이중 다마신 기술의 일반화된 버전은 이중 다마신 공정의 스테이지 중 몇몇을 예시하는 도 1a 내지 도 1c를 참조하여서 기술될 수 있다.
도 1a는 다마신 공정에서 비아 에칭 이전의 하나 이상의 유전체 층들의 개략적 단면도의 실례를 나타내고 있다. 이중 다마신 공정에서, 제 1 유전체 층 및 제 2 유전체 층이 연속하여서 정상적으로 증착되고 가능하게는 이들은 실리콘 질화물 층과 같은 에칭 정지 층을 증착함으로써 분리된다. 이러한 층들은 도 1a에서 제 1 유전체 층 (103), 제 2 유전체 층 (105) 및 에칭 정지 층 (107) 으로 도시되어 있다. 이들은 기판 (109) 의 인접하는 부분 상에 형성되며, 그 부분은 (디바이스 레벨에서) 그 아래에 놓인 (underlying) 금속화 층 또는 게이트 전극 층일 수 있다.
제 2 유전체 층 (105) 을 증착한 후에, 이 공정은 비아들이 후속하여서 에칭될 위치에 개구들을 갖는 비아 마스크 (111) 를 형성한다. 도 1b는 다마신 공정에서 에칭이 수행된 후의 도 1a의 하나 이상의 유전체 층들의 개략적 단면도의 실례를 나타내고 있다. 이어서, 비아들이 에칭 정지 층 (107) 을 통해서 부분적으로 하향 에칭된다. 이어서, 비아 마스크 (111) 가 제거되고 도 1b에 도시된 바와 같이 라인 마스크 (113) 로 대체된다. 제 2 에칭 동작이 수행되어서 충분한 양의 유전체를 제거하여서 제 2 유전체 층 (105) 내에 라인 경로 (115) 를 규정한다. 이 에칭 동작은 또한 비아 홀 (117) 이 제 1 유전체 층 (103) 을 통과하여서 도 1b에 도시된 바와 같이 그 아래의 기판 (109) 에 접촉하도록 연장시킨다.
이후에, 이 공정은 유전체 층들 (103,105) 의 노출된 표면 (측벽을 포함함) 상에 상대적으로 도전성 장벽 층 재료의 박층 (119) 을 형성한다. 도 1c는 다마신 공정에서 에칭된 영역이 도전성 장벽 층 재료로 코팅되고 금속으로 충진된 후의 도 1a 및 도 1b의 개략적 단면도의 실례를 나타내고 있다. 도전성 장벽 층 재료 (119) 는 예를 들어서 탄탈륨 질화물 또는 티타늄 질화물로 형성될 수 있다. CVD, ALD, 또는 PVD 동작이 통상적으로 사용되어서 도전성 장벽 층 재료 (119) 를 증착한다.
이 다마신 공정에서, 도전성 장벽 층 재료 (119) 의 상에, 이어서, 비아 홀 및 라인 경로들 (117, 115) 내에 도전성 금속 (121) (반드시 그러하지는 않지만 통상적으로는 구리) 이 증착된다. 통상적으로, 이 증착은 2 단계로 수행되는데, 먼저 금속 시드 층이 초기 증착되고 이어서 도금에 의해서 금속이 벌크 증착된다. 그러나, 본 개시는 이하에서 상세하게 기술될 바와 같이 벌크 증착 단계 이전에 사전 처리 단계를 제공한다. 금속 시드 층은 PVD, CVD, 무전해 도금 또는 본 기술 분야에서 알려진 임의의 다른 적합한 증착 기술들을 사용하여서 증착될 수 있다. 구리 벌크 증착은 라인 경로 (115) 를 채울 뿐만 아니라 완전한 충진을 보장하기 위해서 제 2 유전체 층 (105) 의 상부 상에 노출된 모든 영역들을 피복한다. 금속 (121) 은 IC 소자에서 구리 배선 역할을 할 수 있다. 몇몇 실시예들에서, 구리가 아닌 다른 금속들이 시드 층으로 사용될 수 있다. 이러한 다른 금속들의 실례는 코발트, 텅스텐 및 루테늄을 포함한다.
금속 시드 층들은 공기 내의 산소 또는 수증기와 쉽게 반응하며 이로써 순수한 금속이 금속 산화물과 매립된 순수 금속의 혼합된 막으로 산화되어 버린다. 대기 조건 하에서 산화는 금속의 얇은 표면 층으로 한정될 수 있지만, 이러한 얇은 층도 현재의 기술 노드에서 사용되는 얇은 시드 층의 전체 두께의 상당한 부분을 나타내거나 전체 두께를 나타낼 수도 있다. 상대적으로 얇은 층들은 4×nm 노드, 3×nm 노드, 2×nm 노드 및 1×nm 노드와 같거나 10 nm 보다 작은 현재의 기술 노드에 의해서 필수적일 수 있다. 상대적으로 얇은 금속 층을 필요로 하는 이 기술 노드에서 비아 및 트렌치의 높이 대 폭의 종횡비는 약 5:1 이상일 수 있다. 이러한 기술 노드에서, 금속 시드 층의 두께는 결과적으로 평균 약 100 Å 보다 작을 수 있다. 몇몇 구현예들에서, 금속 시드 층의 두께는 평균 평균 약 50 Å 보다 작을 수 있다.
이하의 등식 1 및 등식 2에서 나타난 일반적 화학 반응을 통해서, 시드 층 또는 장벽 층에서 사용되는 금속들은 금속 산화물 (MOx) 로 변하지만, 금속 표면 (M) 과 주변 산소 또는 수증기 간의 정확한 반응 메카니즘은 특성들 및 산화 상태에 따라서 변할 수 있다:
등식 1 : 2M(s) + O2(g) → 2MOx(s)
등식 2 : 2M(s) + H2O(g) → M2Ox + H2(g)
예를 들어서, 기판 상에 증착된 구리 시드는 공기에 노출되면 구리 산화물을 신속하게 형성함이 알려졌다. 구리 산화물 막은 아래에 놓인 구리 금속 상에 대략 20 Å 및 위로 50 Å 까지의 두께를 갖는 층을 형성할 수 있다. 금속 시드 층이 얇아지면 질수록, 대기 조건에서의 산화로부터 금속 산화물이 형성되는 바는 기술적으로 상당한 난제를 낳는다.
순수 금속 시드에서 금속 산화물로의 변화는 몇 가지 문제를 낳는다. 이러한 바는 현재의 구리 다미신 공정에서뿐만 아니라 루테늄, 코발트, 은, 알루미늄 및 이들의 합금과 같은 다른 도전성 금속들을 사용하는 전기 증착 공정에서도 역시 그러하다. 먼저, 산화된 표면은 그 상에 도금하기가 어렵다. 전기 도금 조 (bath) 첨가제들이 금속 산화물 및 순수 금속과 가질 수 있는 상이한 상호 작용들로 인해서, 불균일한 도금이 나타날 수 있다. 금속 산화물과 순수 금속 간의 도전성 차로 인해서, 불균일한 도금은 더 악화될 수 있다. 둘째로, 보이드들이 금속 시드 내에 형성되어서 금속 시드의 일부분들이 도금을 지원하는데 사용될 수 없게 한다. 이러한 보이드들은 부식성 도금 용액에 노출되는 동안에 금속 산화물의 용해 (dissolution) 로 인해서 형성될 수 있다. 또한, 보이드들은 불균일한 도금으로 인해서 표면 상에 형성될 수 있다. 추가적으로, 산화된 표면 상에 벌크 금속을 도금하면 부착 또는 디라미네이션 (delamination) 문제가 발생할 수 있으며 이는 CMP (화학적 기계적 평탄화) 과 같은 후속 처리 단계 이후에 보이드 발생을 낳는다. 에칭, 불균일한 도금, 디라미네이션 또는 다른 수단로부터 발생한 보이드들은 금속 시드 층이 불연속적으로 되게 하며 도금을 지원하는데 사용될 수 없게 한다. 실제로, 현대의 다마신 금속 시드 층들은 약 50 Å 또는 그 이하의 두께로 상대적으로 얇기 때문에, 심지어 적은 산화도 전체 층 두께를 사용할 수 있다. 세째로, 금속 산화물 형성은 캡핑 (capping) 과 같은 전기 증착 후 단계를 방해하는데, 금속 산화물이 캡핑 층들에 대한 접착력을 제약할 수 있다.
금속 시드 층을 증착한 후이지만 시드 층 상에 벌크 금속을 전기 도금하기 이전에, 금속 시드 층 상에 금속 산화물이 형성되는 것을 회피하는 것은 어려울 수 있다. 다양한 단계들이 발생하여서 금속 전기 도금 이전에 금속 시드 층을 대기 조건 내의 산소 또는 수증기에 노출시킬 수 있다. 예를 들어서, 도 2는 기판 상에 구리를 전기 도금하는 방법을 예시하는 예시적인 흐름도이다. 프로세스 (200) 는 단계 (205) 에서 시작되며, 이 단계에서, 프로세스 챔버 또는 증착 챔버가 반도체 웨이퍼와 같은 기판을 수용한다. 구리 시드 층과 같은 금속 시드 층이 PVD와 같은 적합한 증착 기술을 사용하여서 기판 상에 증착될 수 있다.
단계 (210) 에서, 금속 시드 층을 갖는 기판이 린스되고 건조될 수 있다. 예를 들어서, 금속 시드 층은 탈이온수로 린스될 수 있다. 린스 단계는 예를 들어서 약 1 내지 10 초의 시간으로 제한될 수 있지만 보다 긴 또는 짧은 시간을 차지할 수도 있다. 이후에, 기판이 건조될 수 있으며, 이 건조 시간은 약 20 초 내지 40 초일 수 있지만 보다 긴 또는 짧은 시간을 차지할 수도 있다. 이러한 단계 동안에, 금속 시드 층은 산화에 노출될 수 있다.
단계 (215) 에서, 금속 시드 층을 갖는 기판이 전기 도금 시스템 또는 조 내로 전달된다. 이 전달 동안에, 금속 시드 층은 대기 조건에 노출되어서 급격하게 산화될 수 있다. 몇몇 실시예들에서, 이러한 노출 기간은 약 1 분 내지 약 4 시간, 약 15 분 내지 약 1 시간 또는 그 이상 중 어느 기간일 수 있다. 단계 (220) 에서, 벌크 금속은 기판 상에 전기 도금될 수 있다. 구리 시드 층을 갖는 기판이 예를 들어서 산성 용액 내의 구리 양 이온 및 이와 연관된 음이온을 포함하는 전기 도금 조 내에 담그어 질 수 있다. 도 2의 단계 (220) 는 2001년 2월 28일자에 출원된 미국 특허 제6,793,796 (변호사 문서 번호 NOVLP073) 에 개시된 일련의 공정들과 연관되며, 이 특허 문헌의 전체 내용이 모든 목적을 위해서 본 명세서에서 참조로서 인용된다. 이 참조 문헌은 전기 도금 공정의 적어도 4 개의 페이즈 (phase) 를 기술하고 상대적으로 소형의 내장된 피처들을 최적으로 충진하기 위한 각 페이지에서의 제어된 전류 밀도 방법들을 개시한다.
금속 시드 층 증착과 전기 도금 간의 산화에 금속 시드 층을 노출시킬 수 있는 다양한 단계들로 인해서, 금속 산화물 표면의 부정적 효과를 저감시킬 수 있는 기술이 필요하다. 통상적으로, 수소 기반 플라즈마 사용은 두꺼운 금속 산화물을 환원시킬 수 있지만 이러한 기술은 실질적 비용을 추가시키며 실질적으로 높은 온도 (예를 들어서, 최소 200 ℃ 이상) 를 사용하므로 얇은 금속 시드 층을 심하게 손상시켜서 피처들 내에 많은 수의 보이드들을 낳는다. 두꺼운 금속 산화물을 환원시키기 위한 열적 형성 가스 어닐링 (thermal forming gas anneal) 은 150 ℃ 보다 높은 온도에서 형성 가스 (예를 들어서, 수소 가스와 질소 가스의 혼합 가스) 를 사용하는데 이는 금속 시드를 응집 (agglomerate) 시켜서 보이드 증가를 초래할 수 있다. 산성제 또는 다른 화학제를 사용하여서 두꺼운 금속 산화물을 에칭하거나 용해시킬 수 있는데, 이러한 금속 산화물 제거는 금속이 그 상에 도금될 수 없는 불충분한 시드 층을 갖는 영역이 발생함으로 인해서 금속이 그 상에서 도금될 수 없는 영역에서 보이드 형성을 증가시킬 수 있다.
본 개시는 금속 산화물 표면을 개질된 (modified) 금속 표면으로 환원시키기 위한 방법들을 제공한다. 금속 산화물 표면들을 환원시키기 위한 방법은 기판이 전기 도금 조 내로 도입될 때에 실질적으로 산화물이 존재하지 않는 실질적으로 깨끗한 (clean) 금속성 표면을 제공한다. 실질적으로 산화물이 없는 기판은 또한 무전해 도금 시스템 또는 다른 금속 증착 시스템 내에도 도입될 수 있다. 또한, 금속 산화물을 환원시키는 방법은 상대적으로 낮은 온도에서 동작하며 환원된 금속 산화물은 금속으로 변하여서 금속 시드 층과 일체화되며 그 아래의 시드 또는 기판에 부착되는 연속 막을 형성하게 된다. 또한, 금속 산화물 표면을 환원시키는 방법은 금속 시드 층 내의 보이드 및 갭을 줄이도록 금속을 리플로우 (reflow) 할 수 있다. 이러한 금속 리플로우는 시드 층 내에서 금속을 이동시키고 원자들을 재분포시켜서 시드 커버리지 및/또는 평탄도를 증가시키며 이로써 보다 균일하면서도 연속적인 시드 층이 형성되게 된다.
금속 시드 층 상의 금속 산화물을 환원시키는 방법
원격 플라즈마를 사용하여서 금속 시드 층을 갖는 기판을 준비하는 방법이 개시될 수 있다. 몇몇 실시예들에서, 기판이 환원 (reducing) 가스 분위기에 노출되는 동안에 금속 시드 층의 응집을 초래하는 온도보다는 낮은 온도에서 기판이 유지된다. 몇몇 실시예들에서, 이 방법은 도금 용액을 포함하는 도금 조 내로 기판을 전달하고 전기 도금 용액을 이용하여서 금속을 금속 시드 층 상에 도금하는 단계를 더 포함한다.
도 3은 금속 시드 층 상에 산화물을 환원시키고 기판 상에 금속을 도금하는 방법을 예시하는 예시적인 흐름도를 나타내고 있다. 프로세스 (300) 는 단계 (305) 에서 시작되며, 이 단계에서 기판의 도금 표면 상에 금속 시드 층을 갖는 기판이 프로세싱 챔버 내로 제공된다. 금속 시드 층의 일부는 금속 산화물로 변하였다. 그 이전에, 구리 박층과 같은 금속 시드 층이 기판 상에 증착될 수 있다. 이는 기판의 도금 표면 상에 금속 시드 층을 갖는 기판을 제공한다. 기판은 약 3:1 보다 더 크거나 약 5:1 보다 더 큰 높이 대 폭의 종횡비를 갖는 리세스, 트렌치, 비아 또는 피처들을 가질 수 있다. 몇몇 실시예들에서, 금속 시드 층은 약 100 Å 보다 작은 두께를 가질 수 있다. 금속 시드 층은 구리, 코발트, 루테늄, 팔라듐, 로듐, 이리듐, 오시뮴, 니켈, 금, 은, 알루미늄 및 텅스텐 중 적어도 하나를 포함할 수 있다.
몇몇 실시예들에서, 금속 시드 층은 세미-노블 금속 층 (semi-noble metal layer) 을 포함할 수 있다. 세미-노블 금속 층은 확산 장벽의 일부이거나 확산 장벽으로서 기능할 수 있다. 세미-노블 금속 층은 루테늄과 같은 세미-노블 금속을 포함할 수 있다. 세미-노블 금속 층의 측면들은 미국 특허 번호 7,442,267 (변호사 문서 번호 NOVLP350), 미국 특허 번호 7,964,506 (변호사 문서 번호 NOVLP272), 미국 특허 번호 7,799,684 (변호사 문서 번호 NOVLP207), 미국 특허 출원 번호 11/540,937 (변호사 문서 번호 NOVLP175), 미국 특허 출원 번호 12/785,205 (변호사 문서 번호 NOVLP272X1), 및 미국 특허 출원 번호 13/367,710 (변호사 문서 번호 NOVLP272X2) 에 더 기술되어 있으며, 이 특허 문헌들 각각은 그 전체 내용이 본 명세서에서 참조로서 인용된다. 금속 시드 층 증착은 PVD와 같은 증착 장치에서 발생할 수 있다. 몇몇 실시예들에서, 프로세스 (300) 는 기판이 실질적으로 감압된 또는 진공의 분위기를 갖는 챔버 또는 장치로 전달된 위치에서 진행된다. 챔버 또는 장치는 환원 가스 종들을 포함할 수 있다. 몇몇 실시예들에서, 이 환원 가스 종들은 수소 (H2), 암모니아 (NH3), 일산화탄소 (CO), 디보란 (B2H6), 설파이트 (sulfite) 화합물, 탄소 및/또는 하이드로카본, 아인산염 (phosphites) 및/또는 하이드라진 (N2H4) 을 포함할 수 있다. 전달 동안에, 기판은 금속 시드 층의 표면을 산화시킬 수 있는 대기 조건에 노출되게 된다. 따라서, 적어도 금속 시드 층의 일부는 산화된 금속으로 변하거나 산화된 금속으로 이미 변해버릴 수 있다.
단계 (310) 에서, 환원 가스 종들의 원격 플라즈마가 원격 플라즈마 소스에서 형성되며, 원격 플라즈마는 환원 가스 종들로부터의 라디칼, 이온 및 자외 (UV) 방사선 중 하나 이상을 포함한다. 원격 플라즈마는 예를 들어서 H*, NH2 *, 또는 N2H3 *와 같은 환원 가스 종들의 라디칼을 생성할 수 있다. 환원 가스 종들의 라디칼들은 금속 산화물 표면과 반응하여서 순수한 금속 표면을 생성한다. 이하에서 보일 바와 같이, 등식 3은 예를 들어서 수소 가스와 같은 환원 가스 종들이 수소 라디칼로 깨지는 실례를 나타낸다. 등식 4은 수소 라디칼이 금속 산화물 표면과 반응하여서 금속 산화물을 금속으로 변화시키는 바를 나타내고 있다. 깨지지 않은 수소 가스 분자들 또는 재결합하여서 수소 가스 분자들을 형성하는 수소 라디칼의 경우에, 수소 가스 분자들은 등식 5에 나타난 바와 같이 금속 산화물을 금속으로 변화시키는 환원제 역할을 여전히 할 수 있다:
등식 3: H2 → 2H*
등식 4: (x)2H* + MOx → M + (x)H2O
등식 5: xH2 + MOx → M + xH2O
단계 (315) 에서, 기판의 금속 시드 층은 원격 플라즈마에 노출된다. 원격 플라즈마에 노출되면 금속 산화물이 금속 시드 층에 일체화된 (integrated) 막의 형태로 해서 금속으로 변한다. 환원 가스 종들의 라디칼, 환원 가스 종들로부터의 이온, 환원 가스 종들로부터의 UV 방사선 또는 환원 가스 종들 자체는 단계 (315) 에서 도시된 바와 같이 금속 산화물을 금속 시드 층에 일체화된 (integrated) 막의 형태로 해서 금속으로 변하게 하는 조건 하에서 금속 산화물과 반응한다. 금속 시드 층에 일체화된 막의 특성은 이하에서 도 4d를 참조하여서 이하에서 더 상세하게 논의될 것이다.
원격 플라즈마는 환원 가스 종들의 이온 및 다른 대전된 종들을 생성 및 포함할 수 있다. 환원 가스 종들의 이온 및 대전된 종들은 기판의 표면으로 이동하여서 금속 시드 층과 반응하거나 이와 접촉할 수 있다. 환원 가스 종들의 이온 및 대전된 종들은 기판의 표면을 향해서 자유롭게 드리프트 (drift) 하거나 반대로 대전된 바이어스가 기판 지지부에 대해서 제공되면 기판의 표면에 대해서 가속될 수 있다. 환원 가스 종들의 이온 및 대전된 종들은 금속 산화물과 반응하여서 금속 산화물을 환원시킨다. 몇몇 구현예들에서, 원격 플라즈마 내의 환원 가스 종들의 이온 및 대전된 종들은 예를 들어서 , H+, NH2 +, NH3 +, 및 H-을 포함할 수 있다. 이온 및 대전된 종들은 코발트, 루테늄, 팔라듐, 로듐, 이리듐, 오시뮴, 니켈, 금, 은, 알루미늄 및 텅스텐 및 이들의 합금 상에 형성될 수 있는 산화물 층의 두께 및 성질에 따라서 금속 시드 층 상의 산화물을 환원시키는데 유리할 수 있다. 예를 들어서, 이온 및 대전된 종들은 코발트를 함유하는 시드 층 처리에 유리할 수 있다.
원격 플라즈마는 또한 환원 가스 종들로부터의 UV 방사선을 생성 및 포함할 수 있다. 원격 플라즈마로부터 환원 가스 분자들을 여기시키면 광자가 방사될 수 있다. 이 방사된 광자들은 몇몇 효과들 중 하나를 낳을 수 있다. 먼저, UV 스펙트럼 내의 방사된 광자들은 기판의 표면을 가열시켜서 라디칼, 이온 및 다른 대전된 종들이 금속 산화물 표면과 보다 용이하게 반응할 수 있도록 금속 산화물 표면을 활성화시킬 수 있다. 둘째로, 환원 가스 종들은 방사된 광자들을 흡수하여서 환원 가스 종들의 라디칼을 생성할 수 있다. 이 생성된 라디칼들은 금속 산화물 표면과 반응하여서 금속 산화물을 환원시킨다. 세째로, 방사된 광자들은 금속 산화물 자체를 감소시키기에 충분한 에너지를 가질 수 있다.
금속 시드 층에 일체화된 형태로 금속 산화물을 금속으로 변화시키기 위한 프로세스 조건들은 금속 선택 및/또는 환원 가스 종 선택에 따라서 변할 수 있다. 몇몇 실시예들에서, 환원 가스 종들은 수소 (H2), 암모니아 (NH3), 일산화탄소 (CO), 디보란 (B2H6), 설파이트 (sulfite) 화합물, 탄소 및/또는 하이드로카본, 아인산염 (phosphites) 및 하이드라진 (N2H4) 중 적어도 하나를 포함할 수 있다. 또한, 환원 가스 종들은 상대적으로 불활성인 가스 종들과 같은 혼합 가스 종들과 혼합 (combined) 될 수 있다. 이러한 상대적으로 불활성인 가스 종들의 실례는 질소 (N2), 헬륨 (He), 네온 (Ne), 크립톤 (Kr), 크세논 (Xe), 라돈 (Rn), 및 아르곤 (Ar) 을 포함할 수 있다. 환원 가스 종들의 플로우 레이트는 처리할 웨이퍼의 크기에 따라서 변할 수 있다. 예를 들어서, 환원 가스 종들의 플로우 레이트는 단일 450 nm 웨이퍼를 처리하기 위해서 약 10 sccm (standard cubic centimeter per minute) 내지 약 100,000 sccm 간에 있을 수 있다. 예를 들어서, 환원 가스 종들의 플로우 레이트는 단일 300 nm 웨이퍼를 처리하기 위해서 약 500 sccm 내지 약 30,000 sccm 간에 있을 수 있다.
프로세싱 또는 환원 챔버 내에서의 온도 및 압력과 같은 처리 조건들은 또한 금속 시드 층에 일체화된 막의 형태로 금속 산화물을 금속으로 변화시키도록 제어될 수 있다. 몇몇 실시예에서, 환원 챔버의 온도는 환원 가스 종들이 라디칼로 해리 (dissociation) 될 수 있도록 상대적으로 높을 수 있다. 예를 들어서, 환원 챔버의 온도는 약 10 ℃ 내지 약 500 간에서, 예를 들어서 약 50 ℃ 내지 약 250 ℃ 간에서 어느 지점의 온도일 수 있다. 보다 높은 온도가 사용되면 금속 산화물 환원 반응 속도가 증가하며 환원 가스 분위기로의 노출 기간도 짧아질 수 있다. 몇몇 실시예들에서, 환원 가스 분위기 내의 산소의 존재를 최소화시키면 재산화의 영향이 저감되기 때문에 이 분위기로부터 임의의 산소를 실질적으로 제거하도록 환원 챔버는 상대적으로 낮은 압력을 가질 수 있다. 예를 들어서, 환원 챔버는 진공 상태 또는 약 0.1 토르 내지 50 토르의 감압된 압력으로 펌핑 다운될 수 있다. 이렇게 온도가 증가하고/하거나 압력이 감소하면 금속 시드 층 내의 금속 원자들의 리플로우가 증가되어서 보다 균일하고 연속적인 금속 시드 층이 생성되게 된다.
환원 챔버의 온도가 환원 가스 종들이 라디칼로 해리될 수 있도록 상대적으로 높을 수 있을 지라도, 기판 자체의 온도는 금속 시드 층에 대한 손상을 방지 또는 저감시키도록 별도로 제어될 수 있다. 금속 시드 층 내의 금속의 타입에 따라서, 금속은 임계 온도 위에서 응답하기 시작할 수 있다. 이러한 응집 효과는 상대적으로 얇은 시드 층들에서, 특히 약 100 Å보다 작은 두께를 갖는 시드 층들에서 더욱 두드러진다. 응집은 불연속적인 금속 시드 층을 형성하도록 연속적인 또는 준 연속적인 금속 시드 층을 비드들, 범프들(bumps), 아이슬랜드(island)들 또는 다른 매스들 (masses) 로 임의로 합치거나 (coalescing) 비딩하는 (beading) 것을 포함한다. 이로써, 도금 동안에, 금속 시드 층은 자신이 위치한 표면으로부터 박리되어서 보이드 증가를 초래한다. 예를 들어서, 구리에서 응집이 발생하기 시작하는 온도는 약 100 ℃ 보다 크다. 상이한 응집 온도가 상이한 금속에서 적용될 수 있다.
기판의 온도를 제어하고 응집 효과를 피하거나 줄이기 위해서, 환원 챔버 내에서 능동적으로 냉각된 페디스탈 및/또는 가스 플로우 냉각 장치와 같은 냉각 시스템이 사용되어서 기판의 국부 구역의 온도를 응집 온도보다 낮은 온도로 유지할 수 있다. 몇몇 실시예들에서, 기판은 페디스탈 상에서 이와 직접적으로 접촉하면서 지지될 수 있다. 몇몇 실시예들에서, 갭이 기판과 페디스탈 간에 존재할 수 있다. 열 전달은 전도, 대류, 복사 또는 이들의 조합에 의해서 이루어질 수 있다.
몇몇 구현예들에서, 능동적으로 냉각된 페디스탈이 이 페디스탈 내에 내장된 저항성 가열 요소, 냉각 채널 또는 다른 열 소스 또는 싱크를 갖는 열 전달 요소를 제공한다. 예를 들어서, 페디스탈은 물과 같은 유체가 페디스탈 내에서 순환되게 하여서 페디스탈을 능동적으로 냉각하는 냉각 요소들을 포함할 수 있다. 몇몇 실시예들에서, 냉각 요소들은 페디스탈 외부에 위치할 수 있다. 몇몇 실시예들에서, 냉각 유체는 글리콜과 같은 저 비등점 유체 (low-boiling fluid) 를 포함할 수 있다. 이러한 냉각 요소들을 포함하는 실시예들은 2008년 2월 5일자에 공고된 미국 특허 번호 7,327,948 (변호사 문서 번호 NOVLP127X1); 2011년 1월 5일자에 공고된 미국 특허 번호 7,941,039 (변호사 문서 번호 NOVLP127X3); 2007년 5월 21일자에 출원된 미국 특허 출원 번호 11/751,584 (변호사 문서 번호 NOVLP127X2); 2012년 2월 10일자에 출원된 미국 특허 출원 번호 13/370,579 (변호사 문서 번호 NOVLP127C1); 및 2012년 3월 20일자에 공고된 미국 특허 번호 8,137,465 (변호사 문서 번호 NOVLP127) 에 기술되어 있으며, 이들 문헌들 각각은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 페디스탈 내의 온도는 피드백 루프를 이용하여서 능동적으로 제어될 수 있다.
몇몇 구현예들에서, 갭이 페디스탈과 기판 간에 존재할 수 있으며, 가스와 같은 열 전도 매체가 페디스탈과 기판 간에 도입되어서 기판을 냉각시킬 수 있다. 몇몇 실시예들에서, 이 열 전도 매체는 헬륨을 포함할 수 있다. 몇몇 실시예들에서, 페디스탈은 기판에 걸친 균일한 냉각을 촉진하도록 오목 형상 또는 볼록 형상으로 될 수 있다. 이러한 페디스탈 프로파일의 실례들은 2005년 5월 12일자에 출원된 미국 특허 출원 번호 11/129,266 (변호사 문서 번호 NOVLP361); 2006년 10월 10일자에 출원된 미국 특허 출원 번호 11/546,189 (변호사 문서 번호 NOVLP198): 및 2010년 3월 29일자에 출원된 미국 특허 출원 번호 12/749,170 (변호사 문서 번호 NOVLP361D1) 에 기술되어 있으며, 이들 문헌들 각각은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다.
상이한 구성이 기판을 효율적으로 냉각시키고 기판에 걸친 실질적으로 균일한 온도를 유지하도록 사용될 수 있다. 능동 냉각 시스템의 몇몇 구현예들은 기판에 걸친 균일한 가스 플로우와 결합되는, 페디스탈 내의 페디스탈 순환수를 포함한다. 다른 구현예는 기판에 걸친 균일한 가스 플로우와 결합되는 저항성으로 (resistively) 가열되는 페디스탈을 포함한다. 다른 구성 및/또는 추가 구성이 능동 냉각 시스템에 제공될 수 있다. 예를 들어서, 분리 가능한 세라믹 커버가 페디스탈과 기판 간에서 삽입되어서 기판에 걸친 실질적으로 균일한 온도를 촉진할 수 있으며, 이러한 커버는 2011년 4월 13일자에 출원된 미국 특허 출원 번호 13/086,010 (변호사 문서 번호 NOVLP400) 에 개시되어 있으며, 이 문헌은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 몇몇 실시예들에서, 가스 플로우가 기판을 균일하면서 신속하게 냉각시키도록 최소 접촉 지지부를 사용하여서 제어될 수 있으며, 이는 2011년 10월 11일자에 공고된 미국 특허 번호8,033,771 (변호사 문서 번호 NOVLP298) 에 개시되어 있으며, 이 문헌은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 몇몇 실시예들에서, 열 전도 매체의 열 전달 계수가 열 전도 매체의 분압 (partial pressure) 을 변화시킴으로써 조절될 수 있으며, 이는 2012년 10월 12일자에 공고된 미국 특허 번호 8,288,288 (변호사 문서 번호 NOVLP232) 에 기술되어 있으며, 이 문헌은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 상대적으로 낮은 기판 온도를 유지하기 위한 국부화된 냉각 시스템에 대한 다른 구성들이 본 기술 분야에서 알려진 바와 같이 사용될 수 있다.
몇몇 실시예들에서, 원격 플라즈마로 기판을 노출시키는 동안에 금속 시드 층의 응집을 일으키는 온도 아래의 온도로 기판이 유지된다. 기판 온도는 본 명세서에서 조기에 언급되었거나 본 기술 분야에서 알려진 냉각 시스템들 중 임의의 것을 사용하여서 금속의 응집 온도보다 낮은 온도에서 유지될 수 있다. 몇몇 실시예들에서, 기판은 약 150 ℃ 아래의 온도에서, 예를 들어서, 약 -10 ℃ 내지 약 150 ℃ 간의 온도에서 유지될 수 있다. 예를 들어서, 구리 시드 층의 경우에, 기판은 약 75 ℃ 내지 약 100 ℃ 간의 온도에서 유지될 수 있다. 코발트 시드 층의 경우에, 기판은 약 100 ℃ 보다 높은 온도에서 유지될 수 있다.
환원 가스 분위기에 기판이 노출되는 기간은 다른 프로세스 파라미터들에 따라서 변할 수 있다. 예를 들어서, 환원 가스 분위기에 기판이 노출되는 기간은 원격 플라즈마 전력을 증가시키고, 환원 챔버의 온도를 증가시키는 등에 의해서 단축될 수 있다. 소정의 실시예들에서, 금속 시드 층에 일체화된 막의 형태로 금속 산화물 표면들을 순수 금속으로 환원시키기 위해서 노출되는 기간은 약 1 초 내지 약 60 분 간에 존재할 수 있다. 예를 들어서, 구리 시드 층 사전 처리의 경우에, 노출되는 기간은 약 10 초 내지 약 300 초 간에 존재할 수 있다.
대부분의 환원 처리에서 기판 표면을 세정하기 위해서 도금 이전에 기판은 린스 및 건조될 필요가 있지만, 환원 가스 분위기에 노출된 기판은 도금 이전에 린스 및 건조될 필요가 없다. 따라서, 환원 가스 분위기를 사용하여서 금속 산화물 표면을 환원시키는 바는 도금 이전에 기판을 린싱 및 건조하는 추가 단계들을 필요로 하지 않기 때문에 재산화 효과를 줄일 수 있다.
몇몇 구현예들에서, 금속 시드 층 내의 금속이 증가된 온도, 감압된 압력, UV 소스로부터의 UV 방사선, 원격 플라즈마로부터의 UV 방사선, 및 원격 플라즈마로부터의 라디칼, 이온 및 다른 대전된 종들 중 하나 이상에 노출됨으로써 리플로우될 수 있다. 이러한 노출은 금속 시드 층 내에서 원자들이 보다 여기된 상태로 되게 하여서 보다 이동성이 증가되게 한다. 원자들은 그 아래의 층 상에서 이리 저리 이동하여서 보이드/갭을 줄일 수 있다. 이로써, 보다 균일하고 연속적인 금속 시드 층이 생성될 수 있다. 몇몇 구현예들에서, 리플로우 및 환원 처리는 동시에 발생할 수 있다.
몇몇 실시예들에서, 기판의 금속 시드 층을 원격 플라즈마에 노출시킨 후에, 기판은 대기 조건 (ambient condition) 하에서 또는 불활성 가스 블랭킷 (blanket) 하에서 전기 도금 시스템, 무전해 도금 시스템, 금속 증착 시스템 또는 사전 처리 시스템으로 전달될 수 있다. 금속 시드 층 내의 금속 산화물들이 금속 산화물 표면을 환원 가스 분위기에 노출시킴으로써 실질적으로 환원되었을지라도, 상기 단계를 수행하게 되면 기판을 대기 분위기에 노출시킴으로 인한 추가적인 재산화 문제가 남아 있게 된다. 몇몇 실시예들에서, 대기 조건에 노출되는 정도는 전달 동안에 전달 기간을 단축시키거나 분위기를 제어하는 것과 같은 기술들을 사용하여서 최소화될 수 있다. 또한 또는 이와 달리, 전달은 대기 조건보다 덜 산화성인 (less oxidizing) 제어된 분위기에서 수행된다. 전달 동안에 분위기를 제어하기 위해서, 예를 들어서, 분위기에는 실질적으로 산소가 존재하지 않을 수 있다. 이러한 분위기는 실질적으로 불활성이고/이거나 저압 또는 진공 상태일 수 있다. 몇몇 실시예들에서, 기판은 불활성 가스 블랭킷 하에서 전달될 수 있다. 이하에서 기술될 바와 같이, 전달은 원격 플라즈마 장치에서 전기 도금 시스템 또는 도금 용액을 포함하는 도금 조로 발생하는데, 원격 플라즈마 장치가 전기 도금 시스템 또는 도금 조와 통합되거나 이와 달리 연결될 수 있다. 이어서, 금속이 기판 상에 전기 도금될 수 있다. 예를 들어서, 금속은 도금 용액을 사용하여서 금속 시드 층 상에 도금될 수 있다.
도 4a 내지 도 4d는 도전성 장벽 층 상에 증착된 금속 시드 층의 개략적 단면도의 실례들을 나타내고 있다. 도 4a는 도전성 장벽 층 (419) 상에 증착된 산화된 금속 시드 층의 개략적 단면도의 실례를 나타내고 있다. 본 명세서에서 조기에 언급한 바와 같이, 금속 시드 층 (420) 은 대기 조건에서 산소 또는 수증기에 노출되면 산화되며 이로써 금속 시드 층 (420) 의 일부에서 금속이 금속 산화물 (425) 로 변할 수 있다.
도 4b는 금속 산화물 제거로 인한 보이드를 갖는 금속 시드 층의 개략적 단면도의 실례를 나타내고 있다. 본 명세서에서 조기에 언급한 바와 같이, 몇몇 방식들은 금속 산화물 (425) 을 제거함으로써 금속 산화물 (425) 을 처리하는데, 이로써 보이드 (426) 가 발생하게 된다. 예를 들어서, 금속 산화물 (425) 은 산성제 또는 다른 화학제에 의한 산화물 에칭 또는 산화물 용해에 의해서 제거될 수 있다. 보이드 (426) 의 두께가 금속 시드 층 (420) 의 두께에 대해서 실질적으로 크기 때문에, 후속 도금 동안에 보이드 (426) 효과는 상당할 수 있다.
도 4c는 금속 시드 층에 일체화되지 않은 (not integrated) 반응 산물을 형성하는 환원된 금속 산화물을 갖는 금속 시드 층의 개략적 단면도의 실례를 나타내고 있다. 본 명세서에서 조기에 언급한 바와 같이, 몇몇 방식은 금속을 금속 시드 층 (420) 에 응집시키는 조건 하에서 금속 산화물 (425) 을 환원시킨다. 몇몇 실시예들에서, 이 환원 기술은 금속 시드 층 (420) 과 응집될 수 있는 구리 분말과 같은 금속 입자들 (427) 을 생성한다. 금속 입자들 (427) 은 금속 시드 층과 일체화되는 형태의 막을 형성하지 않는다. 대신에, 금속 입자들 (427) 은 금속 시드 층 (420) 에 대해서 연속적이지 않으며, 부합적 (conformal) 이지도 않거나/으며 부착성도 아니다.
도 4c는 금속 시드 층과 일체화되는 막을 형성하는 환원된 금속 산화물을 갖는 금속 시드 층의 개략적 단면도의 실례를 나타내고 있다. 몇몇 실시예들에서, 환원 가스 종들로부터의 라디칼, 환원 가스 종들로부터의 이온, 환원 가스 종들로부터의 UV 방사선, 또는 환원 가스 종들 그 자체가 금속 산화물 (4250 을 환원시킬 수 있다. 환원 가스 분위기의 프로세스 조건이 적절하게 조절되면, 도 4a의 금속 산화물 (425) 이 금속 시드 층 (420) 과 일체화된 막 (428) 으로 변할 수 있다. 막 (428) 은 분말이 아니다. 도 4c와는 대조되게, 막 (428) 은 금속 시드 층 (420) 과 일체화될 수 있는 몇몇 특성을 가질 수 있다. 예를 들어서, 막 (428) 은 금속 시드 층 (420) 의 콘투어 (contour) 에 대해서 실질적으로 연속적이며 부합적일 수 있다. 또한, 막 (428) 은 금속 시드 층 (420) 에 실질적으로 부착성이 있어서 금속 시드 층 (420) 으로부터 쉽게 박리 또는 디라미네이트되지 않는다.
원격 플라즈마 장치
금속 시드 층을 갖는 기판을 준비하는 원격 플라즈마 장치가 개시된다. 이 원격 플라즈마 장치는 프로세싱 챔버 및 상기 프로세싱 챔버 위의 원격 플라즈마 소스 및 제어기를 포함하다. 몇몇 실시예들에서, 이 원격 플라즈마 장치는 상기 프로세싱 챔버 내에서 상기 기판을 홀딩하기 위한 기판 지지부, 및 상기 원격 플라즈마 소스와 상기 기판 지지부 간의 샤워헤드를 더 포함한다. 몇몇 실시예들에서, 상기 원격 플라즈마 장치는 상기 프로세싱 챔버 내의 하나 이상의 이동 가능한 부재를 더 포함한다. 하나 이상의 이동 가능한 부재는 상기 기판을 상기 샤워헤드와 상기 기판 지지부 간의 위치들로 이동시키도록 구성될 수 있다. 상기 제어기는 상기 기판의 도금 표면 상에 상기 금속 시드 층을 갖는 상기 기판을 제공하는 단계로서, 상기 금속 시드 층의 일부가 상기 금속의 산화물로 변화된, 상기 기판을 제공하는 동작을 수행하기 위한 인스트럭션; 원격 플라즈마 소스에서 환원 가스 종들의 원격 플라즈마를 형성하는 단계로서, 상기 원격 플라즈마는 상기 환원 가스 종들로부터의 라디칼들, 이온들 및 자외 방사선 중 하나 이상을 포함하는, 상기 원격 플라즈마를 형성하는 동작을 수행하기 위한 인스트럭션; 및 상기 기판의 상기 금속 시드 층을 상기 원격 플라즈마에 노출시키는 동작으로서, 상기 원격 플라즈마로의 노출은 상기 금속 시드 층과 일체화된 막의 형태로 상기 금속의 산화물을 상기 금속으로 환원시키는, 상기 원격 플라즈마에 노출시키는 동작을 수행하기 위한 인스트럭션을 구비한다. 상기 제어기는 상기 기판의 금속 시드 층을 상기 원격 플라즈마로 노출시키기 이전에 상기 하나 이상의 이동 가능한 부재를 통해서 상기 기판을 상기 기판 지지부를 향해서 이동시키는 동작 및 상기 기판을 냉각 가스에 노출시키는 동작 중 하나 이상의 동작을 수행하도록 구성될 수 있다.
상기 원격 플라즈마 장치는 원격 플라즈마로 기판을 처리하는 것에만 한정되지 않은 복수의 동작들을 수행하도록 구성될 수 있다. 상기 원격 플라즈마 장치는 전기 도금 장치, 무전해 도금 장치 또는 다른 금속 증착 장치로/로부터 기판을 효율적으로 전달 (로딩/언로딩(unload)) 하도록 구성될 수 있다. 예를 들어서, 제어기는 도금 용액을 포함하는 도금 조로 기판을 전달하고 이 전기 도금 용액을 이용하여서 금속 시드 층 상에 금속을 도금하도록 구성될 수 있다. 원격 플라즈마 장치는 이동 가능한 부재 및/또는 기판 지지부를 사용하여서 기판을 포지셔닝함으로써 기판의 온도를 효율적으로 제어하도록 구성될 수 있다. 원격 플라즈마 장치는 기판 지지부의 온도 및 샤워헤드의 온도를 제어함으로써 기판의 온도를 효율적으로 제어하도록 구성될 수 있다. 원격 플라즈마 장치는 샤워헤드에 대하여 기판 지지부를 포지셔닝함으로써 환원 반응의 레이트 및 환원 반응의 균일성을 조절하도록 구성될 수 있다. 원격 플라즈마 장치는 프로세싱 챔버 내로 전달된 가스 및 가스의 플로우 레이트를 제어함으로써 기판 주변의 분위기 조건들을 제어하도록 구성될 수 있다. 이러한 동작들은 추가 동작들을 단일 독립형 장치 내로 통합시키면서 기판 처리를 개선할 수 있다. 이로써, 2 개의 개별 모듈들을 사용하기 보다는 단일 장치가 사용되어서 기판을 처리 및 냉각시킬 수 있다. 또한, 상술한 동작들 중 일부를 수행할 수 있도록 원격 플라즈마 장치를 구성함으로써, 원격 플라즈마 장치는 기판 처리 이전, 동안 및 이후에 금속 시드 층의 잠재적 산화를 줄일 수 있다.
몇몇 구현예들에서, 원격 플라즈마 장치는 UV 소스를 포함할 수 있다. 이 UV 소스는 수은 램프, UV 엑시머 (excimer) 램프, UV 엑시머 레이저 및 다른 적합한 UV 소스들와 같은 UV 광대역 램프들을 포함할 수 있다. UV 소스의 측면들은 2013년 3월 6일자에 출원된 미국 특허 출원 번호 13/787,499 (변호사 문서 번호 LAMRP027) 에 기술되어 있으며, 이 문헌은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 몇몇 구현예들에서, 환원 가스 종들은 UV 소스로부터의 UV 방사선에 노출되어서 환원 가스 종들의 라디칼 및 다른 대전된 종들이 형성되고, 이들이 금속 시드 층의 금속 산화물 표면과 반응하여서 금속 산화물을 환원시킨다.
도 5는 프로세싱 챔버를 갖는 원격 플라즈마 장치의 개략적 단면도의 실례를 나타내고 있다. 원격 플라즈마 장치 (500) 는 기판 (500) 을 지지하는 페디스탈과 같은 기판 지지부 (505) 를 포함하는 프로세싱 챔버 (550) 를 포함한다. 원격 플라즈마 장치 (500) 는 기판 (510) 위의 원격 플라즈마 소스 (540) 및 기판 (510) 과 원격 플라즈마 소스 (540) 간의 샤워헤드 (530) 를 포함한다. 환원 가스 종들 (520) 은 원격 플라즈마 소스 (540) 로부터 샤워헤드 (530) 를 통해서 기판 (510) 으로 흐를 수 있다. 원격 플라즈마는 환원 가스 종들의 라디칼을 생성하도록 원격 플라즈마 소스 (540) 내에서 생성될 수 있다. 또한, 원격 플라즈마는 환원 가스 종들의 이온 및 다른 대전된 종들들 생성할 수도 있다. 원격 플라즈마는 환원 가스 종들로부터 UV 방사선과 같은 광자들을 더 생성할 수 있다. 예를 들어서, 코일 (544) 이 원격 플라즈마 소스 (540) 의 벽을 둘러 있으며 원격 플라즈마 소스 (540) 내에 원격 플라즈마를 생성할 수 있다.
몇몇 실시예들에서, 코일 (544) 은 RF 전력 소스 또는 마이크로웨이브 전력 소스와 전기적으로 통신할 수 있다. RF 전력 소스를 갖는 원격 플라즈마 소스 (540) 의 실례는 캘리포니아 프레몬트 소재의 Lam Reserach 사에 의해서 제조된 GAMMA® 에서 찾을 수 있다. 원격 플라즈마 소스 (540) 의 다른 실례는 미국 메사추세츠 윌밍톤 소재의 MKS Instruments 사에 의해서 제조된 Astron®에 찾을 수 있으며, 이 장치는 440 kHz에서 동작하며 하나 이상의 기판들을 병렬로 처리하기 위한 대형 장치 상에 볼팅된 (bolted) 서브유닛 (subunit) 으로서 제공될 수 있다. 몇몇 실시예들에서, 마이크로웨이브 플라즈마가 원격 플라즈마 소스 (540) 로서 사용될 수 있으며 그 실례는 MKS Instruments 사에 의해서 제조된 Astex®에 찾을 수 있다. 마이크로웨이브 플라즈마는 2.45 GHz의 주파수에서 동작하도록 구성될 수 있다.
RF 전력 소스를 갖는 실시예들에서, RF 생성기는 소망하는 라디칼 종들의 조합의 플라즈마를 생성하도록 임의의 적합한 전력에서 동작할 수 있다. 적합한 전력의 실례는 다음으로 한정되지 않지만 0.5 kW 내지 6 kW 간의 전력들을 포함할 수 있다. 마찬가지로, RF 생성기는 유도 결합형 플라즈마를 위해서 13.56 MHz와 같은 적합한 주파수의 RF 전력을 제공할 수 있다.
환원 가스 종들 (520) 이 가스 유입구 (542) 로부터 원격 플라즈마 소스 (540) 의 내부 공간으로 전달된다. 코일 (544) 에 제공된 전력이 환원 가스 종들 (520) 에 대해 원격 플라즈마를 생성하고 이 플라즈마는 환원 가스 종들 (520) 의 라디칼을 형성한다. 원격 플라즈마 소스 (540) 에서 형성된 라디칼들은 가스상으로 해서 샤워헤드 (530) 를 통해서 기판 (510) 으로 운반된다. 이러한 구성을 갖는 원격 플라즈마 소스 (655) 의 실례는 2011년 12월 27일자에 공고된 미국 특허 번호 8,084,339 (변호사 문서 번호 NOVLP414) 에 기술되어 있으며, 이 문헌은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 환원 가스 종들 (520) 의 라디칼들은 기판 (510) 상의 표면 상의 금속 산화물을 환원시킬 수 있다.
환원 가스 종들의 라디칼 이외에, 원격 플라즈마는 환원 가스 종들 (520) 의 이온 및 다른 대전된 종들을 생성 및 포함할 수 있다. 몇몇 실시예들에서, 원격 플라즈마는 환원 가스 종들 (520) 의 중성 분자를 포함할 수 있다. 중성 분자들 중 일부는 환원 가스 종들 (520) 으로부터의 대전된 종들의 재결합된 분자들일 수 있다. 환원 가스 종들 (520) 의 중성 분자들 또는 재결합된 분자들은 또한 기판 (510) 의 표면 상의 금속 산화물을 환원시킬 수 있지만, 환원 가스 종들의 라디칼보다는 금속 산화물과 반응하여서 이를 환원시키는데 시간이 더 오래걸린다. 이온들이 기판 (510) 의 표면으로 드리프트하여서 금속 산화물을 산화하거나, 기판 지지부 (505) 가 반대로 대전된 바이어스를 가지면 이온들은 기판 (510) 의 표면을 향해서 가속되어서 금속 산화물을 산화한다. 보다 높은 이온 에너지를 갖는 종들을 사용하면 금속 시드 층 내로의 주입이 더 깊어져서 기판 (510) 의 표면으로부터떨어져 있는 위치에서 메타스테이블한 (metastable) 라디칼 종들을 생성할 수 있다. 예를 들어서, 기판 (510) 이 약 10:1 내지 약 6:1와 같은 큰 종횡비의 피처를 갖는다면, 보다 높은 이온 에너지를 갖는 이온들은 이러한 피처 내로 더 깊이 침투하여서 이 피처들 전체를 걸쳐에서 금속 산화물을 보다 많이 환원시킬 수 있다. 이와 대조적으로, 원격 플라즈마 생성으로부터 된 환원 가스 종들 (520) 의 라디칼들 중 일부는 이 피처의 필드 또는 상부 (top) 근처에서 재결합할 수 있다. 보다 높은 이온 에너지 (예를 들어, 10eV 내지 100eV) 를 갖는 이온들은 금속 시드 층 내의 금속을 재스퍼터링하여서 리플로우시키도록 사용될 수도 있으며, 이로써 후속 도금 또는 금속 증착 (예를 들어서, PVD, CVD, ALD) 을 위해서 보다 균일한 시드 커버리지 및 낮아진 종횡비를 낳을 수 있다.
도 5에서, 원격 플라즈마 장치 (500) 는 기판 (510) 을 능동적으로 냉각하거나 이와 달리 그 온도를 제어할 수 있다. 몇몇 실시예들에서, 프로세싱 동안에 환원 반응 레이트 및 원격 플라즈마로의 노출 균일성이 제어되도록 기판 (510) 의 온도를 제어하는 것이 바람직할 수 있다. 또한, 프로세싱 전, 후 및 동안에 기판 (510) 상에서의 산화 효과를 줄이도록 기판 (510) 의 온도를 제어하는 것이 바람직할 수 있다.
몇몇 실시예들에서, 원격 플라즈마 장치 (500) 는 기판 (510) 을 기판 지지부 (505) 를 향해서 또는 이로부터 멀어지게 이동시킬 수 있는 예를 들어서 리프트 핀들과 같은 이동 가능한 부재들 (515) 을 포함할 수 있다. 이동 가능한 부재들 (515) 은 기판 (510) 의 하부 표면와 접촉하거나 기판 지지부 (505) 로부터 기판 (510) 을 집어 올릴 수 있다. 몇몇 실시예들에서, 이동 가능한 부재들 (515) 은 기판 (510) 을 수직으로 이동시켜서 기판 (510) 과 기판 지지부 (505) 간의 간격을 제어할 수 있다. 몇몇 실시예들에서, 이동 가능한 부재들 (515) 은 2 개 이상의 작동 가능한 리프트 핀들을 포함할 수 있다. 이동 가능한 부재들 (515) 은 약 0 인치 내지 약 5 인치 또는 그 이상만큼 기판 지지부 (505) 로부터 멀어지도록 연장 (extend) 되도록 구성될 수 있다. 기판 (510) 을 냉각시키기 위해서, 이동 가능한 부재들 (515) 은 기판 (510) 을 고온 기판 지지부 (505) 로부터 멀어지게 그리고 저온 샤워헤드 (530) 를 향하도록 이동시킬 수 있다. 기판 (510) 을 가열시키기 위해서, 이동 가능한 부재들 (515) 은 기판 (510) 을 고온 기판 지지부 (505) 를 향해서 그리고 저온 샤워헤드 (530) 로부터는 멀어지게 이동시킬 수 있다. 이동 가능한 부재들 (515) 을 통해서 기판 (510) 을 포지셔닝함으로써, 기판 (510) 의 온도가 조절될 수 있다. 기판 (510) 을 포지셔닝할 때에, 기판 지지부 (505) 및 샤워헤드 (530) 는 일정한 온도로 유지될 수 있다.
몇몇 실시예들에서, 원격 플라즈마 장치 (500) 는 샤워헤드 온도 제어를 가능하게 하는 샤워헤드 (530) 를 포함할 수 있다. 온도 제어가 가능한 샤워헤드 구성의 실례들은 2012년 3월 20일자에 공고된 미국 특허 번호 8,137,467 (변호사 문서 번호 NOVLP246) 및 2009년 4월 16일자에 공개된 미국 특허 공개 번호 2009/0095220 (변호사 문서 번호 NOVLP246X1) 에 개시되어 있으며, 이 두 문헌 각각은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 온도 제어가 가능한 샤워헤드 구성의 실례들은 2011년 6월 23일자에 공개된 미국 특허 공개 번호 2011/0146571 (변호사 문서 번호 NOVLP329) 에 개시되어 있으며,이 문헌은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 샤워헤드 (530) 의 능동 냉각을 가능하게 하기 위해서, 미국 미시간 미들랜드 소재의 Dow Chemical 사에 의해서 제조된 열 전달 액체 또는 탈이온수와 같은 열 교환 유체가 사용될 수 있다. 몇몇 실시예들에서, 열 교환 유체는 샤워헤드 (530) 내의 유체 채널들 (미도시) 을 통해서 흐를 수 있다. 또한, 샤워헤드 (530) 는 온도를 제어하기 위해서 유체 가열기/냉각기와 같은 열 교환기 시스템 (미도시) 을 사용할 수 있다. 몇몇 실시예들에서, 샤워헤드 (530) 의 온도는 약 30 ℃ 보다 낮게, 예를 들어서 약 5 ℃ 내지 약 20 ℃ 간으로 제어될 수 있다. 샤워헤드 (530) 는 기판 (510) 처리 동안에 과잉 열로부터 발생할 수 있는 금속 시드 층 손상을 줄이도록 냉각될 수 있다. 샤워헤드 (530) 는 또한 기판 (510) 처리 중, 전 및 후에 기판 (510) 의 온도를 낮추도록 냉각될 수 있다.
몇몇 실시예들에서, 샤워헤드 (530) 는 복수의 홀들을 포함할 수 있다. 샤워헤드 (530) 내에서 복수의 홀들의 크기 및 개수를 증가시키고/시키거나 샤워헤드 (530) 의 두께를 줄이면, 환원 가스 종들 (520) 로부터의 라디칼, 이온 및 UV 방사선이 샤워헤드 (530) 를 통해서 더 많이 흐르게 된다. 금속 시드 층을 보다 많은 라디칼, 이온 및 UV 방사선에 노출시키면 보다 많은 UV 노출량 및 에너지성의 종들이 제공되어서 금속 시드 층 내의 금속 산화물이 더 많이 환원될 수 있다. 몇몇 실시예들에서, 샤워헤드 (530) 는 약 100 개 내지 약 900 개의 홀들을 포함한다. 몇몇 실시예들에서, 홀들의 평균 직경은 약 0.05 내지 약 0.5 인치 간에 존재할 수 있다. 이로써, 약 3.7 % 내지 약 25 % 를 홀들이 차지하므로 샤워헤드 (530) 에는 개방 구역이 생성될 수 있다. 몇몇 실시예들에서, 샤워헤드 (530) 는 약 0.25 내지 약 3.0 인치의 두께를 가질 수 있다.
몇몇 실시예들에서, 기판 지지부 (505) 는 샤워헤드 (503) 으로 또는 으로부터 멀어지게 이동하도록 구성될 수 있다. 기판 지지부 (505) 는 기판 (510) 과 샤워헤드 (530) 간의 간격을 제어하도록 수직으로 이동 (extend) 할 수 있다. 기판 (510) 상의 금속 산화물을 환원시킬 때에, 기판 (510) 상의 환원 레이트 및 균일도가 조절될 수 있다. 예를 들어서, 기판 지지부 (505) 가 샤워헤드 (503) 에 가까우면, 기판 (510) 의 표면 상의 금속 산화물의 환원은 보다 신속하게 진행할 수 있다. 그러나, 기판 (510) 의 중앙은 기판 (510) 의 에지보다 더 고온이므로 보다 덜 균일한 환원 처리가 이루어질 수 있다. 따라서, 기판 (510) 과 샤워헤드 (530) 간의 간격은 기판 (510) 을 처리할 때에 소망하는 레이트 및 균일도가 획득되게 조절될 수 있다. 몇몇 실시예들에서, 기판 지지부 (505) 는 샤워헤드 (530) 로부터 약 0 인치 내지 약 5 인치만큼 또는 약 5 인치보다 더 멀리 이동하도록 구성될 수 있다.
몇몇 실시예들에서, 기판 지지부 (505) 의 온도가 또한 조절될 수 있다. 몇몇 실시예들에서, 기판 지지부 (505) 는 하나 이상의 유체 채널들 (미도시) 을 갖는 페디스탈일 수 있다. 유체 채널들은 열 전달 유체의 온도에 따라서 페디스탈을 능동적으로 냉각하거나 능동적으로 가열하도록 페디스탈 내에서 열 전달 유체를 순환시킬 수 있다. 이러한 유체 채널 및 열 전달 유체를 포함하는 실시예들은 본 명세서에서 조기에 언급된 능동적으로 냉각된 페디스탈 시스템들에서 기술될 수 있다. 하나 이상의 유체 채널들을 통해서 열 전달 유체를 순환시키면 기판 지지부 (505) 의 온도가 제어될 수 있다. 이러한 기판 지지부 (505) 온도 제어는 기판 지지부 (505) 온도를 더 정밀하게 제어할 수 있다. 몇몇 실시예들에서, 기판 지지부 (505) 의 온도는 약 0 ℃ 내지 약 400 ℃ 간으로 조절될 수 있다.
몇몇 실시예들에서, 원격 플라즈마 장치 (500) 는 프로세싱 챔버 (550) 를 통해서 냉각 가스 (560) 를 흐르게 하도록 하나 이상의 가스 유입구 (522) 를 포함할 수 있다. 하나 이상의 가스 유입구 (522) 는 기판 (510) 의 상방, 하방 및/또는 측방에 위치할 수 있다. 하나 이상의 가스 유입구 (522) 중 몇몇은 기판 (510) 의 표면에 실질적으로 수직인 방향으로 냉각 가스 (560) 를 흐르게 하도록 구성될 수 있다. 몇몇 실시예들에서, 하나 이상의 가스 유입구 (522) 중 적어도 하나는 냉각 가스 (560) 를 샤워헤드 (530) 를 통해서 기판 (510) 으로 전달할 수 있다. 나 이상의 가스 유입구 (522) 중 몇몇은 기판 (510) 의 면과 평행하며 기판 (510) 의 표면에 걸쳐서 냉각 가스 (560) 의 교차 흐름 (cross-flow) 을 제공하도록 구성될 수 있다. 몇몇 실시예들에서, 하나 이상의 가스 유입구 (522) 는 냉각 가스 (560) 를 기판 (510) 위 및 아래로 전달할 수 있다. 기판 (510) 에 걸친 냉각 가스 (560) 의 흐름은 기판 (510) 을 신속하게 냉각시킬 수 있다. 기판 (510) 이 신속하게 냉각되면 기판 (510) 의 금속 시드 층 산화를 줄일 수 있다. 이러한 기판 (510) 냉각은 기판 (510) 처리 전 및 후에 발생할 수 있다. 냉각을 위한 냉각 가스 (560) 의 플로우 레이트는 약 0.1 slm (standard liter per minute) 내지 약 100 slm 간일 수 있다.
냉각 가스 (560) 의 실례들은 질소, 헬륨, 네온, 크립톤, 크세논, 라돈 및 아그곤과 같은 상대적으로 불활성인 가스를 포함할 수 있다. 몇몇 실시예들에서, 냉각 가스 (560) 는 질소, 헬륨 및 아르곤 중 적어도 하나를 포함한다.
몇몇 실시예들에서, 냉각 가스 (560) 는 예를 들어서 약 10 ℃ 내지 약 30 ℃ 간의 상온 (room temperature) 에서 전달될 수 있다. 몇몇 실시예들에서, 냉각 가스 (560) 는 상온보다 낮은 온도에서 전달될 수 있다. 예를 들어서, 저온 불활성 가스가 액체 아르곤, 헬륨 또는 질소와 같은 저온 액체를 가스로 팽창시킴으로써 형성될 수 있다. 따라서, 냉각을 위해서 사용되는 냉각 가스 (560) 의 온도 범위는 약 -270 ℃ 내지 약 30 ℃ 간의 임의의 지점으로 넓어질 수 있다.
몇몇 실시예들에서, 원격 플라즈마 장치 (500) 는 전기 도금 장치 (미도시) 에 통합되거나 이의 일부일 수 있다. 이는 이하에서 보다 상세하게 기술될 도 8b 및 도 8c에 도시될 수 있다. 기판 (510) 에서 금속 시드 층 산화는 대기 조건에 기판이 노출되면 급속하게 발생할 수 있다. 원격 플라즈마 장치 (500) 를 전기 도금 장치에 부착하거나 이와 달리 연결함으로써, 기판 (510) 이 대기 조건에 노출되는 기간이 단축될 수 있다. 예를 들어서, 처리 이후의 원격 플라즈마 장치와 전기 도금 장치 간의 전달 시간은 약 15 초 내지 약 90 초이거나 약 15 초보다 작을 수 있다.
표 1은 원격 플라즈마 장치 (500) 의 소정의 실시예들에서 사용될 수 있는 프로세스 파라미터들의 예시적인 범위들을 요약하고 있다:
파라미터 파라미터 범위
페디스탈 온도 0℃ 내지 400℃
샤워헤드 온도 5℃ 내지 30℃
페디스탈 낙하 수직 이동 0인치 내지 5인치
리프트 핀 상승 수직 이동 0인치 내지 5인치
냉각 가스 플로우 (N2/Ar/He - 순수 또는 혼합물) 0.1 slm 내지 100 slm
냉각 가스 온도 -270℃ 내지 30℃
프로세스 가스 플로우 (H2/He/NH3 - 순수 또는 혼합물) 0.5 slm 내지 30 slm
프로세스 압력 0.5 토르 내지 6 토르
벤팅 가스 플로우 설계상 (nominally) 냉각 가스와 동일
벤팅 가스 설계상 (nominally) 냉각 가스와 동일
RF 플라즈마 전력 0.5 kW 내지 6 kW
원격 플라즈마 장치에서 전기 도금 장치로의 전달 시간 15초 내지 90초
샤워헤드 홀 개수 100 개 내지 900 개
샤워헤드 두께 0.25 인치 내 3.0 인치
샤워헤드 홀 직경 0.05 인치 내지 0.5 인치
홀들로 인한 샤워헤드 개방 면적 3.7 % 내지 25 %
제어기 (535) 는 원격 플라즈마 장치 (500) 의 동작을 위한 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 제어기 (535) 는 통상적으로 하나 이상의 메모리 디바이스 및 하나 이상의 프로세서를 포함할 것이다. 프로세서는 CPU 또는 컴퓨터 또는 아날로그 및/또는 디지털 입출력 접속부들, 스텝퍼 모터 제어기 보드 등을 포함할 수 있다. 제어기 (535) 의 측면들은 도 8a 및 도 8b에서 제어기에 대해서 더 상세하게 기술될 것이다.
도 6a는 금속 시드 층을 갖는 기판을 처리하는 방법을 예시하는 예시적인 흐름도를 나타내고 있다. 도 7a 내지 도 7d는 원격 플라즈마 장치를 사용하여서 금속 시드 층을 갖는 기판을 처리하는 다양한 스테이지를 나타내는 개략적 단면도들의 실례을 나타내고 있다. 도 6a에서 기술되는 단계들 중 몇몇은 도 7a 내지 도 7d의 대응하는 개략적 단면도를 참조하여서 기술될 수 있다.
도 6a에서, 프로세스 (600a) 는 단계 (605a) 에서 시작되며, 이 단계에서 기판이 프로세싱 챔버 내에 제공된다. 기판은 금속 시드 층을 포함하며, 금속 시드 층의 일부는 금속의 산화물로 변하였다. 원격 플라즈마를 이용하여서 기판을 처리하기 이전에, 기판은 원격 플라즈마 장치의 프로세싱 챔버 내로 로딩될 수 있다. 몇몇 실시예들에서, 기판은 엑추에이션된 (actuated) 위치에 있는 하나 이상의 이동 가능한 부재들 상에 제공될 수 있다. 몇몇 실시예들에서, 불활성 가스가 로딩 동안에 기판을 냉각시키도록 프로세싱 챔버를 통해서 흐를 수 있다. 이는 로딩 동안에 기판의 추가적 산화를 줄일 수 있다. 몇몇 실시예들에서, 기판이 프로세싱 챔버로 로딩되면, 프로세싱 챔버는 폐쇄되고 진공 상태 또는 감압 상태로 펌핑 다운될 수 있다. 이는 실질적으로 산소가 없는 분위기를 제공한다. 프로세싱 챔버의 압력은 약 0.5 토르 내지 약 6 토르 간에 존재하며, 예를 들어서 약 0.5 토르 내지 3 토르 간에 존재할 수 있다. 따라서, 이러한 조건에서 기판을 프로세싱 챔버 내로 로딩하면 금속 시드 층의 추가적 산화가 줄어들 수 있다.
도 7a는 (단계 (605a) 에서와 같이) 금속 시드 층을 갖는 기판을 처리하는 스테이지들 중 일 스테이지에서의 원격 플라즈마 장치 (700) 의 개략적 단면도의 실례를 나타내고 있다. 원격 플라즈마 장치 (700) 는 프로세싱 챔버 (750) 내의 기판 지지부 (705), 기판 지지부 (705) 위의 원격 플라즈마 소스 (740) 및 원격 프라즈마 소스 (740) 와 기판 지지부 (705) 간의 샤워헤드 (730) 를 포함한다. 이동 가능한 부재들 (715) 이 기판 지지부 (705) 로부터 샤워헤드 (73) 를 향해서 연장되며 이로써 기판 (710) 을 포지셔닝한다. 이동 가능한 부재들의 실례들은 리프트 핀들 및 주변 그립들을 포함할 수 있다. 기판 (710) 은 금속 시드 층을 포함하며, 금속 시드 층은 Cu, Co, Ru, Pd, Rh, Ir, Os, Ni, Au, Ag, Al, 및 W 중 적어도 하나를 포함한다. 몇몇 실시예들에서, 금속 시드 층의 두께는 약 100 Å 보다 작을 수 있다.
도 7a에서, 프로세싱 챔버 (750) 내의 이동 가능한 부재 (715) 는 엑추에이션된 위치에서 기판 (710) 을 포지셔닝할 수 있다. 이 엑추에이션된 위치 (actuated position) 는 (도 7b에 도시된 바와 같은) 엑추에이션되지 않은된 위치보다 기판 (710) 을 샤워헤드 (730) 에 대해서 거리 A1만큼 근접하게 배치할 수 있다. 엑추에이션된 위치에서, 기판 (710) 과 샤워헤드 (730) 간의 거리 A1은 약 0.05 인치 내지 약 0.75 인치일 수 있다. 기판 (710) 과 기판 지지부 (710) 간의 거리 B1은 임의의 소망하는 거리일 수 있다. 예를 들어서, 거리 B1은 약 1 인치보다 크며 예를 들어서 약 1 인치 내지 약 5 인치일 수 있다. 샤워헤드 (730) 는 약 30 ℃보다 낮은 온도와 같은 상대적으로 낮은 온도에서 유지될 수 있다.
도 6a로 돌아가면, 단계 (610a) 에서, 기판은 프로세싱 챔버 내의 기판 지지부를 향해서 이동한다. 몇몇 실시예들에서, 기판은 비엑추에이션된 위치에서 있는 이동 가능한 부재들을 통해서 이동할 수 있다. 비엑추에이션된 위치는 엑추에이션된 위치보다 프로세싱 챔버 내에서 샤워헤드로부터 더 멀리 떨어져 있다. 몇몇 실시예들에서, 비엑추에이션된 위치에서 기판은 기판 지지부와 접촉할 수 있다. 예를 들어서, 이동 가능한 부재들은 기판이 기판 지지부 상에 놓이도록 후퇴될 수 있다. 몇몇 실시예들에서, 갭이 기판 지지부와 기판 간에 존재하며, 열 전달이 전도, 복사, 대류 또는 이들의 조합을 통해서 이루어질 수 있다. 기판 지지부는 가열되며 이로써 기판이 가열된다. 기판 지지부는 약 0 ℃ 내지 약 400 ℃ 간의 온도와 같은 프로세싱 온도로 가열될 수 있다. 기판 지지부의 온도는 기판의 금속 시드 층에 의존할 수 있다. 예를 들어서, 기판 지지부는 코발트 시드 층의 경우에 약 250 ℃ 내지 약 300 ℃ 간의 온도로 가열되며 구리 시드 층의 경우에 약 75 ℃ 내지 약 100 ℃ 간의 온도로 가열될 수 있다. 기판의 고온은 금속 산화물 환원 반응을 가속화시킬 수 있다. 그러나, 금속 시드 층의 응집 온도를 넘지 않도록 온도가 선택될 수 있다. 기판이 가열된 때에, 기판이 원격 플라즈마 처리에 노출될 수 있다.
도 7b는 (단계 (610a) 에서와 같이) 금속 시드 층을 갖는 기판을 처리하는 스테이지들 중 일 스테이지에서의 원격 플라즈마 장치 (700) 의 개략적 단면도의 실례를 나타내고 있다. 원격 플라즈마 장치 (700) 는 기판 지지부 (705) 위의 기판 (710) 을 포함하며, 기판 (710) 은 엑추에이션되지 않은 위치에 있다. 엑추에이션되지 않은 위치에서, 기판 (710) 은 샤워헤드 (730) 로부터 거리 A2 만큼 떨어져서 위치하며 엑추에이션된 위치에서보다 샤워헤드 (730) 로부터 더 멀리 떨어져 있다. 기판 (710) 과 샤워헤드 (730) 간의 거리 A2 는 약 1 인치보다 크며 예를 들어서 약 1 인치 내지 약 5 인치일 수 있다. 기판 (710) 및 기판 지지부 (705) 는 서로 접촉할 수 있으며 이들 간의 거리 B2는 기판 (710) 과 기판 지지부 (705) 간의 효율적인 열 전달이 가능하도록 상대적으로 작을 수 있다. 몇몇 실시예들에서, 거리 B2는 약 0 인치 내지 약 0.5 인치일 수 있다. 몇몇 실시예들에서, 이동 가능한 부재 (715) 는 기판 (710) 이 기판 지지부 (705) 상에 놓이도록 후퇴될 수 있다. 기판 지지부 (705) 는 수직으로 이동됨으로써 기판 (710) 을 샤워헤드 (730) 에 대해서 위치시킬 수 있다. 샤워헤드 (730) 는 약 30 ℃ 보다 낮은 온도와 같은 상대적으로 저온에서 유지될 수 있다.
거리 A2는 조절될 수 있으며 기판의 처리 동안에 환원 레이트 및 환원 균일성을 조절할 수 있다. 예를 들어서, 기판 (730) 이 샤워헤드 (730) 에 가까울수록 환원 레이트는 고속이지만 보다 덜 균일한 결과가 획득된다. 거리 A2는 기판 지지부 (705) 를 수직으로 이동시킴으로써 조절될 수 있다. 몇몇 실시예들에서, 기판 지지부 (705) 는 프로세싱 챔버 내에서 제 1 위치에서 제 2 위치로 이동하는데, 제 1 위치와 제 2 위치 간의 거리는 약 1 인치보다 크다. 기판 (705) 을 포지셔닝하기 위한 자유도가 증가하면 후속 환원 처리의 레이트 및 균일성을 조절하는데 있어서 유연성이 커질 수 있다.
도 6a로 돌아가면, 단계 (615a) 에서, 원격 플라즈마가 원격 플라즈마 소스 내에서 환원 가스 종들로 형성될 수 있으며, 원격 플라즈마는 환원 가스 종들의 라디칼을 포함한다. 원격 플라즈마는 환원 가스 종들을 에너지 소스에 노출시킴으로써 형성될 수 있다. 에너지 소스는 기판을 향해서 흐를 수 있는 라디칼, 이온 및 다른 대전된 종들을 생성할 수 있다. 몇몇 실시예들에서, 에너지 소스는 RF 방전일 수 있다. 원격 플라즈마가 형성된 때에, 기판은 소망하는 처리 온도로 가열되거나 이미 가열되어 있을 수 있다. 몇몇 실시예들에서, 환원 가스 종들의 라디칼들이 프로세싱 챔버 내에서 기판을 향해서 흐르도록 샤워헤드가 원격 플라즈마 소스에 연결되고 이온들을 필터링할 수 있다.
단계 (620a) 에서, 기판의 금속 시드 층이 환원 가스 종들의 라디칼에 노출된다. 금속 시드 층의 일부는 금속 시드 층의 산화물을 포함할 수 있다. 원격 플라즈마 내에 형성된 이온, 라디칼 및 다른 대전된 종들은 샤워헤드를 통해서 흐르고 기판이 환원 가스 종들의 라디칼에 실질적으로 노출되도록 이온 및 다른 대전된 종들은 필터링된다. 금속 산화물은 환원 가스 종들의 라디칼 또는 환원 가스 종들 자체와 반응하여서 금속으로 환원된다. 이러한 반응은 금속 산화물을 금속으로 환원시키는 조건 하에서 발생한다. 금속 시드 층 내의 금속 산화물은 금속 시드 층과 일체화된 막을 형성하도록 환원된다. 환원 가스 종들을 사용하여서 금속 시드 층 내의 금속 산화물을 환원하는 바는 2013년 3월 6일자에 출원된 미국 특허 출원 번호 13/787,499 (변호사 문서 번호 LAMRP027) 에서 기술되며, 이 문헌은 모든 목적을 위해서 그 전체 내용이 본 명세서에서 참조로서 인용된다. 몇몇 실시예들에서, 샤워헤드가 약 30 ℃ 보다 낮은 온도에서 유지될 때에 환원 가스 종들의 라디칼이 샤워헤드를 통해서 흐른다.
도 7c는 (단계 (615a) 및 단계 (620a) 에서와 같이) 금속 시드 층을 갖는 기판을 처리하는 스테이지들 중 일 스테이지에서의 원격 플라즈마 장치 (700) 의 개략적 단면도의 실례를 나타내고 있다. 원격 플라즈마 장치 (700) 는 기판 (710) 위의 원격 플라즈마 소스 (740) 및 이 원격 플라즈마 소스 (740) 의 벽들을 둘러싸는 하나 이상의 코일들 (744) 을 포함한다. 가스 유입구 (742) 가 원격 플라즈마 소스 (740) 에 연결되어서 환원 가스 종들 (720) 을 원격 플라즈마 소스 (740) 의 내부 공간으로 전달한다. 환원 가스 종들 (720) 은 약 500 sccm 내지 약 30,000 sccm 간의 플로우 레이트로 흐르며, 이 플로우 레이트는 어떠한 기판 크기에도 적용될 수 있다. 몇몇 실시예들에서, 환원 가스 종들 (720) 은 수소 (H2), 암모니아 (NH3), 일산화탄소 (CO), 디보란 (B2H6), 설파이트 (sulfite) 화합물, 탄소 및/또는 하이드로카본, 아인산염 (phosphites) 및/또는 하이드라진 (N2H4) 을 포함할 수 있다. 하나 이상의 코일에 공급된 전력이 원격 플라즈마 소스 (740) 내에서 환원 가스 종들 (720) 의 원격 플라즈마를 생성할 수 있다. 코일들 (744) 에 공급된 RF 플라즈마 전력은 약 0.5 kW 내지 약 6 kW 간에 존재할 수 있다. 원격 플라즈마는 환원 가스 종들 (720) 의 라디칼, 예를 들어서 H*, NH2 *, 또는 N2H3 *와 같은 환원 가스 종들의 라디칼을 생성할 수 있다. 원격 플라즈마는 이온 및 다른 대전된 종들을 포함하지만, 환원 가스 종들 (720) 의 라디칼이 기판 (710) 에 도달하도록 샤워헤드 (730) 는 이온 및 다른 대전된 종들을 필터링할 수 있다. 환원 가스 종들 (720) 의 라디칼은 원격 플라즈마 소스 (740) 로부터 샤워헤드 (730) 를 통해서 프로세싱 챔버 (750) 내의 기판 (710) 의 표면으로 흐른다. 샤워헤드 (730) 는 약 30 ℃보다 낮은 온도와 같은 상대적으로 저온에서 유지될 수 있다. 냉각된 샤워헤드 (730) 는 과잉 열이 기판 (710) 에 도달하는 것을 제약하며 기판 (710) 내의 금속 시드 층 손상을 방지한다.
도 7c에서, 기판 (710) 은 엑추에이션되지 않은 위치에서 유지된다. 기판 (710) 과 샤워헤드 (730) 간의 거리 A3는 기판 지지부 (705) 를 이동시킴으로써 조절될 수 있다. 거리 A3를 조절하면 기판 (710) 에서 발생하는 환원 반응의 레이트 및 균일성이 조절될 수 있다. 예를 들어서, 거리 A3가 짧을수록 금속 산화물은 신속하게 환원되지만 그 균일성은 떨어지는 반면에, 거리 A3가 길수록 금속 산화물은 느리게 환원되지만 그 균일성은 증가한다. 몇몇 실시예들에서, 거리 A3는 거리 A2과 동일할 수 있다. 기판 (710) 및 기판 지지부 (705) 가 서로 접촉 상태로 유지되거나 기판 (710) 과 기판 지지부 (705) 간의 거리 B3가 도 7b에서의 거리 B2와 동일하게 되도록 이동 가능한 부재들 (715) 은 후퇴될 수 있다.
기판 지지부 (705) 의 온도는 능동 가열 또는 능동 냉각 시스템을 통해서 조절될 수 있다. 이 온도는 처리되는 기판 (710) 내의 금속 시드 층에 따라서 조절될 수 있다. 예를 들어서, 2 개의 상이한 온도 영역에서 동작될 필요가 있는 2 개의 상이한 금속 시드 층들 간에서 스위칭될 때에 기판 지지부 (705) 의 온도는 변경될 수 있다. 예를 들어서, 코발트 시드 층의 경우에, 기판 지지부 (705) 는 약 250 ℃ 내지 약 300 ℃ 간의 온도로 가열되며, 구리 시드 층의 경우에는 기판 지지부 (705) 는 스위칭되어서 약 75 ℃ 내지 약 100 ℃ 간의 온도로 가열된다.
도 6a로 돌아가면, 단계 (625a) 에서, 기판이 냉각 가스에 노출된다. 냉각 가스는 아르곤, 헬륨 및 질소 중 적어도 하나를 포함할 수 있다. 몇몇 실시예들에서, 냉각 가스는 저온 액체를 가스로 팽창시킴으로서 생산될 수 있다. 기판을 냉각 가스에 노출하면 기판이 약 30 ℃ 보다 낮은 온도로 냉각된다. 이로써, 냉각 가스는 대기 조건 하에서 기판을 냉각시키도록 하는 온도로 전달될 수 있다. 몇몇 실시예들에서, 기판을 냉각 가스에 노출시키기 이전에, 기판이 이동 가능한 부재를 통해서 엑추에이션된 위치로 이동할 수 있다. 기판은 신속한 냉각을 위해서 엑추에이션된 위치에 유지되면서 냉각 가스에 노출될 수 있다. 몇몇 실시예들에서, 기판을 냉각 가스에 노출시킨 후에 기판이 전기 도금 장치에 전달될 수 있다. 이와 달리, 기판은 무전해 도금 장치 또는 다른 금속 증착 장치에 전달될 수 있다. 몇몇 실시예들에서, 기판을 냉각 가스에 노출시킨 후에 프로세싱 챔버는 벤팅 가스 (venting gas) 를 사용하여서 대기 조건으로 벤팅될 수 있다.
도 7d는 (단계 (625a) 에서와 같이) 금속 시드 층을 갖는 기판을 처리하는 스테이지들 중 일 스테이지에서의 원격 플라즈마 장치 (700) 의 개략적 단면도의 실례를 나타내고 있다. 원격 플라즈마 장치 (700) 는 냉각 가스 (760) 를 전달하기 위한 하나 이상의 냉각 가스 유입구들 (722) 을 포함한다. 냉각 가스 유입구들 (722) 은 기판 (710) 상방 및 측방을 포함하여서 기판 (710) 을 둘러서 위치될 수 있다. 냉각 가스 (760) 는 샤워헤드 (730) 를 통해서 기판 면에 대해서 수직하게 기판 (710) 으로 향할 수 있다. 냉각 가스 (760) 는 또한 프로세싱 챔버 (750) 의 측면 상에 있는 냉각 가스 유입구들 (722) 을 통해서 기판 면에 평행하게 기판 (710) 상으로 향할 수도 있다. 냉각 가스 (760) 는 약 0.1 slm 내지 약 100 slm의 플로우 레이트로 해서 프로세싱 챔버 (750) 내로 흐를 수 있다. 기판을 전기 도금 장치, 무전해 도금 장치 또는 다른 금속 증착 장치로 전달하기 이전에, 냉각 가스 유입구들 (722) 은 냉각 가스 (760) 를 기판 (710) 에 걸쳐서 플러싱 (flushing) 하여서 기판을 급냉시킨다. 몇몇 실시예들에서, 기판 (710) 은 기판 지지부 (705) 를 턴 오프 (turn off) 시키거나 냉각시키지 않고서 냉각될 수 있다. 이는 별도의 가열 구역 및 냉각 구역을 갖는 2 챔버 설계를 사용할 필요 없이 단일 프로세스 챔버 (750) 내에서 기판 (710) 이 처리 및 냉각될 수 있게 한다.
도 7d에서, 기판 (710) 은 엑추에이션된 위치에서 유지된다. 기판 (710) 과 샤워헤드 (730) 간의 거리 A4는 약 0.05 인치 내지 약 0.75 인치일 수 있다. 몇몇 실시예들에서, 거리 A4는 도 7a에서의 거리 A1과 동일할 수 있다. 기판 (710) 을 냉각된 샤워헤드 (730) 에 가까이 그리고 고온 기판 지지부 (705) 로부터는 멀리 포지셔닝함으로써, 기판 (710) 은 신속한 레이트로 해서 냉각될 수 있다. 이동 가능한 부재 (715) 는 기판 (710) 을 샤워헤드 (730) 에 가까이 그리고 기판 지지부 (705) 로부터는 멀어지도록 상승시킬 수 있다. 기판 지지부 (705) 와 기판 (710) 간의 거리 B4는 약 1 인치보다 크거나 약 1 인치 내지 약 5 인치일 수 있다. 몇몇 실시예들에서, 거리 B4는 도 7a에서의 거리 B1과 동일할 수 있다. 몇몇 실시예들에서, 기판 (710) 이 엑추에이션된 위치에 있고 약 상온으로 냉각될 때에, 프로세스 챔버 (750) 는 대기 조건으로 벤팅되며 전기 도금 장치, 무전해 도금 장치 또는 다른 금속 증착 장치로 전달될 수 있다.
도 6b는 금속 시드 층을 갖는 기판을 처리하는 다른 방법을 설명하는 예시적인 흐름도이다. 방법 (600b) 의 단계 (605b) 에서, 방법 (600a) 의 단계 (605a) 에서와 같이, 금속 시드 층을 갖는 기판이 프로세싱 챔버 내에 제공된다. 금속 시드 층은 금속의 산화물로 변한 부분을 가질 수 있다.
단계 (610b) 에서, 원격 플라즈마 소스에서 환원 가스 종들의 원격 플라즈마가 형성되며, 원격 플라즈마는 환원 가스 종들로부터의 라디칼들, 이온들 및 자외 방사선 중 하나 이상을 포함한다. 원격 플라즈마의 에너지는 고 에너지 이온을 포함하여 고 에너지 종들을 생성하도록 증가될 수 있다. 고 에너지 이온은 고밀도 플라즈마 (HDP) 처리 시스템 및/또는 스퍼터링 시스템에서 생성될 수 있다. 원격 플라즈마는 또한 환원 가스 종들의 여기의 결과로 형성된 UV 방사선을 생성할 수 있다. 생성된 UV 방사선은 약 100 nm 내지 약 700 nm의 파장을 가질 수 있다. 예를 들어서, 생성된 UV 방사선은 약 120 nm 내지 약 200 nm의 단파장 UV 광 및 약 200 nm 내지 약 700 nm의 장파장 UV 광을 포함한다. 또한, 원격 플라즈마는 환원 가스 종들의 중성 분자들을 포함하고/하거나 재결합된 분자들을 생성할 수 있다.
단계 (615b) 에서, 기판의 금속 시드 층은 원격 플라즈마에 노출되고, 원격 플라즈마로의 노출은 금속 시드 층 내에서 금속 산화물을 환원시키고 금속을 리플로우시킨다. 몇몇 구현예들에서, 금속 리플로우 및 금속 산화물 환원은 동시에 발생할 수 있다. 몇몇 구현예들에서, 원격 플라즈마는 환원 가스 종들로부터의 라디칼들, 이온들 및 자외 방사선 및 이들의 몇몇 조합들을 포함할 수 있다. 원격 플라즈마 소스와 프로세싱 챔버 간의 샤워헤드는 라디칼, 이온 및 UV 방사선이 샤워헤드를 통해서 기판으로 흐르거나 이와 달리 이동하도록 구성된 두께, 홀들의 개수 및 홀들의 평균 직경을 갖는다. 라디칼, 이온 및 UV 방사선은 프로세싱 챔버 내로 들어가서 금속 시드 층 내의 금속 산화물을 환원시킨다. 고 에너지 이온은 기판의 표면으부터 더 멀리 침투하여서 보다 많은 금속 시드 층에 걸쳐서 환원 화학 성분 (reducing chemistry) 을 제공한다. UV 방사선은 금속 산화물 표면을 활성화시켜서 환원 공정의 열역학적 상태 (thermodynamics) 를 개선시키거나 금속 산화물 자체를 직접 환원시킬 수 있다. UV 방사선은 또한 환원 가스 종들에 의해서 흡수되어서 금속 산화물을 환원시키는 라디칼을 생성한다. 또한, 환원 가스 종들의 중성 분자들도 또한 금속 시드 층 내의 금속 산화물과 반응하여서 이를 환원시킨다.
몇몇 구현예들에서, 금속 시드 층 내의 금속은 상기 노출 시에 여기되고 이동성을 갖게 된다. 금속이 금속 시드 층 내에서 리플로우하여서 시드 층 내의 갭 및 보이드를 줄이며, 이는 금속 시드 층의 표면 조도를 저감시킨다. 얼마나 많은 금속이 리플로우될지는 예를 들어서 기판의 온도, 챔버 압력, 환원 가스 종들, UV 방사선 강도 등에 의존할 수 있다. 금속이 그 아래 층 상에서 리플로우 및 재분포되면, 보다 균일하고 연속적인 금속 시드 층이 형성될 수 있다.
도 8a는 전기 도금 장치의 개략 평면도의 실례를 나타내고 있다. 이 전기 도금 장치 (800) 는 3 개의 개별 전기 도금 모듈들 (802,804,806) 을 포함할 수 있다. 이 전기 도금 장치 (800) 는 다양한 프로세스 동작을 위해서 구성된 3 개의 개별 모듈들 (812,814,816) 을 포함할 수 있다. 예를 들어서, 몇몇 실시예들에서, 모듈들 (812, 816) 은 스핀 린스 건조 (SRD) 모듈들이며 모듈 (814) 은 어닐링 스테이션일 수 있다. 그러나, 원격 플라즈마 처리에서 환원 가스 종들로의 노출 후에는 SRD 모듈들은 불필요할 수 있다. 몇몇 실시예들에서, 모듈들 (812,814,816) 중 적어도 하나는 PEM (post-electrofill module) 들일 수 있으며, 각 PEM은 전기 도금 모듈들 (802,804,806) 중 하나에 의해서 기판들이 처리된 후에 기판들에 대하여에지 베벨 제거 (edge bevel removal), 후방측 에칭 및 산성 세정과 같은 기능을 수행하도록 구성된다.
전기 도금 장치 (800) 는 중앙 전기 도금 챔버 (824) 를 포함한다. 중앙 전기 도금 챔버 (824) 는 전기 도금 모듈들 (802,804,806) 내의 전기 도금 용액으로서 사용되는 화학용액을 유지하는 챔버이다. 전기 도금 장치 (800) 는 전기 도금 용액을 위한 첨가제를 저장 및 전달할 수 있는 도징 시스템 (dosing system) (826) 을 포함한다. 화학 희석 (dilution) 모듈 (822) 은 에천트로서 사용될 수 있는 화학제들을 저장 및 혼합할 수 있다. 필터링 및 펌핑 유닛 (822) 은 중앙 전기 도금 챔버 (824) 용의 전기 도금 용액을 필터링하여서 이를 전기 도금 모듈들 (802,804,806) 로 펌핑한다.
몇몇 실시예들에서, 어닐링 스테이션 (832) 이 사전 처리로서 기판을 어닐링하는데 사용될 수 있다. 어닐링 스테이션 (832) 은 예를 들어서 5 개의 적층된 어닐링 장치들과 같은 복수의 적층형 어닐링 장치를 포함할 수 있다. 어닐링 장치들은 어닐링 스테이션 (832) 에서 위로 계속 적층되는 방식으로, 개별 스택으로서 또는 다른 다수의 디바이스 구성으로 해서 배열될 수 있다.
시스템 제어기 (830) 는 전기 도금 장치 (800) 를 동작시키는 전자적 제어 및 인터페이스 제어를 제공한다. 이 시스템 제어기 (830) (하나 이상의 물리적 또는 논리적 제어기를 포함할 수 있음) 는 전기 도금 장치 (800) 의 특성 몇몇 또는 모두를 제어한다. 시스템 제어기 (830) 는 통상적으로 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함한다. 이 프로세서는 CPU, 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 및 다른 유사한 구성 요소들을 포함한다. 본 명세서에서 개시된 바와 같은 적합한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행될 수 있다. 이러한 인스트럭션들은 시스템 제어기 (830) 와 연관된 메모리 장치 상에 저장되거나 네트워크 상에서 제공될 수 있다. 소정의 실시예들에서, 시스템 제어기 (830) 는 시스템 제어 소프트웨어를 실행한다.
전기 도금 장치 (800) 내의 시스템 제어 소프트웨어는 전기 도금 장치 (800) 에 의해서 수행되는 타이밍, 전해질 성분 믹스처 (mixture), 유입구 압력, 도금 셀 압력, 도금 셀 온도, 기판 온도, 기판 및 임의의 다른 전극에 인가된 전류 및 전위, 기판 위치, 기판 회전 속도 또는 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수 있다. 시스템 제어 로직은 임의의 적합한 방식으로 구성될 수 있다. 예를 들어서, 다양한 프로세스 툴에 의한 공정을 수행하는데 필요한 프로세스 툴 구성 요소들의 동작을 제어하도록 다양한 프로세스 툴 구성 요소 서브루틴 또는 제어 객체가 기록될 수 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수 있다.
몇몇 실시예들에서, 시스템 제어 소프트웨어는 상술한 바와 같은 다양한 파라미터를 제어하기 위한 인스트럭션들을 시퀀싱하는 IOC (input/output control) 를 포함한다. 예를 들어서, 전기 증착 프로세스의 각 페이즈 (phase) 는 시스템 제어기 (830) 에 의해서 실행되는 하나 이상의 인스트럭션들을 포함할 수 있으며, 사전 처리 또는 환원 프로세스의 각 페이즈는 시스템 제어기 (830) 에 의해서 실행되는 하나 이상의 인스트럭션들을 포함할 수 있다. 전기 도금 시에, 담금 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 담금 레시피 페이즈에 포함될 수 있다. 사전 처리 또는 환원 시에, 기판을 원격 플라즈마에 노출시키기 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 환원 레시피 페이즈에 포함될 수 있다. 몇몇 실시예들에서, 전기 도금 프로세스 및 환원 프로세스의 페이즈들은 순차적으로 배열되고, 이로써 일 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행될 수 있다.
다른 컴퓨터 소프트웨어 및/또는 프로그램들이 몇몇 실시예들에서 사용될 수 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 실례는 기판 포지션닝 프로그램, 전해질 성분 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 전위/전류 전원 제어 프로그램을 포함한다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 다른 실례는 타이밍 제어 프로그램, 이동 가능한 부재 포지셔닝 프로그램, 기판 지지부 포지셔닝 프로그램, 원격 플라즈마 장치 제어 프로그램, 압력 제어 프로램, 기판 지지부 온도 제어 프로그램, 샤워헤드 온도 제어 프로그램, 냉각 제어 프로그램 및 가스 분위기 제어 프로그램을 포함한다.
몇몇 실시예들에서, 시스템 제어기 (830) 와 연계된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이, 포인팅 장치, 키보드, 터치 스크린, 마이크로폰 등과 같은 사용자 입력 장치를 포함할 수 있다.
이 프로세스를 모니터링하기 위한 신호가 다양한 프로세스 툴 센서로부터 시스템 제어기 (830) 의 아날로그 및/또는 디지털 입력 접속부들에 의해서 제공될 수 있다. 프로세스를 제어하기 위한 신호는 프로세스 툴의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수 있다. 이러한 프로세스 툴 센서들의 비한정적 실례들은 대량 플로우 제어기, (마노미터와 같은) 압력 센서, 써모커플, 광학적 위치 센서, 금속 증착물 검출기 (예를 들어서, 광학적 반사 강도 검출기, 와전류 센서 또는 롤링 저항 검출기) 등을 포함할 수 있다. 적절하게 프로그램된 피드백 알고리즘 및 제어 알고리즘이 이러한 센서들로부터의 데이터와 함께 사용되어서 기판 온도와 같은 프로세스 조건들을 유지 관리할 수 있다.
핸드-오프 툴 (840) 카세트 (842) 또는 카세트 (844) 와 같은 기판 카세트로부터 기판을 선택한다. 카세트 (842) 또는 카세트 (844) 는 FOUP (front opening unified pod) 일 수 있다. FOUP는 제어 환경에서 기판을 안전하면서 안정되게 유지하고 적합한 로딩 포트 및 로봇 핸드링 시스템이 구비된 툴들에 의해서 프로세싱 또는 측정되기 위해서 기판이 분리 이동되게 하도록 설계된 인클로저 (enclosure) 이다. 핸드 오프 툴 (840) 은 진공 흡착 또는 몇몇 다른 흡착 메카니즘을 사용하여서 기판을 유지할 수 있다.
핸드 오프 툴 (840) 은 어닐링 스테이션 (832), 카세트 (842 또는 844), 트랜스퍼 스테이션 (850) 또는 정렬기 (848) 와 인터페이싱할 수 있다. 트랜스퍼 스테이션 (850) 으로부터, 핸드 오프 툴 (846) 은 기판에 대한 액세스를 얻을 수 있다. 트랜스퍼 스테이션 (850) 은 핸드 오프 툴 (840, 846) 이 정렬기 (848) 를 통과하지 않고 기판을 그로 전달하거나 그로부터 전달받는 위치 또는 슬롯일 수 있다. 그러나, 몇몇 실시예들에서, 전기 도금 모듈로의 정확한 전달을 위해서 기판이 핸드 오프 툴 (846) 상에서 적절하게 정렬되도록 하기 위해서, 핸드 오프 툴 (846) 은 기판을 정렬기 (848) 에 정렬시킬 수 있다. 핸드 오프 툴 (846) 은 또한 기판을 전기 도금 모듈들 (802,804,806) 중 하나 또는 다양한 프로세스 동작들을 위해서 구성된 3 개의 별도의 모듈들 (812,814,816) 중 하나로 전달할 수 있다.
몇몇 실시예들에서, 원격 플라즈마 장치는 전기 도금 장치 (800) 의 일부이거나 이에 통합될 수 있다. 도 8b는 전기 도금 장치와 함께 원격 플라즈마 장치의 확대된 개략적 평면도의 실례를 나타내고 있다. 그러나, 원격 플라즈마 장치는 이와 달리 전기 도금 장치 또는 다른 금속 증착 장치에 부착될 수 있음을 본 기술 분야의 당업자에게는 이해될 것이다. 도 8c는 전기 도금 장치에 부착된 원격 플라즈마 장치의 3차원 사시도의 실례를 나나타내고 있다. 원격 플라즈마 장치 (860) 는 전기 도금 장치 (800) 의 측면에 부착될 수 있다. 원격 플라즈마 장치 (860) 는 원격 플라즈마 장치 (860) 및 전기 도금 장치 (800) 로 및 로부터의 기판의 효율적인 전달을 실현하도록 전기 도금 장치 (800) 에 연결될 수 있다. 핸드-오프 툴 (840) 은 카세트 (842 또는 844) 로부터 기판으로의 액세스를 얻을 수 있다. 핸드-오프 툴 (840) 은 기판을 원격 플라즈마 처리 및 냉각 동작에 노출시키기 위하여서 기판을 원격 플라즈마 장치 (860) 에 전달할 수 있다. 핸드-오프 툴 (840) 은 기판을 원격 플라즈마 장치 (860) 로부터 트랜스퍼 스테이션 (850) 로 전달할 수 있다. 몇몇 실시예들에서, 정렬기 (848) 는 전기 도금 모듈들 (802,804,806) 중 하나 또는 3 개의 별도의 모듈들 (812,814,816) 중 하나로 전달하기 이전에 기판을 정렬시킬 수 있다.
전기 도금 장치 (800) 에서 수행되는 동작들은 프론트 엔드 배출구 (862) 또느 백 엔드 배출구 (864) 를 통해서 흐를 수 있는 배기 가스 (exhaust) 를 도입할 수 있다. 전기 도금 장치 (800) 는 또한 중앙 전기 도금 스테이션 (824) 을 위한 조 필터 어셈블리 (866) 및 전기 도금 모듈들 (802,804,806) 을 위한 조 및 셀 펌핑 유닛 (868) 을 포함할 수 있다.
몇몇 실시예들에서, 시스템 제어기 (830) 는 원격 플라즈마 장치 (860) 내의 프로세스 조건들을 위한 파라미터들을 제어할 수 있다. 이러한 파라미터의 비한정적 실례들은 기판 지지부 온도, 샤워헤드 온도, 기판 지지부 위치, 이동 가능한 부재 위치, 냉각 가스 플로우, 냉각 가스 온도, 프로세스 가스 플로우, 프로세스 가스 압력, 벤팅 가스 플로우 (venting gas flow), 벤팅 가스, 환원 가스, 플라즈마 전력, 노출 시간, 전달 시간 등을 포함한다. 이러한 파라미터들은 본 명세서에서 조기에 기술된 사용자 인터페이스를 사용하여서 입력될 수 있는 레시피의 형태로 제공될 수 있다.
전기 도금 장치 (800) 의 일부인 원격 플라즈마 장치 (860) 에서의 동작들은 컴퓨터 시스템에 의해서 제어될 수 있다. 몇몇 실시예들에서, 컴퓨터 시스템은 도 8a에서 예시된 시스템 제어기 (830) 의 일부이다. 몇몇 실시예들에서, 컴퓨터 시스템은 프로그램 인스트럭션들을 포함하는 별도의 시스템 제어기 (미도시) 를 포함할 수 있다. 프로그램 인스트럭션들은 금속 시드 층 내에서 금속 산화물을 금속으로 환원시키는데 필요한 모든 동작들을 수행하기 위한 인스트럭션들을 포함할 수 있다. 또한, 프로그램 인스트럭션들은 기판을 냉각, 기판을 포지셔닝 및/또는 기판을 로딩/언로딩하는데 필요한 모든 동작들을 수행하기 인스트럭션들을 포함할 수 있다.
몇몇 실시예들에서, 시스템 제어기는 도 5에서 도시된 방식으로 원격 플라즈마 장치 (860) 에 연결될 수 있다. 일 실시예에서, 시스템 제어기는 프로세싱 챔버 내에 기판을 제공하고 프로세싱 챔버 내에서 기판을 기판 지지부를 향해서 이동시키고 원격 플라즈마 소스 내에서 환원 가스 종들의 원격 플라즈마━상기 원격 플라즈마는 환원 가스 종들의 라디칼을 포함함━를 형성하며 기판의 금속 시드 층을 환원 가스 종들의 라디칼에 노출시키며 기판을 냉각 가스에 노출시키기 위한 인스트럭션들을 포함한다. 원격 플라즈마는 환원 가스 종들로부터의 라디칼들, 이온들, 중성 분자들 및 UV 방사선 중 적어도 하나를 포함하며, 이로써 금속 시드 층이 환원 가스 종들로부터의 라디칼들, 이온들, 중성 분자들 및 UV 방사선 중 적어도 하나에 노출될 수 있다. 시스템 제어기는 도 5, 도 6a, 도 6b, 및 도 7a 내지 도 7d를 참조하여서 본 명세서에서 조기에 기술된 바와 같은 동작들을 수행하기 위한 인스트럭션들을 포함할 수 있다.
본 명세서에서 상술한 장치 및/또는 프로세스는 예를 들어서 반도체 장치, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수 있다. 통상적으로, 이러한 툴 또는 프로세스는 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서 기판과 같은 작업 대상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 지원 에칭 툴을 사용함으로써 레지스터 패턴을 그 아래의 막 또는 작업 대상으로 전사하는 동작 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 동작을 포함할 수 있다.
본 명세서에서 기술된 구성 및/또는 방식들은 그 성질상 예시적이며 이러한 특정 실시예들 또는 실례들은 한정적으로 해석되어서는 안되는데, 그 이유는 다수의 변형들이 가능하기 때문이다. 본 명세서에서 기술된 특정 루틴 또는 방법들은 임의의 개수의 프로세싱 전략들 중 하나 이상을 나타낼 수 있다. 따라서, 예시된 다양한 동작들은 예시된 순서 또는 다른 순서 또는 병렬로 또는 몇몇 경우에는 생략되게 수행될 수 있다. 마찬가지로, 상술한 프로세스들의 순서도 변경될 수 있다.
실례들
도 9는 원격 플라즈마 노출 효과 및 구리의 전기 전도도 이득을 나타내는 그래프이다. 구리 시드 층을 갖는 기판을 원격 플라즈마로 사전 처리하지 않으면, 구리의 표면에서의 전기 전도도 변화는 거의 무시할 정도이다. 그러나, 75 ℃로 가열된 기판을 원격 플라즈마로 처리하면 구리 시드 층의 표면에서의 전기 전도도가 크게 증가한다. 원격 플라즈마 처리가 30 초로부터, 60초로부터 및 120초로부터 발생했는지와 상관없이 그 효과는 대체로 동일하게 유지된다. 따라서, 원격 플라즈마로 사전 처리하면 구리 산화물이 순수 구리로 효과적으로 환원되어서 전기 전도도가 증가한다.
도 10은 원격 플라즈마를 사용하여서 처리될 경우 및 원격 플라즈마를 사용하여서 처리되지 않는 경우에서의 시드 트렌치 쿠폰들 (seed trench coupons) 의 SEM (scanning electron microscopy) 이미지들을 나타내고 있다. 구리 산화물을 환원시켜서 보이드 형성을 억제할 시에 원격 플라즈마의 유효성을 판단하기 위해서 구리 시드된 (seeded) 트렌치 쿠폰들의 샘플들이 원격 플라즈마에 노출되었다. 구리 시드된 트렌치 쿠폰들의 샘플들 각각은 약 48 nm의 폭을 갖는 트렌치를 가졌다. 시드 상태가 얇은 시드 커버리지를 제공하는, 가장자리 부분의 (marginal) 구리 시드된 트렌치 쿠폰들이 사용되었다. 이 가장자리 부분의 구리 시드된 트렌치 쿠폰들은 전반적으로 매우 큰 바닥 보이드들을 보였다. 이 가장자리 부분의 구리 시드된 트렌치 쿠폰들은 생산된 웨이퍼들에서는 통상적으로 찾을 수 없지만 구리 산화물을 환원하여서 보이드 형성을 억제할 시에 환원제 처리 성능을 보다 효과적으로 나타낼 수 있는 극단의 상태의 샘플을 나타낸 것이다.
도 10에서, 가장자리 부분의 구리 시드된 트렌치 쿠폰들은 원격 플라즈마에 노출되지 않고서 구리가 도금되었다. 이 트렌치 쿠폰들은 충진 결과가 불량하며 실질적으로 큰 크기의 바닥 보이드들이 생성되었다. 그러나, 구리로 전기 도금하기 이전에 60초 동안에 75 ℃에서 원격 플라즈마에 노출됨으로써 사전 처리된 트렌치 쿠폰들은 우수한 충진 결과 및 보다 작은 바닥 공극들이 있었다. 따라서, 트렌치 쿠폰의 SEM 이미지들은 원격 플라즈마를 사용하여서 사전 처리한 후에 전기 도금하면 그 충진 결과가 개선됨을 나타내고 있다.
도 11은 환원 처리 후에 대기 조건에 노출된 금속 시드 층 상의 금속 산화물 성장을 나타내는 그래프이다. 금속 시드 층이 원격 플라즈마로 사전 처리된 후에, 대기 조건에 노출되면 금속 산화물이 재성장하게 된다. 도 11의 그래프는 금속 산화물 재성장이 시간의 함수로서 급하게 발생함을 보이고 있다. 처음의 4 시간 동안에, 금속 시드 층의 표면은 실질적으로 재산화된다. 따라서, 대기 조건에 노출되는 기간을 줄임으로써 금속 산화물의 재산화를 실질적으로 제한할 수 있다.
도 12는 환원 처리 후 및 환원 처리하지 않은 후의 상이한 기간들 동안에 대기 조건에 노출된 시드 트렌치 쿠폰들의 SEM 이미지들을 나타내고 있다. 처음의 제어 조건은 임의의 사전 처리 없이 구리를 도금한 것이다. 두번째 내지 마지막 조건은 원격 플라즈마로 사전 처리된 트레친 쿠폰에 구리 도금을 한 것이며, 각각의 조건은 상이한 기간 동안에 대기 조건에 노출된 것이다. 두번째의 조건 하에서 생성된 트렌치 쿠폰들이 최상의 충진 상태 및 가장 작은 바닥 보이드들을 보였다. 두번째 조건은 트렌치 쿠폰들을 원격 플라즈마로 처리한 후에 가장 짧은 시간 동안에 대기 조건에 노출시킨 것이다. 따라서, SEM 이미지는 원격 플라즈마 처리 이후에 전달 기간을 줄이면 전기 도금의 충진 결과를 실질적으로 개선할 수 있음을 나타내고 있다.
도 13은 프로세싱 챔버 내의 상이한 조건들 하에서 시간에 따른 온도 냉각 프로파일들을 나타내는 그래프이다. 냉각 프로파일 각각은 다양한 냉각 가스 플로우 레이트, 다양한 기판과 샤워헤드 간의 거리, 다양한 샤워헤드와 페디스탈 간의 거리 하에서 약 85℃로부터 기판을 냉각시킴으로써 얻어졌다. 급속 냉각 레이트는 전술한 파라미터들을 조절함으로써 달성될 수 있다. 예를 들어서, 기판은 헬륨을 3 slm으로 전달하고 기판을 샤워헤드로부터 1/8 인치 떨어지게 위치하고 페디스탈을 샤워헤드로부터 3 인치 떨어지게 위치함으로써 약 1 분에 걸쳐서 약 85℃에서 약 상온으로 급냉될 수 있다.
도 14는 원격 플라즈마 처리 후의 금속 시드 층의 온도 및 표면 조도 (roughness) 의 효과들을 나타내는 그래프이다. 기판이 온도가 약 65℃에서 약 100℃로 증가하면, 50 Å 두께의 금속 시드 층의 평균 표면 조도가 0.66 nm RMS (root mean square) 에서 약 0.58 nm RMS로 감소하였다. 따라서, 도 14의 그래프는 온도가 증가하면 금속 시드 층이 더 평탄해짐을 나타내고 있다. 이는 온도 증가로부터 발생하는 금속 시드 리플로우가 보다 균일하면서 연속적인 시드 층을 낳았음을 나타내고 있다.
도 15는 금속 시드 층 내의 온도 및 보이드 저감의 효과들을 나타내는 그래프이다. 구리 시드 층과 같은 몇몇 시드 층의 경우에, 기판 온도가 낮을수록 기판 상의 보이드들의 퍼센티지가 저감될 수 있다.
다른 실시예들
전술한 바들이 명료성 및 이해를 위해서 어느 정도 세부적으로 기술되었지만, 소정의 변경 및 수정들이 첨부된 청구 범위 내에서 가능하다. 개시된 프로세스, 장치 및 방법을 구현할 수 있는 다수의 다른 방식들이 존재한다. 따라서, 기술된 실시예들은 예시적이면서 비한정적으로 해석되어야 한다.

Claims (25)

  1. 금속 시드 층을 갖는 기판을 준비하는 방법으로서,
    상기 기판의 도금 표면 상에 상기 금속 시드 층을 갖는 상기 기판을 프로세싱 챔버 내에 제공하는 단계로서, 상기 금속 시드 층의 일부가 상기 금속의 산화물로 변화된, 상기 기판을 제공하는 단계;
    원격 플라즈마 소스에서 환원 가스 종들의 원격 플라즈마를 형성하는 단계로서, 상기 원격 플라즈마는 상기 환원 가스 종들로부터의 라디칼들, 이온들 및 자외 (UV) 방사선 중 하나 이상을 포함하는, 상기 원격 플라즈마를 형성하는 단계; 및
    상기 기판의 상기 금속 시드 층을 상기 원격 플라즈마에 노출시키는 단계로서, 상기 원격 플라즈마로의 노출은 상기 금속 시드 층과 일체화된 (integrated) 막의 형태로 상기 금속의 산화물을 상기 금속으로 환원시키는, 상기 원격 플라즈마에 노출시키는 단계를 포함하는,
    기판 준비 방법.
  2. 제 1 항에 있어서,
    상기 금속 시드 층은 구리, 코발트, 루테늄, 팔라듐, 이리듐, 로듐, 오스뮴, 니켈, 금, 은, 알루미늄 및 텅스텐 중 적어도 하나를 포함하는,
    기판 준비 방법.
  3. 제 1 항에 있어서,
    상기 기판은 약 150 ℃ 보다 낮은 온도에서 유지되는,
    기판 준비 방법.
  4. 제 1 항에 있어서,
    상기 기판은 상기 원격 플라즈마로의 노출 동안에 상기 금속 시드 층의 응집 (agglomeration) 을 일으키는 온도보다 낮은 온도에서 유지되는,
    기판 준비 방법.
  5. 제 1 항에 있어서,
    상기 금속 시드 층의 두께는 약 100 Å 보다 작은,
    기판 준비 방법.
  6. 제 1 항에 있어서,
    상기 기판은 약 5:1 보다 큰 높이 대 폭의 종횡비를 갖는 비아들을 포함하는,
    기판 준비 방법.
  7. 제 1 항에 있어서,
    상기 환원 가스 종들은 수소, 암모니아, 일산화탄소, 디보란, 설파이트 화합물, 탄소 및/또는 하이드로카본, 아인산염 (phosphites) 및/또는 하이드라진 중 적어도 하나를 포함하는,
    기판 준비 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 기판을 도금 조 (bath) 로 전달하기 전에 상기 기판을 냉각 가스에 노출시키는 단계를 더 포함하는,
    기판 준비 방법.
  9. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 기판의 상기 금속 시드 층을 상기 원격 플라즈마에 노출시키는 단계는 상기 금속 시드 층 내의 상기 금속을 리플로우 (reflow) 시키는 단계를 포함하는,
    기판 준비 방법.
  10. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 환원 가스 종들의 라디칼이 형성되도록 상기 환원 가스 종들을 UV 소스로부터의 UV 방사선에 노출시키는 단계를 더 포함하는,
    기판 준비 방법.
  11. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 기판을 도금 용액을 포함하는 도금 조로 전달하는 단계; 및
    상기 도금 용액을 사용하여서 금속을 상기 금속 시드 층 상에 도금하는 단계를 더 포함하는,
    기판 준비 방법.
  12. 금속 시드 층을 갖는 기판을 준비하는 장치로서,
    프로세싱 챔버;
    상기 프로세싱 챔버 위의 원격 플라즈마 소스; 및
    제어기를 포함하며,
    상기 제어기는,
    (a) 상기 기판의 도금 표면 상에 상기 금속 시드 층을 갖는 상기 기판을 상기 프로세싱 챔버 내에 제공하는 단계로서, 상기 금속 시드 층의 일부가 상기 금속의 산화물로 변화된, 상기 기판을 제공하는 동작;
    (b) 원격 플라즈마 소스에서 환원 가스 종들의 원격 플라즈마를 형성하는 단계로서, 상기 원격 플라즈마는 상기 환원 가스 종들로부터의 라디칼들, 이온들 및 자외 방사선 중 하나 이상을 포함하는, 상기 원격 플라즈마를 형성하는 동작; 및
    (c) 상기 기판의 상기 금속 시드 층을 상기 원격 플라즈마에 노출시키는 동작으로서, 상기 원격 플라즈마로의 노출은 상기 금속 시드 층과 일체화된 막의 형태로 상기 금속의 산화물을 상기 금속으로 환원시키는, 상기 원격 플라즈마에 노출시키는 동작을
    수행하기 위한 인스트럭션들을 구비한,
    기판 준비 장치.
  13. 제 12 항에 있어서,
    상기 금속 시드 층은 구리, 코발트, 루테늄, 팔라듐, 이리듐, 로듐, 오스뮴, 니켈, 금, 은, 알루미늄 및 텅스텐 중 적어도 하나를 포함하는,
    기판 준비 장치.
  14. 제 12 항에 있어서,
    상기 제어기는 상기 원격 플라즈마로의 노출 동안에 상기 금속 시드 층의 응집을 일으키는 온도보다 낮은 온도에서 상기 기판을 유지시키기 위한 인스트럭션을 더 포함하는,
    기판 준비 장치.
  15. 제 12 항에 있어서,
    상기 환원 가스 종들은 수소, 암모니아, 일산화탄소, 디보란, 설파이트 화합물, 탄소 및/또는 하이드로카본, 아인산염 (phosphites) 및/또는 하이드라진 중 적어도 하나를 포함하는,
    기판 준비 장치.
  16. 제 12 항에 있어서,
    상기 제어기는 상기 기판의 상기 금속 시드 층을 상기 원격 플라즈마에 노출시킨 후에 상기 기판을 냉각 가스에 노출시키기 위한 인스트럭션을 더 포함하는,
    기판 준비 장치.
  17. 제 12 항에 있어서,
    UV 소스를 더 포함하며,
    상기 제어기는 상기 환원 가스 종들의 라디칼이 형성되도록 상기 환원 가스 종들을 상기 UV 소스로부터의 UV 방사선에 노출시키기 위한 인스트럭션을 더 포함하는,
    기판 준비 장치.
  18. 제 12 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 제어기는,
    상기 기판을 도금 용액을 포함하는 도금 조로 전달하는 동작을 수행하기 위한 인스트럭션; 및
    상기 도금 용액을 사용하여서 금속을 상기 금속 시드 층 상에 도금하는 동작을 수행하기 위한 인스트럭션을 더 포함하는,
    기판 준비 장치.
  19. 제 12 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 프로세싱 챔버 내에서 상기 기판을 홀딩하기 위한 기판 지지부; 및
    상기 원격 플라즈마 소스와 상기 기판 지지부 간의 샤워헤드를 더 포함하는,
    기판 준비 장치.
  20. 제 19 항에 있어서,
    상기 제어기는 상기 동작 (a), 동작 (b) 및 동작 (c) 동안에 상기 기판 지지부를 처리 온도로 가열하기 위한 인스트럭션을 더 포함하며,
    상기 처리 온도는 약 0 ℃ 내지 약 400 ℃ 간에 있는,
    기판 준비 장치.
  21. 제 19 항에 있어서,
    상기 제어기는 상기 샤워헤드의 온도를 약 30 ℃ 보다 낮은 온도로 유지하기 위한 인스트럭션을 더 포함하는,
    기판 준비 장치.
  22. 제 19 항에 있어서,
    상기 샤워헤드는 복수의 홀들을 포함하며,
    상기 복수의 홀들의 개수는 약 100 개 내지 약 900 개인,
    기판 준비 장치.
  23. 제 19 항에 있어서,
    상기 샤워헤드는 복수의 홀들을 포함하며,
    상기 복수의 홀들의 평균 직경은 약 0.05 인치 내지 약 0.5 인치인,
    기판 준비 장치.
  24. 제 19 항에 있어서,
    상기 프로세싱 챔버 내에 있으면서 상기 기판을 상기 샤워헤드와 상기 기판 지지부 간의 위치들로 이동시키도록 구성된 하나 이상의 이동 가능한 부재를 더 포함하며,
    상기 제어기는 상기 기판의 금속 시드 층을 상기 원격 플라즈마로 노출시키기 이전에 상기 하나 이상의 이동 가능한 부재를 통해서 상기 기판을 상기 기판 지지부를 향해서 이동시키기 위한 인스트럭션을 더 포함하는,
    기판 준비 장치.
  25. 제 12 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 장치는 전기 도금 시스템 또는 무전해 도금 시스템의 부분인,
    기판 준비 장치.
KR1020140026592A 2013-03-06 2014-03-06 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치 KR102249529B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210056899A KR102379901B1 (ko) 2013-03-06 2021-04-30 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US13/787,499 US9070750B2 (en) 2013-03-06 2013-03-06 Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US13/787,499 2013-03-06
US14/020,339 US20150072538A1 (en) 2013-09-06 2013-09-06 Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US14/020,339 2013-09-06
US14/086,770 US9865501B2 (en) 2013-03-06 2013-11-21 Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US14/086,770 2013-11-21

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210056899A Division KR102379901B1 (ko) 2013-03-06 2021-04-30 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20140109834A true KR20140109834A (ko) 2014-09-16
KR102249529B1 KR102249529B1 (ko) 2021-05-07

Family

ID=51467806

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140026592A KR102249529B1 (ko) 2013-03-06 2014-03-06 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
KR1020210056899A KR102379901B1 (ko) 2013-03-06 2021-04-30 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
KR1020220036421A KR102514192B1 (ko) 2013-03-06 2022-03-24 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020210056899A KR102379901B1 (ko) 2013-03-06 2021-04-30 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
KR1020220036421A KR102514192B1 (ko) 2013-03-06 2022-03-24 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치

Country Status (5)

Country Link
US (2) US9865501B2 (ko)
JP (2) JP6388773B2 (ko)
KR (3) KR102249529B1 (ko)
CN (2) CN110085501B (ko)
TW (1) TWI612170B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160112980A (ko) * 2015-03-19 2016-09-28 램 리써치 코포레이션 코발트 막 전착을 위한 화학 첨가제들 및 프로세스
KR20190139322A (ko) * 2017-06-09 2019-12-17 맷슨 테크놀로지, 인크. 플라즈마 후 가스 주입을 사용하는 플라즈마 처리 장치
US20200392622A1 (en) * 2019-06-11 2020-12-17 Tokyo Electron Limited Substrate processing method and substrate processing apparatus

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9487864B2 (en) 2014-01-15 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping process and processing platform thereof
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9385309B2 (en) * 2014-04-28 2016-07-05 Qualcomm Incorporated Smooth seed layers with uniform crystalline texture for high perpendicular magnetic anisotropy materials
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9768060B2 (en) * 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
TWI593548B (zh) * 2015-01-09 2017-08-01 Jx Nippon Mining & Metals Corp Attached to the metal substrate
US10276397B2 (en) 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. CVD metal seed layer
US9735035B1 (en) * 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
CN106531666A (zh) * 2016-11-22 2017-03-22 上海华力微电子有限公司 工艺腔室及半导体工艺设备
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
CN107201509A (zh) * 2017-05-17 2017-09-26 李哲峰 一种具有同一等离子体源的原子层沉积装置及方法
JP6814116B2 (ja) * 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
TWI676710B (zh) * 2017-09-28 2019-11-11 日商國際電氣股份有限公司 半導體裝置的製造方法、基板處理裝置及記錄媒體
US10553673B2 (en) * 2017-12-27 2020-02-04 Micron Technology, Inc. Methods used in forming at least a portion of at least one conductive capacitor electrode of a capacitor that comprises a pair of conductive capacitor electrodes having a capacitor insulator there-between and methods of forming a capacitor
CN108862299B (zh) * 2018-08-08 2021-07-13 成都理工大学 一种非晶态EuB6纳米材料的制备方法
KR102262113B1 (ko) * 2018-12-18 2021-06-11 세메스 주식회사 기판 처리 장치 및 방법
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
US20210066064A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Methods and apparatus for cleaning metal contacts
CN112786420B (zh) * 2019-11-07 2023-03-07 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其处理基片的方法
JP2021188092A (ja) * 2020-05-29 2021-12-13 ウシオ電機株式会社 還元処理方法
CN114597276B (zh) * 2022-03-08 2023-01-31 晟高发新能源发展(江苏)有限公司 一种晶体硅太阳能电池组件制造加工设备及方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208627A (ja) * 1999-01-19 2000-07-28 Hitachi Ltd 半導体装置の製造方法
JP2001040480A (ja) * 1999-05-21 2001-02-13 Ebara Corp 基板処理装置及び方法
KR20020040445A (ko) * 2000-11-24 2002-05-30 윤종용 플라즈마 전처리모듈을 구비한 장치에서의 반도체소자의제조방법
US20100317178A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60250686A (ja) 1984-05-25 1985-12-11 日本碍子株式会社 セラミツク配線基板の製造方法
JPS61176192A (ja) 1985-01-31 1986-08-07 株式会社日立製作所 銅と樹脂との接着方法
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
JPH0713304B2 (ja) 1987-12-14 1995-02-15 日立化成工業株式会社 銅の表面処理法
JPH069309B2 (ja) 1989-09-22 1994-02-02 株式会社日立製作所 プリント回路板、その製造方法および製造装置
US5252196A (en) 1991-12-05 1993-10-12 Shipley Company Inc. Copper electroplating solutions and processes
US5900186A (en) 1995-12-19 1999-05-04 Morton International, Inc. Composition and method for reducing copper oxide to metallic copper
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6555170B2 (en) 1998-01-30 2003-04-29 Duratech Industries, Inc. Pre-plate treating system
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
JP3137087B2 (ja) 1998-08-31 2001-02-19 日本電気株式会社 半導体装置の製造方法
US6319384B1 (en) 1998-10-14 2001-11-20 Faraday Technology Marketing Group, Llc Pulse reverse electrodeposition for metallization and planarization of semiconductor substrates
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6793796B2 (en) 1998-10-26 2004-09-21 Novellus Systems, Inc. Electroplating process for avoiding defects in metal features of integrated circuit devices
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
JP3217319B2 (ja) * 1998-12-11 2001-10-09 松下電器産業株式会社 半導体装置の製造方法
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6232230B1 (en) 1999-01-05 2001-05-15 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high temperature deposition
KR100665745B1 (ko) 1999-01-26 2007-01-09 가부시키가이샤 에바라 세이사꾸쇼 구리도금방법 및 그 장치
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6222166B1 (en) 1999-08-09 2001-04-24 Watlow Electric Manufacturing Co. Aluminum substrate thick film heater
KR100728244B1 (ko) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 실리레이션처리장치 및 방법
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
JP3907151B2 (ja) 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
JP2002004048A (ja) * 2000-06-20 2002-01-09 Ebara Corp 成膜方法及び装置
US6602653B1 (en) 2000-08-25 2003-08-05 Micron Technology, Inc. Conductive material patterning methods
US6610192B1 (en) 2000-11-02 2003-08-26 Shipley Company, L.L.C. Copper electroplating
TW531801B (en) 2000-11-14 2003-05-11 Sekisui Chemical Co Ltd Normal plasma processing method and processing device
US6440291B1 (en) 2000-11-30 2002-08-27 Novellus Systems, Inc. Controlled induction by use of power supply trigger in electrochemical processing
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20030213561A1 (en) 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
JP4293752B2 (ja) 2002-02-28 2009-07-08 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6764952B1 (en) 2002-03-13 2004-07-20 Novellus Systems, Inc. Systems and methods to retard copper diffusion and improve film adhesion for a dielectric barrier on copper
KR100465063B1 (ko) 2002-04-01 2005-01-06 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US6720204B2 (en) 2002-04-11 2004-04-13 Chartered Semiconductor Manufacturing Ltd. Method of using hydrogen plasma to pre-clean copper surfaces during Cu/Cu or Cu/metal bonding
US20040000488A1 (en) 2002-06-28 2004-01-01 Applied Materials, Inc. CU ECP planarization by insertion of polymer treatment step between gap fill and bulk fill steps
US7897198B1 (en) 2002-09-03 2011-03-01 Novellus Systems, Inc. Electroless layer plating process and apparatus
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
US6743719B1 (en) 2003-01-22 2004-06-01 Texas Instruments Incorporated Method for forming a conductive copper structure
US8241701B2 (en) 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
WO2004070811A1 (ja) 2003-02-06 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. 半導体製造装置
US6902605B2 (en) 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
KR100498494B1 (ko) * 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP2004342750A (ja) 2003-05-14 2004-12-02 Toshiba Corp 電子デバイスの製造方法
US20060283716A1 (en) 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7405157B1 (en) 2003-11-10 2008-07-29 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7879218B1 (en) 2003-12-18 2011-02-01 Novellus Systems, Inc. Deposit morphology of electroplated copper
JP2005244178A (ja) * 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US7232513B1 (en) 2004-06-29 2007-06-19 Novellus Systems, Inc. Electroplating bath containing wetting agent for defect reduction
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7288479B2 (en) 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
JP2006344762A (ja) 2005-06-09 2006-12-21 Renesas Technology Corp 半導体集積回路装置の製造方法
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
FR2890983B1 (fr) 2005-09-20 2007-12-14 Alchimer Sa Composition d'electrodeposition destinee au revetement d'une surface d'un substrat par un metal.
US7662253B2 (en) 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US7456102B1 (en) 2005-10-11 2008-11-25 Novellus Systems, Inc. Electroless copper fill process
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
JP4913490B2 (ja) 2006-07-18 2012-04-11 株式会社山武 容量式電磁流量計
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
SG10201501328WA (en) * 2006-08-30 2015-04-29 Lam Res Corp Controlled ambient system for interface engineering
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US20080081464A1 (en) 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8205625B2 (en) * 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
US7794530B2 (en) 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys
US7470617B2 (en) 2007-03-01 2008-12-30 Intel Corporation Treating a liner layer to reduce surface oxides
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8372754B2 (en) 2007-04-11 2013-02-12 Micron Technology, Inc. Methods for removing photoresist defects and a method for processing a semiconductor device structure
US7709400B2 (en) 2007-05-08 2010-05-04 Lam Research Corporation Thermal methods for cleaning post-CMP wafers
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8470390B2 (en) 2008-01-11 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7776741B2 (en) 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101087168B1 (ko) 2009-01-02 2011-11-25 서울대학교산학협력단 헤어핀 모양의 rna에 특이적으로 결합하는 양면성 펩타이드의 탐색 방법
TW201044462A (en) * 2009-01-22 2010-12-16 Tokyo Electron Ltd A method for manufacturing semiconductor devices
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8357599B2 (en) * 2011-02-10 2013-01-22 Applied Materials, Inc. Seed layer passivation
JP2012174845A (ja) * 2011-02-21 2012-09-10 Tokyo Electron Ltd 成膜方法及び半導体装置の製造方法
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
WO2014014907A1 (en) 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
FR2995912B1 (fr) 2012-09-24 2014-10-10 Alchimer Electrolyte et procede d'electrodeposition de cuivre sur une couche barriere
US20140199497A1 (en) 2013-01-14 2014-07-17 Tighe A. Spurlin Methods for reducing metal oxide surfaces to modified metal surfaces
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US20150072538A1 (en) 2013-09-06 2015-03-12 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US20150299886A1 (en) 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20150376792A1 (en) 2014-06-30 2015-12-31 Lam Research Corporation Atmospheric plasma apparatus for semiconductor processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US20160111342A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208627A (ja) * 1999-01-19 2000-07-28 Hitachi Ltd 半導体装置の製造方法
JP2001040480A (ja) * 1999-05-21 2001-02-13 Ebara Corp 基板処理装置及び方法
KR20020040445A (ko) * 2000-11-24 2002-05-30 윤종용 플라즈마 전처리모듈을 구비한 장치에서의 반도체소자의제조방법
US20100317178A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160112980A (ko) * 2015-03-19 2016-09-28 램 리써치 코포레이션 코발트 막 전착을 위한 화학 첨가제들 및 프로세스
KR20190139322A (ko) * 2017-06-09 2019-12-17 맷슨 테크놀로지, 인크. 플라즈마 후 가스 주입을 사용하는 플라즈마 처리 장치
US20200392622A1 (en) * 2019-06-11 2020-12-17 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US11732357B2 (en) * 2019-06-11 2023-08-22 Tokyo Electron Limited Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
KR102514192B1 (ko) 2023-03-27
CN110085501A (zh) 2019-08-02
US9865501B2 (en) 2018-01-09
US20140256128A1 (en) 2014-09-11
JP6681953B2 (ja) 2020-04-15
JP6388773B2 (ja) 2018-09-12
CN104037080A (zh) 2014-09-10
KR20210053843A (ko) 2021-05-12
KR102379901B1 (ko) 2022-03-29
JP2018195847A (ja) 2018-12-06
TW201447018A (zh) 2014-12-16
KR102249529B1 (ko) 2021-05-07
CN110085501B (zh) 2021-10-12
JP2014175659A (ja) 2014-09-22
US20180350670A1 (en) 2018-12-06
CN104037080B (zh) 2019-01-29
TWI612170B (zh) 2018-01-21
KR20220042334A (ko) 2022-04-05

Similar Documents

Publication Publication Date Title
KR102514192B1 (ko) 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
KR102513108B1 (ko) 반도체 프로세싱을 위한 대기압 플라즈마 장치
US9607822B2 (en) Pretreatment method for photoresist wafer processing
KR102584552B1 (ko) 금속 산화물 환원을 특징으로 하는 방법 및 장치
US9070750B2 (en) Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US20150299886A1 (en) Method and apparatus for preparing a substrate with a semi-noble metal layer
JP4919871B2 (ja) エッチング方法、半導体装置の製造方法および記憶媒体
US20150072538A1 (en) Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
KR101739613B1 (ko) Cu 배선의 형성 방법
JP6268008B2 (ja) Cu配線の製造方法
KR20170091013A (ko) 컬러 센싱을 통한 웨이퍼 상 옥사이드 층 환원 효과를 추정하기 위한 방법들 및 장치들
TW201820536A (zh) 用於鈷的錳阻障層及黏著層
TWI389255B (zh) 積體電路結構之製備方法
WO2021150280A1 (en) Methods and devices for subtractive self-alignment
KR101179111B1 (ko) 에칭 방법 및 기억 매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant