KR20170091013A - 컬러 센싱을 통한 웨이퍼 상 옥사이드 층 환원 효과를 추정하기 위한 방법들 및 장치들 - Google Patents

컬러 센싱을 통한 웨이퍼 상 옥사이드 층 환원 효과를 추정하기 위한 방법들 및 장치들 Download PDF

Info

Publication number
KR20170091013A
KR20170091013A KR1020170008535A KR20170008535A KR20170091013A KR 20170091013 A KR20170091013 A KR 20170091013A KR 1020170008535 A KR1020170008535 A KR 1020170008535A KR 20170008535 A KR20170008535 A KR 20170008535A KR 20170091013 A KR20170091013 A KR 20170091013A
Authority
KR
South Korea
Prior art keywords
plasma
color
substrate
contact
signal
Prior art date
Application number
KR1020170008535A
Other languages
English (en)
Inventor
마니쉬 란잔
키안 스위니
산티나트 공가디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170091013A publication Critical patent/KR20170091013A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

차후의 전기 도금 동작을 위해 금속 씨드 층을 가진 반도체 기판을 준비하는 방법들이 개시된다. 일부 실시예들에서, 방법들은 반도체 기판의 표면 상의 금속 옥사이드들을 환원시킴으로써 표면을 처리하도록 플라즈마와 반도체 기판의 표면을 콘택트하는 단계 및 그 후에 상기 표면으로부터 플라즈마-콘택트 후 컬러 신호를 측정하는 단계를 포함할 수도 있고, 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는다. 그 다음에, 방법들은 플라즈마-콘택트 후 컬러 신호에 기초한 플라즈마 처리에 기인하여 옥사이드 환원의 정도를 추정하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 플라즈마 처리에 기인하여 옥사이드 환원의 정도를 추정하는 단계는 플라즈마-콘택트 후 컬러 신호의 b* 컴포넌트에 기초하여 행해진다. 또한 전술한 방법들을 구현할 수도 있는 플라즈마 처리 장치들이 개시된다.

Description

컬러 센싱을 통한 웨이퍼 상 옥사이드 층 환원 효과를 추정하기 위한 방법들 및 장치들{METHODS AND APPARATUSES FOR ESTIMATING ON-WAFER OXIDE LAYER REDUCTION EFFECTIVENESS VIA COLOR SENSING}
이 개시는 전자 디바이스들의 제조, 반도체 기판들의 전기 도금, 프로세싱 동안 반도체 웨이퍼들을 검사하기 위한 통합된 그리고/또는 인 시츄 (in situ) 계측 시스템들을 가진 전기 도금 시스템들 및 장치들, 및 프로세싱 동안 반도체 웨이퍼들의 계측 및 검사를 수행하는 방법들에 관한 것이다.
집적 회로들의 제조는 종종 반도체 웨이퍼의 표면 상에 전도성 금속의 층을 전기 도금하는 하나 이상의 단계들을 수반한다. 예를 들어, 일부 IC 제조 절차들에서, 전기 도금 동작은 예를 들어, 다양한 회로 엘리먼트들 (elements) 사이에서 전도성 경로들로서 사용되는 트렌치들 및 비아들과 같은, 반도체 웨이퍼의 표면에 형성된 다양한 피처들을 금속으로 충진하도록 사용될 수도 있다. 전기 도금된 금속은 종종 구리이지만, IC 설계에 따라, 루테늄, 팔라듐, 이리듐, 로듐, 오스뮴, 코발트, 니켈, 금, 은, 및 알루미늄을 포함하는 다른 금속들이 적절하고 그리고/또는 유리할 수도 있다. 일부 실시예들에서, 이들 금속들의 합금들이 적절하고 그리고/또는 유리할 수도 있다.
통상적인 전기 도금 동작에서, 웨이퍼의 표면은 전기 도금될 금속의 용해된 이온들을 함유하는 전기 도금 욕 유체에 노출되고, 그리고 전기 회로는 욕 내의 전극 (애노드로서 기능함) 과 웨이퍼의 표면 (캐소드로서 기능함) 사이에 생성된다. 이 회로를 통한 전류의 플로우는 인가된 전압의 적용시 전자들로 하여금 캐소드 표면으로 흐르게 하고 그리고 캐소드 표면의 근방에서 용해된 금속 이온들을 환원시키고 이에 따라 중성 원소 금속의 용액으로부터 웨이퍼의 표면 상으로 도금을 발생시킨다.
그러나, 완성될 이 회로에 대해 그리고 발생할 용해된 금속 이온들의 전기 화학적 환원에 대해, 웨이퍼의 표면 (회로의 캐소드로서 기능함) 은 적어도 특정한 정도로, 상대적으로 전도성이어야 한다. 따라서, 반도체 웨이퍼의 베어 (bare) 표면이 일반적으로 실질적으로 전도성이 아니기 때문에, 전기 도금 동작에서 실제의 전기 도금 단계에는 종종 필수적인 전도성 표면을 제공하는 전도성 씨드 층의 증착이 선행된다. 씨드 층의 증착은 씨드 재료를 증착하는 모든 적합한 방법에 의해 성취될 수도 있다. 적합한 방법들은 예를 들어, PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), CFD (conformal film deposition), ALD (atomic layer deposition), 등을 포함할 수도 있다. 종종, 씨드 층 증착 및 전기 도금에 웨이퍼의 에지에 증착된 씨드 금속을 제거하는 EBR (edge bevel removal) 동작이 이어지고 여기서 씨드 금속의 존재는 웨이퍼의 에지 위의 에천트 용액의 얇은 점성의 플로우의 도포를 통해 목표되지 않는다.
그러나, 종종, 씨드 층이 증착된 후에, 웨이퍼는 진공으로부터 제거되고 그리고 룸 대기 공기를 세정하도록 노출된다. 일부 예들에서, 웨이퍼가 전기 도금되기 전에 수 분 내지 수 시간 범위의 큐 (queue) 시간이 있을 수 있다. 지연 시간 및 대기 공기에 대한 연관된 노출은 씨드 층의 산화 - "씨드 에이징 (seed aging)"으로서 종종 지칭됨 - 를 유발할 수 있다. 실질적으로 비전도성인, 씨드 에이징으로부터 발생하는 이 옥사이드 층은 전기 도금 효율을 감소시키도록 또는 심지어 전기 도금이 발생하는 것을 방지하도록 작용할 수도 있다. 또한, 웨이퍼의 표면 습윤 특성들이 변화할 수도 있어 또한 웨이퍼 상의 디펙트들에 기여한다. 쓸모없는 IC 디바이스들을 발생시키고 따라서 전체 웨이퍼 수율들에 부정적으로 영향을 주는 과도한 전기 도금 전 씨드 에이징의 결과로서 전기 도금 후 보이드들 및 피트들 (pits) 이 패턴 웨이퍼들 상에서 보인다. 게다가, 씨드 에이징 효과들은 보다 저 기술 노드들 - 예를 들어, 22 ㎚ 이하 - 에서 악화되고 여기서 씨드 층들은 일반적으로 매우 얇고, 예를 들어, 일부 환경들에서 50 Å 이하임이 관찰된다. 씨드 용해 및 전류 밀도의 감소는 또한 보다 높고 보다 치밀한 패턴 밀도들을 가진 비아들 및 트렌치들 내로 보다 깊게 국부화된 스폿들에서 보다 박형 씨드에서 보이고, 또한 전기 도금 후 웨이퍼 디펙트들을 유발한다. 따라서, 방법들 및 장치들은 옥사이드 층 환원 및/또는 제거를 다루도록 개발되고, 그리고 이들 방법들 및 장치들에 대한 추가의 개선들이 본 명세서에 개시된다.
차후의 전기 도금 동작을 위해 금속 씨드 층을 가진 반도체 기판을 준비하는 방법들이 본 명세서에 개시된다. 일부 실시예들에서, 방법들은 반도체 기판의 표면 상의 금속 옥사이드들을 환원시킴으로써 표면을 처리하도록 플라즈마와 반도체 기판의 표면을 콘택트하는 단계 및 그 후에 표면으로부터 플라즈마-콘택트 후 컬러 신호를 측정하는 단계를 포함할 수도 있고, 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는다. 그 다음에, 방법들은 플라즈마-콘택트 후 컬러 신호에 기초한 플라즈마 처리에 기인하여 옥사이드 환원의 정도를 추정하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 플라즈마 처리에 기인하여 옥사이드 환원의 정도를 추정하는 단계는 플라즈마-콘택트 후 컬러 신호의 b* 컴포넌트에 기초하여 행해진다.
차후의 전기 도금 동작을 대비하여 반도체 기판의 금속 씨드 층의 표면 상에 존재하는 금속 옥사이드들을 환원시키기 위한 플라즈마 처리 장치들이 본 명세서에 개시된다. 일부 실시예들에서, 장치들은 내부에 적어도 하나의 프로세싱 스테이션을 갖는 프로세싱 챔버, 프로세싱 스테이션에서 기판을 홀딩하도록 구성된 기판 홀더, 프로세싱 챔버 내에서 플라즈마를 생성하고 그리고/또는 프로세싱 챔버에 플라즈마를 제공하도록 구성된 플라즈마 생성기, 및 기판으로부터 컬러 신호를 측정하도록 구성된 컬러 센서를 포함할 수도 있고, 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는다. 장치들은 장치 및 장치의 다양한 컴포넌트들을 동작시키기 위한 비일시적인 컴퓨터-판독가능 인스트럭션들을 가진 제어기를 더 포함할 수도 있다. 일부 실시예들에서, 제어기는 플라즈마가 기판의 표면 상의 금속 옥사이드들을 환원시킴으로써 기판의 표면을 처리하도록 프로세싱 스테이션에서 기판의 표면과 콘택트하도록, 프로세싱 챔버 내에서 플라즈마를 생성하고 그리고/또는 프로세싱 챔버에 플라즈마를 제공하기 위해서 플라즈마 생성기를 동작시키기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마가 기판 표면과 콘택트한 후에, 표면으로부터 플라즈마-콘택트 후 컬러 신호를 측정하도록, 컬러 센서를 동작시키기 위한 인스트럭션들이 포함될 수도 있고, 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는다. 일부 실시예들에서, 플라즈마-콘택트 후 컬러 신호에 기초하여 플라즈마 처리에 기인한 옥사이드 환원의 정도를 추정하기 위한 인스트럭션들이 포함될 수도 있다. 일부 실시예들에서, 장치들의 컬러 센서는 기판이 프로세싱 스테이션에 위치되는 동안 기판으로부터 컬러 신호를 측정하도록 위치되고 그리고/또는 구성될 수도 있다. 일부 실시예들에서, 장치들은 프로세싱 챔버에 기판 액세스를 제공하도록 구성된 로드-록을 더 포함할 수도 있고, 그리고 컬러 센서는 기판이 로드-록 내에 위치되는 동안 기판으로부터 컬러 신호를 측정하도록 위치되고 그리고/또는 구성될 수도 있다.
도 1a는 적어도 하나의 컬러 신호의 측정을 수반하는 차후의 전기 도금 동작을 위해 금속 씨드 층을 가진 반도체 기판을 준비하는 방법의 흐름도이다.
도 1b는 적어도 2 개의 컬러 신호들의 측정을 수반하는 차후의 전기 도금 동작을 위해 금속 씨드 층을 가진 반도체 기판을 준비하는 또 다른 방법의 흐름도이다.
도 2는 개략적으로 "a*", "b*", 및 "L*" 컬러 컴포넌트들을 사용하여 3 개의 컬러 컴포넌트 컬러 공간을 예시한다.
도 3은 도 1a 및 도 1b에 도시된 동작들과 유사한 전처리에서 옥사이드 환원의 정도를 추정하기 위한 플라즈마 전처리 및 동작들을 포함하는 전기 도금 방법을 예시하는 보다 상세한 흐름도를 미리 설정한다 (preset).
도 4는 플라즈마 처리 장치의 예의 단면 개략도를 도시한다.
도 5a는 개략적으로 4 개의 프로세싱 스테이션들을 가진 멀티-스테이션 플라즈마 처리 장치를 예시한다.
도 5b는 로드-록 내에 장착된 컬러 센서를 가진 플라즈마 프로세싱 장치의 로드-록의 개략도를 나타낸다.
도 5c는 로드-록 내의 컬러 센서의 포지셔닝을 도시한 수직 단면 개략도 (도 5b에서 파선 (505) 으로 나타낸 바와 같음) 를 나타낸다.
도 5d는 컬러 센서의 광섬유 헤드를 상세히 도시한 (도 5c의 파선 타원 (506) 으로 나타낸 바와 같이 확대된) 수직 단면 개략도를 나타낸다.
도 6a는 금속 씨드 층을 가진 기판을 처리하는 방법을 예시하는 예시적인 흐름도를 도시한다.
도 6b는 금속 씨드 층을 가진 기판을 처리하는 또 다른 방법을 예시하는 예시적인 흐름도를 도시한다.
도 7a 내지 도 7d는 플라즈마 처리 장치를 사용하여 금속 씨드 층을 가진 기판을 처리하는 다양한 스테이지들을 예시하는 단면 개략도들의 예들을 도시한다.
도 8은 플라즈마 전처리 전 그리고 플라즈마 전처리 후의 200 Å 옥사이드 층들을 가진 6 개의 웨이퍼들 상에서 행해진 b* 컬러 컴포넌트 측정들의 결과들을 도시한다.
도 9a는 L* 컬러 컴포넌트 상의 H2 플라즈마 처리의 영향을 도시한다.
도 9b는 a* 컬러 컴포넌트 상의 H2 플라즈마 처리의 영향을 도시한다.
도 9c는 b* 컬러 컴포넌트 상의 H2 플라즈마 처리의 영향을 도시한다.
도 10a는 웨이퍼가 아웃바운드 (outbound) 로드-록을 2 회 통과하는 "멀티레이어 레시피" 동안 시간의 함수로서 b* 컬러 컴포넌트의 값을 도시한다.
도 10b는 도 10a로부터의 데이터의 일부의 클로즈 업된 (close-up) 리플롯팅된 (re-plotted) 도면을 도시한다.
도 11a는 250 ℃에서 6 개의 상이한 시간 지속기간들 동안 플라즈마 처리되는 6 개의 웨이퍼들 (각각 200 Å 옥사이드 층을 가짐) 로부터 측정된 b* 컬러 컴포넌트의 값들을 플롯팅한다.
도 11b는 75 ℃에서 5 개의 상이한 시간 지속기간들 동안 플라즈마 처리되는 5 개의 웨이퍼들 (각각 200 Å 옥사이드 층을 가짐) 로부터 측정된 b* 컬러 컴포넌트의 값들을 플롯팅한다.
다음의 개시에서, 수많은 특정한 실시예들이 본 명세서에 개시된 발명의 개념들의 완전한 이해를 제공하도록 제시된다. 그러나, 본 명세서에 개시된 발명의 개념들이, 많은 경우들에서, 본 명세서에 개시된 발명의 개념들의 범위 및 정신 내에 있으면서, 대안적인 엘리먼트들 또는 단계들의 치환, 또는 특정한 엘리먼트들 또는 단계들의 생략에 의해서와 같이, 이들 특정한 상세들 중 일부를 사용하여 또는 사용하지 않고 실시될 수도 있다는 것이 당업자들에 의해 이해될 것이다. 또한, 특정한 프로세스들, 절차들, 동작들, 단계들, 엘리먼트들, 모듈들, 컴포넌트들, 및/또는 시스템들이 당업자들에게 이미 공지된 경우에, 상기 것들은 개시된 발명의 개념들의 중요한 양태들을 불필요하게 모호하게 하지 않도록, 가능하다면 매우 상세히 본 명세서에 기술되지 않을 수도 있다.
씨드 층의 산화
금속 씨드 층들은 공기 내의 산소 또는 수증기와 용이하게 반응할 수 있고 그리고 순수 금속으로부터 금속 옥사이드와 매립된 순수 금속의 혼합된 막으로 산화될 수 있다. 대기 조건들 하의 산화가 일부 금속들의 박형 표면 층에 제한될 수도 있지만, 박층은 현재의 기술 노드들에서 사용되는 박형 씨드 층들의 상당한 비율 또는 아마도 전체 두께를 나타낼 수도 있다. 상대적으로 박형 씨드 층들은 4x ㎚ 노드, 3x ㎚ 노드, 2x ㎚ 노드, 및 1x ㎚ 노드, 및 10 ㎚ 미만의 노드와 같은 기술 노드에 의해 필요하게 될 수도 있다. 상대적으로 박형 금속 층들을 필요로 하는 기술 노드들의 비아들 및 트렌치들의 높이 대 폭 종횡비는 약 5:1 이상일 수 있다. 그 결과, 이러한 기술 노드들에서, 금속 씨드 층의 평균 두께는 약 100 Å 미만일 수 있다. 일부 구현예들에서, 금속 씨드 층의 평균 두께는 약 50 Å 미만일 수 있다.
이하의 반응식 1 및 반응식 2에 나타낸 일반적인 화학 반응들을 통해, 씨드 층 또는 배리어 층을 위해 사용되는 금속들은 금속 옥사이드들 (Mox) 로 변환되지만, 금속 표면들 (M) 과 주변 산소 또는 수증기 사이의 정밀한 반응 메커니즘들은 특성들 및 산화 상태에 따라 가변할 수 있다.
반응식 1: 2M(s) + O2(g) → 2MOx(s)
반응식 2: 2M(s) + H2O(g) → M2Ox + H2(g)
예를 들어, 기판들 상에 증착된 구리 씨드는 공기에 대한 노출시 구리 옥사이드를 신속하게 형성한다고 알려져 있다. 구리 옥사이드 막은 하부 구리 금속의 상단 상에 대략 20 Å 그리고 상향하여 50 Å 두께인 층을 형성할 수 있다. 금속 씨드 층들이 보다 얇아지기 때문에, 대기 조건들에서 산화로부터 금속 옥사이드들의 형성은 상당한 기술적 과제들을 제기할 수 있다.
언급된 바와 같이, 순수 금속 씨드의 금속 옥사이드로의 변환은 문제가 많을 수 있다. 이것은 현재의 구리 다마신 프로세싱에서뿐만 아니라, 루테늄, 코발트, 은, 알루미늄, 및 이들 금속들의 합금들과 같은, 다른 전도성 금속들을 사용하는 전착 프로세스들에서도 그러하다. 첫째로, 산화된 표면은 상부에 도금하기가 어렵다. 우선 한가지 이유는, 산화된 표면이 전기 도금의 레이트를 저해하려는 경향이 있는 베어 씨드 금속만큼 전도성이 아니라는 것이다. 표면이 균일하게 산화되지 않을 수도 있지만, - 도금의 레이트가 표면 전도도에 의존하기 때문에 - 도금을 불균일할 뿐만 아니라 느리게 만든다. 게다가, 전기 도금 욕 첨가제들은 순수 금속에 비해 금속 옥사이드에서 상이하게 상호작용할 수도 있고, 전기 도금 레이트에서 추가의 불균일성을 유발한다.
두번째로, 산화의 결과로서, 보이드들은 씨드 층의 부분들을 도금을 지원함에 있어서 사용 불가능하게 만들 수도 있는 금속 씨드 층 내에 형성될 수도 있다. 보이드들은 부식성 도금 용액들에 대한 노출 동안 금속 옥사이드의 용해의 결과로서 형성될 수도 있다. 보이드들은 또한 불균일한 도금에 기인하여 표면 상에 형성될 수도 있다. 부가적으로, 산화된 표면의 상단 상에 벌크 금속을 도금하는 것은 접착 또는 디라미네이션 (delamination) 문제들을 야기할 수 있고, 이는 또한 CMP (chemical mechanical planarization) 와 같은 차후의 프로세싱 단계들 후에 보이드들을 야기할 수 있다. 에칭, 불균일한 도금, 디라미네이션, 또는 다른 프로세스들로부터 발생하는 보이드들은 금속 씨드 층을 불연속적으로, 그리고 도금을 지원함에 있어서 사용 불가능하게 만들 수도 있다. 사실상, 현대의 다마신 금속 씨드 층들이 (언급된 바와 같이) 약 50 Å 또는 그보다 얇은 것과 같이 상대적으로 얇기 때문에, 약간의 산화조차도 씨드 층의 전체 두께를 소모할 (consume) 수도 있다.
세번째로, 그리고 보다 일반적으로, 금속 옥사이드 형성은 때때로 전기 도금 후 다른 기판 프로세싱 동작들을 가진 이슈들을 유발하는 것으로 보인다. 예를 들어, 금속 옥사이드 형성이 전착 후 캡핑 층들의 증착을 방해한다는 것이 때때로 관찰되었다. 일부 상황들에서, 이것은 캡핑 층이라면 접착을 실질적으로 제한하는 금속 옥사이드 층에 기인할 수도 있다.
씨드 층이 증착된 후이지만 벌크 전기 도금 동작 전에 씨드 층 상의 금속 옥사이드 형성을 방지하는 것은 어려울 수도 있다. 대기 조건들에서 산소 또는 수증기에 씨드 층을 노출시키는 다양한 개입하는 (intervening) 프로세싱 단계들이 발생할 수도 있다. 예를 들어, 금속 씨드 층을 증착시키는 PVD 방법에 벌크 전착 동작 전에 행해지는 (예를 들어, 탈이온수를 사용하는) 린싱 단계들 그리고 이어서 차후의 건조의 하나 이상의 단계들이 이어질 수도 있다. 린싱 단계는 예를 들어, 약 1 내지 10 초의 시간에 제한될 수도 있지만, 보다 긴 시간 또는 보다 짧은 시간이 걸릴 수도 있다. 차후의 건조는 약 20 내지 40 초일 수도 있지만, 건조 단계는 보다 긴 시간 또는 보다 짧은 시간이 걸릴 수도 있다. 이들 단계들 동안, 금속 씨드 층은 대기 조건들에 노출될 수도 있고 그리고 씨드 층의 산화가 발생할 수도 있다.
(린싱 및 건조와 같은) 특정한 개입하는 단계의 부재시에도, 예를 들어 PVD를 통한 씨드 층 증착은 통상적으로 전착을 위해 사용되는 전기 도금 셀과 상이한 프로세싱 챔버에서 행해진다. 이와 같이, 씨드 층이 대기 조건들에 노출될 수도 있는 동안 이들 프로세싱 모듈들 사이의 웨이퍼의 이송 및 개입하는 진공 파괴가 종종 있고, 다시 어쩌면 씨드 층 산화를 발생시킨다. 일부 상황들에서, 이 노출의 지속기간은 대략 약 1 분 내지 4 시간, 또는 보다 구체적으로 약 15 분 내지 1 시간일 수도 있다. 다양한 적합한 전기 도금/증착/충진 방법들 및 장치들은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 2001년 2월 28일 출원된 미국 특허 제 6,793,796 호 (대리인 문서 제 NOVLP073 호) 에 기술된다는 것을 주의하라. (상기 참조 문헌은 전기 도금/증착/충진 프로세스의 적어도 4 개의 페이즈들을 기술하고 그리고 상대적으로 작은 임베딩된 (embedded) 피처들의 최적의 충진을 위한 페이즈 각각에 대한 제어된 전류 밀도 방법들을 개시한다.)
씨드 층의 산화를 개선하기 위한 플라즈마 처리
씨드 층의 증착과 차후의 벌크 전기 도금 사이에 개입하는 기간에서 금속 씨드 층 산화를 발생시킬 수도 있는 다양한 요인들에 기인하여, 씨드 층 증착 후 금속 옥사이드들을 환원시키기 위한 실질적인 방안이 매우 목표된다. 일 방법은 기판의 표면 상에 형성된 금속 옥사이드들을 환원시키도록 작용하는 환원제를 포함하는 플라즈마와 기판의 표면을 콘택트함으로써 기판의 표면을 (벌크 전기 도금 전에) 전처리하는 것이다. 이 플라즈마 전처리에서 사용되는 환원성 플라즈마는 반응 챔버 자체 (전처리를 위해 기판을 홀딩하는 진공 챔버) 내에서 형성될 수도 있거나, 플라즈마의 소스가 프로세싱 챔버로부터 떨어져 있을 수도 있지만 - 즉, "리모트 플라즈마"가 형성되고 그리고 기판 표면과 콘택트하고 기판 표면을 처리하도록 프로세싱 챔버 내로 도입됨 - 아마도 또한 일단 리모트 플라즈마가 반응 챔버에 진입하면 차후의 개질을 (예를 들어, 라디칼들이 주로 기판과 콘택트하도록 이온 필터링, 등) 겪는다.
환원성 플라즈마를 사용하여 이러한 전기 도금 전처리를 수행하기 위한 이러한 방법들 및 장치들은, 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 현재 미국 특허 공개 제 2014/0256128 호로서 공개된, 발명의 명칭이 "METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER"이고 2013년 11월 21일에 출원된 미국 특허 출원 제 14/086,770 호 ("'770 출원") 에 상세히 기술된다. '770 출원에 개시된 바와 같이, 실시예에 따라, 환원성 플라즈마를 형성하도록 사용된 환원성 가스 종은 수소 (H2), 암모니아 (NH3), 일산화탄소 (CO), 디보란 (B2H6), 설파이트 화합물들, 탄소 및/또는 탄화수소들, 포스파이트들, 및/또는 하이드라진 (N2H4), 등일 수도 있고, 플라즈마 내의 에너자이징된 환원성 종은 환원성 가스의 이온들 또는 H*, NH2 *, 또는 N2H3 *과 같은 라디칼들일 수도 있다.
반응식 3은 수소 라디칼들로 분해되는 수소 가스와 같은 환원성 가스 종의 예를 나타낸다. 반응식 4는 금속 옥사이드를 금속으로 변환하도록 금속 옥사이드 표면과 반응하는 수소 라디칼들을 나타낸다. 분해되지 않은 수소 가스 분자들 또는 수소 가스 분자들을 형성하도록 재결합하는 수소 라디칼들에 대해, 수소 가스 분자들은 여전히 반응식 5에 나타낸 바와 같이, 금속 옥사이드를 금속으로 변환하기 위한 환원제로서 기능할 수 있다.
반응식 3: H2 → 2H*
반응식 4: (x)2H* + MOx → M + (x)H2O
반응식 5: (x)H2 + MOx → M + (x)H2O
언급된 바와 같이, 일부 실시예들에서, 전처리 플라즈마는 또한 환원성 가스 종의 다른 대전된 종 및 이온들을 포함할 수도 있지만, 이들 대전된 종이 기판에 도달하지 않고 그리고 이하에 보다 상세히 기술된 바와 같이 씨드 층을 잠재적으로 손상시키지 않도록 이들 대전된 종을 필터링하는 것이 종종 유리하다.
플라즈마 전처리 성능 (performance) 의 적격화 (qualify)/정량화
전술한 플라즈마 전처리는 차후의 벌크 전기 도금에 대한 씨드 에이징의 영향을 감소시키는데 매우 효과적인 것으로 알려졌다. 그러나, 기술 분야에서 플라즈마 전처리 프로세스 성능을 적격화하는 것은 매우 어렵다고 알려져 있다. 전처리 성능을 적격화하도록, 통상적으로 웨이퍼는 제어된 분위기에서 산화되고 그리고 이어서 전처리 모듈 내에서 옥사이드 환원성 플라즈마에 노출된다. 플라즈마 처리 전 시트 저항 측정 및 플라즈마 처리 후 시트 저항 측정이 행해지고 그리고 시트 저항 감소는 통상적으로 플라즈마 전처리의 성능을 특징으로 한다. 이 기법이 특정한 정도로 플라즈마 전처리 전 그리고 플라즈마 전처리 후의 변화를 나타낸다는 점에서 유용하지만, 그럼에도 불구하고 이 기법은 기술 분야에서 많은 문제들로 성가시다. 시트 저항 측정들이 오프라인으로 행해지기 때문에, 플라즈마 전처리 측정과 오프라인 측정 (이는 개별적인, 전용 계측 툴에서 행해짐) 사이에 큐 시간이 있다. 큐 시간에 기인하여, 전처리 (예를 들어, 옥사이드 제거의 정도) 의 성능은 시스템적으로 과소 평가되는 경향이 있다. 보다 중요하게, 큐 시간의 랜덤 차들은 기술 분야에서 전처리 성능 가변성의 허위 표시일 수 있다. 일부 예들에서, 전처리 후 큐 시간들이 충분히 길다면, 전처리된 웨이퍼는 시트 저항 측정이 행해지기 전에 다시 거의 모든 원래의 옥사이드 층을 재성장시킬 수 있다. 그러므로, 이러한 오프라인 엑스 시츄 (ex situ) 기법들은 전처리와 측정 사이의 큐 시간이 엄격히 제어될 수 없고 그리고/또는 심지어 예측될 수 없는 기술 분야에서 플라즈마 전처리의 성능을 적격화하는데 (그리고 정량화하는데) 이상적이지 않다. 실시간으로 - 플라즈마 전처리 동안 또는 플라즈마 전처리 직후 - 옥사이드 층 두께를 정량화하기 위한 온라인 인 시츄 측정 기법들이 목표되고 (그리고 본 명세서에 개시되고) - 따라서 플라즈마 전처리 성능의 신뢰할 수 있는 특성을 제공한다. 이러한 기법들을 구현하는 플라즈마 전처리 모듈들이 또한 목표된다 (그리고 본 명세서에 개시된다).
컬러 센싱을 통해 웨이퍼-상 (on-wafer) 옥사이드 층 제거 유효성 추정
옥사이드 층 제거의 유효성을 평가하기 위한 일 메커니즘은 플라즈마 전처리 동작 후 그리고/또는 심지어 플라즈마 전처리 동작 동안 컬러 센서를 사용하여 옥사이드 층 두께를 추정하는 것이다. 웨이퍼 표면으로부터 측정된 컬러 신호 분석은 플라즈마 전처리 동안 또는 플라즈마 전처리 후 모든 남아 있는 옥사이드 층의 두께를 프로빙하는 기준으로서 사용될 수도 있다는 것이 발견되었다. 일부 실시예들에서, 컬러 신호 측정 및 분석은 이슈들이 발생할 때 모듈이 가진 이슈들을 검출하고 그리고 필드에서 웨이퍼 찌꺼기 (scraps) 를 방지하는 것을 돕는 예의 플라즈마 전처리 모듈의 성능을 정확하게 평가할 수도 있다. 따라서, 차후의 전기 도금을 위해 기판을 준비하는 전기 도금 전처리 방법들은 표면을 플라즈마와 콘택트함으로써 기판의 표면 상의 금속 옥사이드들을 환원시키는 것, 그리고 이어서 (상기 표면으로부터) 컬러 신호를 측정하는 것, 그리고 컬러 신호에 기초하여 (플라즈마 처리에 기인한) 옥사이드 환원의 정도를 추정하는 것을 포함할 수도 있다.
차후의 전기 도금 동작을 위해 금속 씨드 층을 가진 반도체 기판을 준비하는 이러한 방법은 도 1a의 흐름도에 의해 예시된다. 도면에 도시된 바와 같이, 이러한 방법 100은 반도체 기판의 표면 상의 금속 옥사이드들을 환원시킴으로써 표면을 처리하도록 반도체 기판의 표면을 플라즈마와 콘택트하는 동작 120에서 시작된다. 이어서, 플라즈마와 콘택트한 후에, 동작 130에서, 플라즈마-콘택트 후 컬러 신호가 상기 표면으로부터 측정된다. 이러한 컬러 신호는 이하에 더 기술된 바와 같이 하나 이상의 컬러 컴포넌트들을 가질 수도 있다. 컬러 컴포넌트들 중 하나 이상은 옥사이드 층의 두께의 지표를 제공할 수도 있다. 따라서, 동작 140에서, 측정된 플라즈마-콘택트 후 컬러 신호는 플라즈마 처리에 기인한 옥사이드 환원의 정도를 추정하기 위한 기준으로서 사용된다.
기판 표면으로부터 측정된 컬러 신호가 통상적으로 복수의 컬러 컴포넌트들을 갖는다는 것을 주의하라 (컬러 신호는 단지 일 컬러 컴포넌트를 가질 수 있음). 일단 컬러 센서가 튜닝되고 그리고 컬러 센서의 설정이 최적화된다면, 컬러 센서는 웨이퍼의 표면 상의 작은 컬러 변화들을 검출할 수 있다. 일부 실시예들에서, 컬러 센서는 3 개의 컬러 컴포넌트들로 구성된 컬러 신호를 출력한다. 이들은 RGB (red/green/blue) 컬러 값들일 수 있지만, RGB 컬러 공간과 다른 어떤 공간을 규정하는 컬러 컴포넌트들이 또한 가능하다.
예를 들어, 일부 실시예들에서, L*, a*, 및 b* 컬러 컴포넌트들의 세트가 측정되고 그리고 컬러 센서에 의해 출력되고; 그리고 이들 L*, a*, b* 컬러 컴포넌트들은 도 2에 개략적으로 예시된 바와 같이, L*/a*/b* 컬러 공간을 규정한다. 도 2에 도시된 바와 같이, "a*" 컬러 컴포넌트는 컬러 신호에서 그린 대 레드의 상대적인 비율을 나타내고, "b*" 컬러 컴포넌트는 컬러 신호에서 블루 대 옐로우의 상대적인 비율을 나타내고, 그리고 "L*" 컬러 컴포넌트는 컬러 신호의 전체 광도 또는 휘도를 나타낸다. 물론, (a*,b*,L*) 의 값이 이 특정한 컬러 공간에서 위치를 나타내지만, 당업자는 컬러 공간의 다른 표현들이 또한 가능하고, 그리고 이 컬러 공간에서 특정한 (a*,b*,L*) 트리플릿 (triplet) 으로 지정된 실제의 물리적 컬러가 상이한 컬러 공간에서 컬러 컴포넌트들의 상이한 트리플릿 값으로 잠재적으로 지정될 것이라는 것을 인식할 것이다. 게다가, 당업자는 본 명세서에 개시된 본 발명의 개념들이 a*, b*, 및 L* 컴포넌트들에 관하여 컬러 공간의 이 특정한 표현으로 제한되지 않음을 용이하게 이해할 것이다.
또한 측정들 및 분석에서 4, 5, 6, 7, 8, 9, 10, 또는 16 개의 컬러 컴포넌트들과 같은, 4 이상의 컬러 컴포넌트들, 또는 8 내지 16 컬러 컴포넌트들, 또는 16 내지 32 컬러 컴포넌트들, 또는 32 내지 64 컬러 컴포넌트들, 또는 64 내지 128 컬러 컴포넌트들, 또는 128 내지 256 컬러 컴포넌트들에 걸치는 범위 내의 복수의 컬러 컴포넌트들을 사용하는 것이 원칙적으로 가능하다. 3 개 이하의 컬러 컴포넌트들이 사용된다면, 이들 컬러 컴포넌트들은 도 2에 개략적으로 예시된 *a, *b, 또는 *L 컬러 컴포넌트들의 임의의 조합, 또는 예를 들어, RGB (red, green, blue) 컬러 컴포넌트들과 같은 다른 타입들의 컬러 컴포넌트들 (1 개, 2 개, 3 개이든 아니든) 의 조합이도록 선택될 수도 있다. 일반적으로 프로브 및 기준 컬러 신호들이 동일한 타입 및 수의 컬러 컴포넌트들을 포함하는 경우일지라도, 반드시 요구되는 것은 않음이 또한 주의되어야 한다. 상이한 수들의 컬러 컴포넌트들을 가진 2 개의 컬러 신호들 사이 (또는 측정된 신호와 벡터 평균 사이) 의 차를 나타내는 계측값은 예를 들어 여분의 컬러 컴포넌트들을 무시함으로써 여전히 산출될 수 있다. 여전히 다른 실시예들에서, 프로브 컬러 신호의 컴포넌트들은 하나 이상의 기준 컬러 신호들의 컴포넌트들과 상이한 컬러 공간 컴포넌트들을 나타낼 수도 있다. 이 경우라면, 다양한 컬러 공간 벡터 투영 방법들이 여전히 중요한 비교 계측값을 포뮬레이팅하도록 (formulate) 사용될 수도 있다. 최종적으로, 또한 컬러들을 센싱하고 그리고 컬러 이미지를 형성하는 디바이스 - 즉, 컬러 카메라 - 가 또한 원칙적으로, 이 개시의 맥락 내에서 사용될 수도 있다는 것이 주의되어야 한다. (그러나, 상업적으로 입수 가능한 컬러 카메라들이 상업적으로 입수 가능한 컬러 센서들만큼 약간의 컬러 차들에 민감하지 않은 경우가 종종 있다. 그러나, 원칙적으로, 컬러 카메라는 또한 실시예 및 목표된 민감도에 따라 효과적일 수도 있다.)
이 L*/a*/b* 컬러 공간에 대해, 플라즈마 전처리 동작 동안 또는 플라즈마 전처리 동작 후 옥사이드 환원 (및/또는 제거) 의 정도의 결정에 근거를 둘 b* 컬러 컴포넌트가 매우 효과적임이 발견되었다. 부분적으로, 이것은 옥사이드 대 베어 금속 씨드가 원인인 b* 컬러 값들의 차에 기인하지만, (특정한 이론에 제한되지 않고) 또한 컬러 측정 동안 다른 무관한 인공물들, 변동들, 불안정성, 등에 대한 b* 컬러 컴포넌트의 상대적인 둔감도에 기인한다. 컬러 센서 측정들 동안 변동들 및 불안정성들의 일부는 진동, 광 강도의 변화들, 센서 헤드와 웨이퍼 사이의 갭의 변화, 웨이퍼에 대한 센서 헤드의 각, 웨이퍼 워블링 (wobbling), 및 온도, 압력, 습도, 등을 포함한 대기 조건 변화들에 기인할 수 있다. 컬러 센서는 컬러 센서의 자체 내부에 광 소스를 가질 수 있지만, 주변 광의 작은 변화들이 내부의 광 소스와 간섭할 수 있다. 예를 들어, L* 컬러 컴포넌트는 주변 광에 꽤 의존적이고 따라서 옥사이드 형성에 기인한 웨이퍼 컬러 변화의 지표로서 적합하지 않다고 알게되었다. 다른 컬러 컴포넌트, a* 컴포넌트는 옥사이드와 베어 금속 씨드 사이에서 매우 두드러지 않다고 발견되었다.
b* 컬러 컴포넌트는 (도 2에 대해 상기에 논의된 바와 같이) 컬러 신호에서 블루 대 옐로우의 상대적인 비율을 나타내는 값을 가진 (예를 들어, 멀티-컴포넌트 컬러 신호의) 컬러 컴포넌트이도록 본 명세서에 규정된다는 것을 주의하라. 특정한 컬러 센서에 의해 측정된 컬러 공간을 규정할 수도 있는 컬러 컴포넌트들의 많은 가능한 세트들이 있다. 일부 컬러 센서는 가능하게는 b* 컬러 컴포넌트일 수 있는 단일의 컬러 컴포넌트만을 측정할 수도 있다. 일부 컬러 센서들은 4 개 이상의 컬러 컴포넌트들을 측정할 수도 있고 컬러 컴포넌트들 중 일 컬러 컴포넌트는 b* 컬러 컴포넌트이다. 게다가, b* 컬러 컴포넌트로서 측정되고 그리고/또는 규정되는 것 (차는 설계에 의한 것일 수도 있거나, 차는 의도되지 않은 제작 변동에 기인할 수도 있음) 에서, 심지어 3 개의 컬러 컴포넌트들을 측정하는 센서에서 일부 변동이 있을 수도 있다. 그럼에도 불구하고, 이들 경우들 중 임의의 경우에서, 측정된 컬러 컴포넌트가 블루 대 옐로우의 비율을 나타낸다면, 측정된 컬러 컴포넌트는 b* 컬러 컴포넌트로서 지칭되는 것으로 본 명세서에 포함된다.
일부 실시예들에서, 옥사이드 환원 유효성의 결정이 기초하는 컬러 신호는 플라즈마 처리가 종료된 직후에 (실현되는 즉시) 측정된다. (얼마나 직후인가는 플라즈마 전처리가 발생하는 장소에 대한 컬러 센서의 배치 및/또는 장착에 의존할 수도 있지만, 보통의 오프라인 계측에 대해 상기에 논의된 문제들이 상당히 감소되도록 충분히 가깝다는 개념이다.) 그러나, 다른 실시예들에서, 옥사이드-제거-유효성 결정이 기초하는 컬러 신호는 플라즈마-기반 전처리 옥사이드 제거 동안, 또는 심지어 전처리 동안 복수 회 측정될 수도 있다.
이러한 측정들은 문제들이 가능한 한 빨리 시정될 수도 있고 웨이퍼 손실들을 최소화시키도록, 전처리 프로세스에서 실시간 트러블 스폿팅 (trouble spotting) 을 위해 사용될 수도 있다. 그러나, 전처리 동안 실시간 옥사이드 층 두께 측정 (특히 전처리 동안 다양한 간격들에서 행해진다면) 은 전처리 프로세스 자체의 실시간 조정 (예를 들어, 플라즈마 강도를 조정함으로써) 또는 모든 (또는 충분한) 옥사이드가 환원되고 그리고/또는 제거되기 때문에 엔드포인트 결정 (즉, 전처리 프로세스를 중단하기 타당한 때를 결정) 에 대한 기준으로서 사용될 수도 있다는 것이 또한 주의된다.
임의의 이벤트에서, 다양한 프로세싱 분석 옵션들은 이어서 옵션이 옥사이드 제거 유효성을 게이징하도록 (gauge) 이 "플라즈마-콘택트 후 컬러 신호"를 사용하여 행해지는 한 가능하다. 예를 들어, 일부 실시예들에서, 플라즈마-콘택트 후 컬러 신호는 하나 이상의 기준 컬러 신호들과 비교될 수도 있다. 기준 컬러 신호들이 옥사이드 두께의 특정한 레벨들을 나타낸다면 - 예를 들어, 기준 컬러 신호들이 공지된 옥사이드 층 두께의 웨이퍼들로부터 측정됨 - 그러면 측정된 플라즈마-콘택트 후 컬러 신호와 이들 기준 신호들을 비교하는 것은 옥사이드 층 두께의 추정 (그리고 따라서 옥사이드 제거 유효성) 을 제공한다.
일부 실시예들에서, 이 비교는 측정된 플라즈마-콘택트 후 컬러 신호 ("프로브" 신호) 와 가장 비슷한 기준 컬러 신호를 식별하는 것 그리고 옥사이드 층 두께를 이 특정한 기준과 연관된 두께이도록 결정하는 것을 수반할 수 있다. 비교가 b*와 같은 단일의 컬러 컴포넌트 (또는 단일의 컬러 밴드, 즉, 예를 들어, 650 ㎚ ± 약간의 Δλ) 에 기초한다면, 그러면 "가장 비슷한" 기준은 단지 컬러 컴포넌트의 "가장 비슷한" 기준의 값이 프로브 신호와 가장 적게 상이하다는 것을 의미한다. 비교가 복수의 컬러 컴포넌트들에 기초한다면, 그러면 "가장 비슷한" 기준은 컬러 컴포넌트들의 "가장 비슷한" 기준의 벡터가 프로브와 가장 적게 상이하고, 즉, "가장 비슷한" 기준의 벡터가
Figure pat00001
의 가장 작은 값을 갖는다는 것이다.
여기서 c i 는 프로브 (측정된 플라즈마-콘택트 후 컬러 신호) 및 기준 컬러 신호들의 i번째 컴포넌트이고, 그리고 N은 컬러 신호 컴포넌트들의 수이다 (당업자에 의해 용이하게 이해되는 바와 같음).
물론, 이 벡터 차의 크기의 스케일링된 버전으로 구성된 차이 계측값은 벡터 차 크기의 다양한 단조 함수들에서와 같이 유사하게 작용할 것이다 (예를 들어, 가능하게는 단위 변환에 기인한 스케일링). 당업자는 계측값의 특정한 함수 형태가 프로브와 기준 컬러 신호들 사이의 차를 나타내는 값을 발생시키는 한 계측값의 특정한 함수 형태가 중요하지 않다는 것을 용이하게 이해할 것이다. 비교 계측값을 나타내는 수학적 함수는 컬러 신호들의 분석 함수로서 구현될 수 있고, 수학적 함수는 룩-업 테이블에서 구현될 수 있거나, 수학적 함수는 어쩌면 일부 다른 컴퓨터의 방법론 (예를 들어, 분석 함수 평가와 룩-업 테이블의 혼합) 을 사용하여 구현될 수 있다.
b* 컬러 컴포넌트가 옥사이드 층 두께의 상당한 증거로 결정되기 때문에, 바람직한 실시예들에서, 비교들은 플라즈마-콘택트 후 측정된 (프로브) 신호 그리고 기준 컬러 신호의 b* 컴포넌트들에 관해서 행해질 것이다. 따라서, b* 컬러 컴포넌트에 기초한 분석은 계측값들의 세트를 계산하는 것을 수반할 수도 있고 계측값들 각각은 플라즈마-콘택트 후 컬러 신호의 b* 컴포넌트와 기준 컬러 신호 (공지된 두께의 옥사이드 층에 대응함) 의 b* 컴포넌트 사이의 차의 절대값과 단조적으로 관련된다.
보다 복잡한 실시예들에서, 옥사이드 층 두께는 기준 컬러 신호들 (공지된 옥사이드 층 두께들에 대응함) 사이에 보간법을 사용함으로써 (interpolate) 결정될 수 있다. 일부 실시예들에서, 공지된 옥사이드 층 두께들을 가진 기준 웨이퍼들의 복수의 세트들로부터 측정된 컬러 신호들의 복수의 세트들은 컬러 신호와 옥사이드 층 두께 사이의 함수 관계를 전개하도록 사용될 수도 있고, 그리고 이 관계는 테스트 웨이퍼의 표면으로부터 측정된 컬러 신호에 기초하여 테스트 웨이퍼의 옥사이드 층 두께 (그리고 이에 따라 플라즈마 전처리 절차의 유효성) 를 추정하도록 사용될 수도 있다. 옥사이드 층 두께와 컬러 신호 사이의 이 함수 관계는 시나리오에 따라, 선형이거나 거의 선형이거나, 비선형일 수 있다. 함수 관계는 물론 절대 완전하지 (exact) 않을 것이지만, 베스트 피트 (best fit) 함수 관계는 데이터 (즉, 공지된 옥사이드 층 두께들의 기준 웨이퍼들로부터의 컬러 신호 측정값들) 의 미리 결정된 세트에 피팅된 하나 이상의 시험 함수 형태들로부터 선택되거나 결정될 수도 있다. 베스트 피트는 당업자에 의해 용이하게 이해되는 바와 같이 함수 형태와 연관된 하나 이상의 파라미터들 (예를 들어, 함수 관계가 선형이라고 가정한다면 선의 기울기 및 절편) 에 대한 값들을 확립할 것이다. 물론, 또한 당업자에 의해 이해될 바와 같이, 일단 컬러 신호와 옥사이드 두께 사이의 함수 관계가 결정된다면 (함수가 선형, 비선형, 등인지의 여부), 함수는 거의 정확한 씨드 층 두께를 계산하도록 분석 함수 평가, 룩-업 테이블, 등을 통해 측정된 컬러 신호에 적용될 수도 있다.
컬러 신호 프로세싱 옵션들의 또 다른 세트는 플라즈마 전처리 전 측정된 하나 이상의 컬러 신호들에 대한 플라즈마-콘택트 후 측정된 하나 이상의 컬러 신호들의 비교에 대한 전처리 유효성의 분석에 기초할 수도 있다. 차후의 전기 도금 동작을 위해 금속 씨드 층을 가진 반도체 기판을 준비하는 이러한 방법은 도 1b의 흐름도에 의해 예시된다. 도면에서 도시된 바와 같이, 이러한 방법 105는 플라즈마와 콘택트하기 전에, 반도체 기판의 표면으로부터 플라즈마-콘택트 전 컬러 신호를 측정하는 동작 110으로 시작된다. 기판의 표면이 기판의 표면 상의 금속 옥사이드들을 환원시킴으로써 표면을 처리하도록 플라즈마와 콘택트하는 동작 120이 이어진다. 이어서, 도 1a의 방법 100에서와 같이, 플라즈마 콘택트 후에, 플라즈마-콘택트 후 컬러 신호가 동작 130에서 상기 표면으로부터 측정된다. 이어서 플라즈마 처리에 기인한 옥사이드 환원의 정도의 추정이 이어서 플라즈마-콘택트 전 컬러 신호와 플라즈마-콘택트 후 컬러 신호를 비교함으로써 행해지는 동작 145에서 행해진다.
플라즈마-콘택트 후 컬러 신호 (또는 신호들) 를 사용함에 따라, 플라즈마-콘택트 전 컬러 신호는 하나 이상의 컬러 컴포넌트들을 가질 수도 있고 그리고 특히 b* 컴포넌트를 포함할 수도 있다. 일부 실시예들에서, 플라즈마-콘택트 전 컬러 신호-대-플라즈마-콘택트 후 컬러 신호의 비교는 플라즈마-콘택트 전 컬러 신호 및 플라즈마-콘택트 후 컬러 신호 사이의 차를 나타내는 계측값의 계산을 수반할 수도 있다. 보다 구체적으로, b* 컴포넌트가 비교의 기준으로서 사용된다면, 계측값은 플라즈마-콘택트 전 컬러 신호와 플라즈마-콘택트 후 컬러 신호의 b* 컴포넌트 사이의 차의 절대값과 단조적으로 관련될 수도 있다.
플라즈마 전처리 유효성을 평가하기 위한 컬러 센서 측정들은 (플라즈마 프로세싱 챔버 내에서와 같이) 잘 제어된 진공 분위기들에서 뿐만 아니라 (이하에 보다 상세히 기술되는 바와 같이, 챔버의 인바운드 로드-록 및 아웃바운드 로드-록 내와 같이) 대기 환경들에서 가능하다고 발견되었다. 그러나, 컬러 신호 측정은 컬러 센서의 헤드가 웨이퍼의 표면에 가까울 때 - 즉, (이하에 더 기술된 바와 같이) 단지 센서와 웨이퍼 사이의 작은 갭 - 최상으로 행해진다는 것이 발견되었다. 이것은 최상의 신호-대-노이즈 비를 가진 가장 안정된 측정들을 야기한다. 플라즈마 프로세싱 챔버에 대한 컬러 센서의 배치 및/또는 장착에 관한 추가의 상세들은 이하에 보다 상세히 기술된다.
씨드 층의 플라즈마 처리에 관한 추가의 상세들
도 3은 도 1a 및 도 1b에 도시된 동작들과 유사한 전처리에서 옥사이드 환원의 정도를 추정하기 위한 동작들 및 플라즈마 전처리를 포함하는 전기 도금 방법을 예시하는 보다 상세한 흐름도를 미리 설정한다. 방법 300은 (박형 구리 층과 같은) 금속 씨드 층이 기판 상에 증착되는 동작 305에서 시작된다. 이것은 기판의 도금 표면 상에 금속 씨드 층을 가진 기판을 제공한다. 기판은 약 3:1 초과 또는 약 5:1 초과의 높이 대 폭 종횡비들을 가진 리세스들을 가질 수도 있다. 동작 310에서, 기판은 전처리 모듈의 프로세싱 챔버로 (가능하게는 대기 조건들 하에서) 이송된다. 동작 315에서, 프로세싱 챔버 내의 진공 또는 감소된 압력 하에서, 기판은 (상기에 기술된 바와 같이 프로세싱 챔버 내에서 형성되거나 프로세싱 챔버로부터 떨어져 있는 환원성 가스 종의 이온들 및/또는 라디칼 종을 가진) 환원성 플라즈마와 콘택트된다. (라디칼 및 이온 종은 기판의 표면을 향하여 자유롭게 드리프트할 (drift) 수도 있고; 특히, 이온들은 예를 들어 샤워헤드와 기판 홀더/페데스탈 사이에 인가된 전압 바이어스에 의해 가속화될 수도 있다.) 이 처리는 동작 320에서, 금속 씨드 층 상의 옥사이드들의 환원 및 산화되지 않은 (또는 거의 그러한) 금속성 표면의 형성을 발생시킨다. 일부 실시예들에서, 도면에 나타낸 바와 같이, 산화된 금속인 것은 금속 씨드 층 상의 통합된 막이 된다.
옥사이드 환원 후에, 다음의 2 개의 동작들은 컬러 신호 측정에 기초한 옥사이드 환원의 정도의 추정을 수반한다. 특히, 동작 322에서, 플라즈마-콘택트 후 컬러 신호가 기판의 표면으로부터 측정된다. 이어서, 다시 도 1a 및 도 1b와 유사하게, (동작 320에서 성취된) 옥사이드 환원의 정도는 동작 322에서 측정된 컬러 신호의 분석에 기초하여 동작 323에서 추정된다. 이어서 방법은 기판이 대기 조건들 하에서 또는 전기 도금 시스템 (또는 무전해 도금 시스템, 다른 금속 증착 시스템, 또는 또 다른 전처리 장치) 에 대한 불활성 가스의 블랭킷 (blanket) 하에서 이송되는 동작 325로 진행된다. 금속 씨드 층 내의 금속 옥사이드들이 금속 옥사이드 표면들을 환원성 가스 분위기에 노출시킴으로써 상당히 환원될지라도, 동작 325를 수행하는 것은 대기 환경에 대한 노출로부터 재산화의 부가적인 과제를 제시할 수도 있다. 일부 실시예들에서, 대기 조건들에 대한 노출은 이송의 지속기간을 단축시키는 것 또는 이송 동안 분위기를 제어하는 것과 같은 기법들을 사용하여 최소화될 수도 있다. 부가적으로 또는 대안적으로, 이송은 대기 조건들보다 덜 산화성인 제어된 분위기에서 실시된다. 이송 동안 분위기를 제어하도록, 예를 들어, 분위기는 실질적으로 산소가 없을 수도 있다. 환경은 실질적으로 불활성일 수도 있고 그리고/또는 저압 또는 진공일 수도 있다. 일부 실시예들에서, 기판은 불활성 가스의 블랭킷 하에서 이송될 수도 있다. 이하에 논의된 바와 같이, 동작 325의 이송은 환원성 플라즈마로 전처리된 기판이 기판의 현재 실질적으로 베어 금속 표면의 산화를 유발하는 조건들에 대해 감소된 노출, 또는 최소의 노출을 하거나, 노출을 하지 않고 전기 도금 셀로 이송될 수도 있도록, 동일한 장치, 또는 어느 정도 분리되지만, 그럼에도 불구하고 서로 연결되고 서로 통합된 2 개의 장치들 (플라즈마 처리 및 전기 도금) 에서 플라즈마 전처리 챔버로부터 전기 도금 셀로 발생할 수도 있다. 아무튼, 일단 도 3의 동작 325에서 이송된다면, 방법은 금속이 최종적으로 기판 표면 상에, 특히, 바람직한 실시예들에서, 현재 기판 표면 상의 베어, 실질적으로 산화되지 않은 금속 씨드 층인 층 상에 전기 도금되는 동작 330에서 종료된다.
전처리 플라즈마는 또한 환원성 가스 종으로부터 UV 복사를 생성하고 UV 복사를 포함할 수도 있다. 일부 실시예들에서, UV 광자들은 차후의 환원을 위해 금속 옥사이드 표면을 활성화시키도록 기판의 표면을 가열할 수도 있거나, 일부 경우들에서, UV 광자들은 금속 옥사이드 자체의 환원을 유발하도록 충분한 에너지를 가질 수도 있다. 따라서, 일부 실시예들에서, 환원성 가스 종의 라디칼들 또는 이온들, 환원성 가스 종 (의 중성자들, 이온들, 및/또는 라디칼들) 으로부터의 UV 복사, 또는 환원성 가스 종 자체는 금속 옥사이드를 환원시킬 수 있다.
또한, 활성화된 (이온들, 라디칼들, 등) 환원성 가스 종은 상대적으로 불활성 가스 종과 같은 혼합 가스 종과 결합될 수 있다. 상대적으로 불활성 가스 종의 예들은 질소 (N2), 헬륨 (He), 네온 (Ne), 크립톤 (Kr), 크세논 (Xe), 라돈 (Rn), 및 아르곤 (Ar) 을 포함할 수 있다. 환원성 플라즈마를 형성하기 위한 환원성 가스 종의 플로우 레이트는 프로세싱을 위해 웨이퍼의 사이즈에 따라 가변할 수 있다. 예를 들어, 상기 플로우 레이트는 단일의 450 ㎜ 웨이퍼를 프로세싱하기 위해 약 10 sccm (standard cubic centimeter per minute) 내지 약 100,000 sccm일 수도 있다. 다른 웨이퍼 사이즈들도 또한 적용할 수 있다. 예를 들어, 플라즈마를 형성하도록 사용되는 환원성 가스 종의 플로우 레이트는 단일의 300 ㎜ 웨이퍼를 프로세싱하기 위해 약 500 sccm 내지 약 30,000 sccm일 수도 있다.
온도 및 압력이 또한 환원성 플라즈마의 반응도에 영향을 주지만, 온도는 통상적으로 환원성 가스 분위기에 대한 노출 동안 금속 씨드 층의 응집을 생성하는 온도 이하로 유지된다. '770 출원에 개시된 바와 같이, 적합한 온도들 및 압력들은 다음과 같을 수도 있다: 환원 챔버의 온도는 환원성 가스 종의 라디칼들로의 해리를 허용하도록 상대적으로 높을 수 있다. 예를 들어, 환원 챔버는 어디든 약 10 내지 500 ℃, 예컨대, 약 50 내지 250 ℃일 수 있다. 보다 고온들이 금속 옥사이드 환원 반응들을 가속시키고 그리고 환원성 가스 분위기에 대한 노출의 지속기간을 단축시키도록 사용될 수도 있다. 일부 실시예들에서, 분위기 내의 산소의 존재를 최소화시키는 것이 재산화의 효과들을 감소시킬 수 있기 때문에, 환원 챔버는 환원성 가스 분위기로부터 모든 산소를 실질적으로 제거하도록 상대적으로 저압을 가질 수 있다. 예를 들어, 환원 챔버는 진공 분위기 또는 약 0.1 Torr 내지 약 50 Torr의 감소된 압력으로 펌핑 다운될 (pump down) 수 있다. 증가된 온도 및/또는 감소된 온도는 또한 보다 균일하고 연속적인 금속 씨드 층을 생성하도록 금속 씨드 층 내의 금속 원자들의 리플로우를 증가시킬 수 있다.
일부 실시예들에서, '770 출원에 기술된 바와 같이, 기판 온도는 금속 씨드 층에 대한 손상을 방지하거나 감소시키도록 전처리 챔버 온도와 별도로 제어될 수도 있다. 금속 씨드 층 내의 금속의 타입에 따라, 금속은 문턱값 온도 이상에서 응집하기 시작할 수 있다. 응집의 효과들은 상대적으로 박형 씨드 층들, 특히, 약 100 Å 미만의 두께를 가진 씨드 층들에서 보다 두드러진다. 응집은 불연속적인 금속 씨드 층을 형성하도록 비드들 (beads), 범프들 (bumps), 아일랜드들 (islands), 또는 다른 집단들로의 연속적인 또는 반-연속적인 금속 씨드 층의 임의의 합체 또는 비딩을 포함한다. 이것은 금속 씨드 층으로 하여금 상부에 배치되는 표면으로부터 벗겨지게 할 수 있고 그리고 도금 동안 증가된 보이드를 야기할 수 있다. 예를 들어, 응집이 구리에서 발생하기 시작하는 온도는 약 100 ℃ 초과이다. 상이한 응집 온도들이 상이한 금속들에 적합할 수도 있다.
일부 실시예들에서, 기판은 약 -10 ℃ 내지 약 150 ℃의 온도로 유지될 수 있다. 구리 씨드 층들에서, 예를 들어, 기판은 약 75 ℃ 내지 약 100 ℃의 온도로 유지될 수 있다. 코발트 씨드 층들에서, 기판은 약 100 ℃ 초과의 온도로 유지될 수 있다. 따라서, 전처리 챔버 내의 능동적으로 냉각된 페데스탈 및/또는 가스 플로우 냉각 장치와 같은 냉각 시스템은 기판의 국부적 영역을 응집 온도 이하의 온도들로 유지하도록 사용될 수도 있다. 실시예에 따라, 열 전달은 전도, 대류, 복사, 또는 이들의 조합들을 통해 발생할 수도 있다. 일부 실시예들에서, 냉각 유체 순환 루프는 기판을 능동적으로 냉각할 수도 있다. 냉각 피처들을 포함한 실시예들은, 2008년 2월 5일 허여된 미국 특허 제 7,327,948 호 (대리인 문서 제 NOVLP127X1 호); 2011년 1월 5일 허여된 미국 특허 제 7,941,039 호 (대리인 문서 제 NOVLP127X3 호); 2007년 5월 21일 출원된 미국 특허 출원 제 11/751,584 호 (대리인 문서 제 NOVLP127X2 호); 2012년 2월 10일 출원된 미국 특허 출원 제 13/370,579 호 (대리인 문서 제 NOVLP127C1 호); 2012년 3월 20일 허여된 미국 특허 제 8,137,465 호 (대리인 문서 제 NOVLP127 호); 2005년 5월 12일 출원된 미국 특허 출원 제 11/129,266 호 (대리인 문서 제 NOVLP361 호); 2006년 10월 10일 출원된 미국 특허 출원 제 11/546,189 호 (대리인 문서 제 NOVLP198 호); 및 2010년 3월 29일 출원된 미국 특허 출원 제 12/749,170 호 (대리인 문서 제 NOVLP361D1 호) 에 기술되고; 이들 각각은 모든 목적들을 위해 전체가 참조로 본 명세서에 인용된다.
플라즈마 전처리의 지속기간은 '770 출원에 상세히 기술된 바와 같이, 다른 프로세스 파라미터들에 따라 가변할 수도 있다. 예를 들어, 노출 지속기간은 플라즈마 전력, 온도, 등을 증가시킴으로써 단축될 수도 있다. 실시예에 따라, 적합한 지속기간들은 약 1 내지 60 분일 수도 있다. 예를 들어, 구리 씨드 층들의 전처리에 대해, 노출의 지속기간은 약 10 내지 300 초일 수도 있다.
또한, '770 출원에 기술된 바와 같이, 플라즈마 전처리는 전처리에 의해 다시 금속의 원소 (산화되지 않은) 상태로 환원되는 씨드 층의 부분에서 금속의 리플로우를 유발하는 부가적인 이점을 제공할 수도 있다. 이 리플로우 프로세스는 씨드 커버리지 및/또는 평활도를 개선하는 금속 원자들을 동원하고 재분포시킴으로써 금속 씨드 층 내의 보이드들 및 갭들을 감소시키도록 작용할 수도 있고, 이에 따라 보다 균일하고 연속적인 금속 씨드 층을 형성한다. 일부 구현예들에서, 금속 씨드 층 내의 금속은, 금속 원자들로 하여금 보다 여기된 상태에 진입하게 하고 보다 이동성 있게 되는 것으로 생각되는 (특정한 이론에 제한되지 않음), 증가된 온도, 감소된 압력 중 하나 이상에 대한 노출, 전용 UV 소스로부터의 UV 복사에 대한 노출, 환원성 플라즈마에 의해 생성된 UV 복사에 대한 노출, 및/또는 플라즈마 내의 라디칼들 및/또는 이온들에 대한 노출의 결과로서 리플로우될 수도 있다. 게다가, 이 리플로우는 한 번도 산화되지 않았던 금속 씨드 층의 부분과 통합된 막에 대한 전처리를 통해 환원된 (즉, 금속 옥사이드 층으로부터 복구된) 금속의 통합을 유발할 수도 있다. 이 막은 한 번도 산화되지 않았던 씨드 층의 하부 부분을 가진 금속 씨드 층의 윤곽에 걸쳐 실질적으로 연속적이고 컨포멀할 수도 있다. 이와 같이, 이 재생된 금속 막은 디라미네이션 등을 견디고, 이는 종종 옥사이드가 다른 기법들에 의해 제거될 때 발생하는 것으로 보인다.
컬러 센서가 구비된 플라즈마 처리 장치들
차후의 전기 도금 동작을 대비하여 반도체 기판의 금속 씨드 층의 표면 상에 있는 금속 옥사이드들을 환원시키기 위한 플라즈마 처리 장치들이 개시된다. 장치들은 내부에 적어도 하나의 프로세싱 스테이션을 갖는 프로세싱 챔버, 프로세싱 스테이션에서 기판을 홀딩하도록 구성된 기판 홀더, (프로세싱 챔버 내에서 플라즈마를 생성하고 그리고/또는 프로세싱 챔버에 플라즈마를 제공하도록 구성된) 플라즈마 생성기, 및 장치를 동작시키기 위한 비일시적인 컴퓨터-판독가능 인스트럭션들을 가진 제어기를 포함한다. 제어기의 인스트럭션들은 프로세싱 챔버 내에서 플라즈마를 생성하도록 플라즈마 생성기를 동작시키기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 인스트럭션들은 플라즈마로 하여금 (이하에 더 기술되는 바와 같이) 프로세싱 챔버로부터 원격으로 생성되게 하고 그리고 이어서 프로세싱 챔버에 제공되게 한다. 아무튼, 플라즈마 생성 (그리고 모든 관련된) 인스트럭션들의 실행은 기판의 표면 상의 금속 옥사이드들을 환원시킴으로써 기판의 표면을 처리하도록 프로세싱 스테이션에서 기판의 표면과 콘택트하는 플라즈마를 발생시킨다. 그러나, 전술한 피처들에 더하여, 본 명세서에 개시된 플라즈마 처리 장치들 (전기 도금 전처리 장치들) 은 제어기와 함께, 플라즈마 전처리 장치들의 성능의 실시간 인 시츄 추정 (그리고 일부 경우들에서, 연속적인 모니터링) 을 제공하기 위한 컬러 센서를 더 포함한다.
따라서, 제어기는 상기에 기술된 이들 동작들과 비슷한 기판 프로세싱 동작들을 위한 인스트럭션들을 실행할 수도 있다: 플라즈마가 기판의 표면 상의 금속 옥사이드들을 환원시킴으로써 기판의 표면을 처리하도록 프로세싱 스테이션에서 기판의 표면과 콘택트하도록, 프로세싱 챔버 내에서 플라즈마를 생성하고 그리고/또는 프로세싱 챔버에 플라즈마를 제공하기 위해서 플라즈마 생성기를 동작시키기 위한 인스트럭션; 플라즈마가 기판 표면과 콘택트한 후에, 표면으로부터 플라즈마-콘택트 후 컬러 신호를 측정하도록, 컬러 센서를 동작시키기 위한 인스트럭션으로서, 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는, 인스트럭션; 플라즈마-콘택트 후 컬러 신호에 기초하여 플라즈마 처리에 기인한 옥사이드 환원의 정도를 추정하기 위한 인스트럭션. 제어기에 의해 수행될 수도 있는 부가적인 동작들은 이로 제한되지 않지만, 프로세싱 챔버 내에서 프로세싱 스테이션으로 반도체 기판을 제공하는 동작, 플라즈마 생성 동작에서 리모트 플라즈마 소스를 동작시키는 동작, 플라즈마에 대한 기판의 산화된 금속 씨드 층의 노출을 제어하는 동작, 등을 포함한다.
컬러 센서는 플라즈마 처리 장치 내에서 다양한 포지션들에 포지셔닝/위치/장착될 수도 있다. 예를 들어, 일부 실시예들에서, 기판이 플라즈마 처리를 위해 프로세싱 스테이션에 (챔버 내에) 위치되는 동안 센서가 기판으로부터 컬러 신호 (또는 신호들) 를 측정하도록 구성될 수도 있도록 컬러 센서는 플라즈마 프로세싱 챔버 자체 내에 포지셔닝/위치/장착될 수도 있다. 다른 실시예들에서, 컬러 센서는 장치의 인바운드 또는 아웃바운드 로드-록들 (플라즈마 프로세싱 챔버로 그리고 플라즈마 프로세싱 챔버로부터의 기판 액세스를 제공함) 내에 포지셔닝/위치/장착될 수도 있다. 이 종류의 배치에서, 플라즈마-콘택트 후 컬러 신호 (또는 신호들) 는 아웃바운드 로드-록 내에 위치된 컬러 센서에 의해 시츄 측정될 수도 있고, 따라서 플라즈마 처리 동안 실시간은 아닐지라도, 플라즈마 전처리 유효성의 추정을 제공할 수도 있다. 부가적으로, 그러나, 또 다른 컬러 센서가 인바운드 로드-록 내에 장착된다면, 플라즈마-콘택트 전 컬러 신호는 부가적으로, 플라즈마 처리 전에 측정될 수도 있고, 따라서 플라즈마-콘택트 전 컬러 신호와 플라즈마-콘택트 후 컬러 신호의 비교는 옥사이드 제거 유효성을 평가하기 위한 전후 기준을 제공한다.
일부 실시예들에서, 컬러 센서의 하드웨어 어셈블리는 광섬유 센서 헤드 및 센서 바디를 포함할 수도 있고; 그리고 센서 바디는 컬러 신호들을 프로세싱하기 위한 컴퓨터-판독가능 인스트럭션들을 가진 컬러 신호 프로세싱 모듈을 포함할 수도 있다. 따라서 컬러 센서 포지셔닝/모니터링의 전술한 논의는 컬러 센서의 광섬유 헤드에 보다 정확히 적용된다. 이것은 다양한 도면들과 관련하여 이하에 더 기술된다. 이들 상세들 전에, 플라즈마 프로세싱 장치의 다양한 다른 양태들이 먼저 기술된다: 하나의 관심 있는 양태는 플라즈마 소스와 반도체 기판 사이에 위치되는 플라즈마 프로세싱 장치의 샤워헤드 피처이다. 일부 실시예들에서, 주로 플라즈마 내의 자유 라디칼들이 - 플라즈마 내의 이온들과 대조적으로 - 기판과 콘택트하고 그리고 기판 상의 옥사이드들을 환원시키고 그리고 플라즈마 자유 라디칼들만이 기판의 표면에 도달하도록, 이하에 더 기술되는 샤워헤드 피처가 플라즈마 이온들을 필터링하기 위해 작용할 수도 있다는 것이 바람직하다.
도 4는 플라즈마 처리 장치의 예의 단면 개략도를 도시한다. 플라즈마 처리 장치 (400) 는 단일의 기판 프로세싱 스테이션 - 기판 (410) 을 홀딩하기 위한 (페데스탈일 수도 있는) 기판 홀더 (405) 에 의해 제공되는 바와 같음 - 을 가진 프로세싱 챔버 (450) 를 포함한다. 플라즈마 처리 장치 (400) 는 또한 플라즈마를 생성하도록 구성된 플라즈마 생성기를 포함한다. 여기서, 리모트 플라즈마 소스 (440) 는 플라즈마를 원격으로 생성하고 그리고 그 후에 플라즈마를 샤워헤드 (430) (기판 (410) 과 리모트 플라즈마 소스 (440) 사이에 위치됨) 를 통해 프로세싱 챔버에 제공한다. 그러나, 다른 실시예들에서, 플라즈마 생성기는 프로세싱 챔버 자체 내로부터 플라즈마를 생성할 수도 있다.
환원성 가스 종 (420) 은 리모트 플라즈마 소스 (440) 로부터 기판 (410) 을 향하여 샤워헤드 (430) 를 통해 흐를 수 있다. 리모트 플라즈마는 환원성 가스 종 (420) 의 라디칼들을 생성하도록 리모트 플라즈마 소스 (440) 내에서 생성될 수도 있다. 리모트 플라즈마는 또한 환원성 가스 종의 다른 대전된 종 및 이온들을 생성할 수도 있다. 예를 들어, 코일들 (444) 은 리모트 플라즈마 소스 (440) 의 벽들을 둘러쌀 수도 있고 그리고 리모트 플라즈마 소스 (440) 내에서 리모트 플라즈마를 생성할 수도 있다.
리모트 플라즈마는 환원성 가스 종으로부터 광자들, 예컨대 UV 복사를 더 생성할 수도 있다. 일부 구현예들에서, 플라즈마 처리 장치는 UV 소스를 더 포함할 수 있다. UV 소스는 UV 광대역 램프들, 예컨대 수은 램프들, UV 엑시머 램프들, UV 엑시머 레이저들, 및 다른 적절한 UV 소스들을 포함할 수 있다. UV 소스의 양태들은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 2013년 3월 6일 출원된 미국 특허 출원 제 13/787,499 호 (대리인 문서 제 LAMRP027 호) 에 기술될 수 있다. 일부 구현예들에서, 환원성 가스 종은 금속 옥사이드를 환원시키도록 금속 씨드 층의 금속 옥사이드 표면과 반응할 수 있는, 환원성 가스 종의 라디칼들 및 다른 대전된 종을 형성하도록 UV 소스로부터의 UV 복사에 노출될 수 있다.
일부 실시예들에서, 코일들 (444) 은 RF (radio frequency) 전력 소스 또는 마이크로파 전력 소스와 전기 통신할 수도 있다. RF 전력 소스를 가진 리모트 플라즈마 소스 (440) 의 예는 캘리포니아 프리몬트 소재의 Lam Research Corporation에 의해 제작된 GAMMA®에서 발견될 수 있다. RF 리모트 플라즈마 소스 (440) 의 또 다른 예는 440 ㎑로 동작될 수 있고 그리고 하나 이상의 기판들을 동시에 프로세싱하기 위해 보다 큰 장치 상에 볼트 결합된 서브유닛으로서 제공될 수 있는, 매사추세츠 월밍턴 소재의 MKS Instruments에 의해 제작된 Astron®에서 발견될 수 있다. 일부 실시예들에서, 마이크로파 플라즈마는 또한 MKS Instruments에 의해 제작된 바와 같은 Astex®에서 발견되는 바와 같이, 리모트 플라즈마 소스 (440) 를 사용하여 사용될 수 있다. 마이크로파 플라즈마는 2.45 ㎓의 주파수로 동작하도록 구성될 수 있다.
RF 전력 소스를 사용하는 실시예들에서, RF 생성기는 목표된 조성의 라디칼 종의 플라즈마를 형성하도록 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 이로 제한되지 않지만, 약 0.5 ㎾ 내지 약 6 ㎾의 전력들을 포함한다. 마찬가지로, RF 생성기는 유도 결합 플라즈마에 13.56 ㎒와 같은 적합한 주파수의 RF 전력을 제공할 수도 있다.
환원성 가스 종 (420) 은 가스 유입부 (442) 로부터 그리고 리모트 플라즈마 소스 (440) 의 내부의 볼륨 내로 전달된다. 코일들 (444) 에 공급된 전력은 환원성 가스 종 (420) 의 라디칼들을 형성하도록 환원성 가스 종 (420) 을 가진 리모트 플라즈마를 생성할 수 있다. 리모트 플라즈마 소스 (440) 내에 형성된 라디칼들은 가스 상으로 기판 (410) 을 향하여 샤워헤드 (430) 를 통해 운반될 수 있다. 이러한 구성을 가진 리모트 플라즈마 소스 (440) 의 예는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 2011년 12월 27일 허여된 미국 특허 제 8,084,339 호 (대리인 문서 제 NOVLP414 호) 에 기술될 수 있다. 환원성 가스 종 (420) 의 라디칼들은 기판 (410) 의 표면 상의 금속 옥사이드들을 환원시킬 수 있다.
환원성 가스 종의 라디칼들에 더하여, 리모트 플라즈마는 또한 환원성 가스 종 (420) 의 이온들 및 다른 대전된 종을 생성하고 포함할 수 있다. 일부 실시예들에서, 리모트 플라즈마는 환원성 가스 종 (420) 의 중성 분자들을 포함할 수도 있다. 중성 분자들 중 일부는 환원성 가스 종 (420) 으로부터 대전된 종의 재결합된 분자들일 수도 있다. 환원성 가스 종 (420) 의 중성자들 또는 재결합된 분자들은 또한 기판 (410) 의 표면 상의 금속 옥사이드들을 환원시킬 수 있지만, 환원성 가스 종 (420) 의 중성자들 또는 재결합된 분자들은 환원성 가스 종 (420) 의 라디칼들보다 금속 옥사이드들과 반응하고 금속 옥사이드들을 환원시키는데 시간이 더 걸릴 수도 있다. 이온들은 기판 (410) 의 표면에서 드리프트할 수도 있고 그리고 금속 옥사이드들을 환원시킬 수도 있거나, 이온들은 기판 지지부 (405) 가 반대로 대전된 바이어스를 갖는다면 금속 옥사이드들을 환원시키도록 기판 (410) 의 표면을 향하여 가속화될 수도 있다. 보다 고 이온 에너지들을 가진 종을 갖는 것은 기판 (410) 의 표면으로부터 더 멀리 준안정된 라디칼 종을 생성하도록 금속 씨드 층 내로 보다 깊은 주입을 허용할 수 있다. 예를 들어, 기판 (410) 이 약 10:1 내지 약 60:1과 같은 고 종횡비 피처들을 갖는다면, 보다 고 이온 에너지들을 가진 이온들은 보다 피처들 전반에 걸쳐 금속 옥사이드의 환원을 제공하도록 이러한 피처들 내로 보다 깊게 침투할 수도 있다. 대조적으로, 리모트 플라즈마 생성으로부터 환원성 가스 종 (420) 의 라디칼들의 일부는 필드에서 또는 피처들의 상단 근방에서 재결합될 수도 있다. 보다 고 이온 에너지들 (예컨대, 10 eV 내지 100 eV) 을 가진 이온들은 또한 금속 씨드 층 내의 금속을 리스퍼터링하고 리플로우하도록 사용될 수 있고, 이는 보다 균일한 씨드 커버리지를 발생시킬 수 있고 그리고 차후의 도금 또는 금속 증착 (예컨대, PVD, CVD, ALD) 을 위해 종횡비를 감소시킬 수 있다.
도 4에서, 플라즈마 처리 장치 (400) 는 능동적으로 냉각하거나 그렇지 않으면 기판 (410) 의 온도를 제어할 수도 있다. 일부 실시예들에서, 프로세싱 동안 리모트 플라즈마에 대한 노출의 균일성 및 환원 반응의 레이트를 제어하도록 기판 (410) 의 온도를 제어하는 것이 바람직할 수도 있다. 또한 프로세싱 전, 프로세싱 동안, 그리고/또는 프로세싱 후 기판 (410) 상의 산화의 영향들을 감소시키도록 기판 (410) 의 온도를 제어하는 것이 바람직할 수도 있다.
일부 실시예들에서, 플라즈마 처리 장치 (400) 는 기판 (410) 을 기판 지지부 (405) 로부터 이격되게 또는 기판 지지부 (405) 를 향하여 이동시킬 수 있는 리프트 핀들과 같은 이동식 부재들 (415) 을 포함할 수 있다. 이동식 부재들 (415) 은 기판 (410) 의 하부 표면과 콘택트할 수도 있거나 그렇지 않으면 기판 지지부 (405) 로부터 기판 (410) 을 픽업할 (pick up) 수도 있다. 일부 실시예들에서, 이동식 부재들 (415) 은 기판 (410) 을 수직으로 이동시킬 수도 있고 그리고 기판 (410) 과 기판 지지부 (405) 사이의 공간을 제어할 수도 있다. 일부 실시예들에서, 이동식 부재들 (415) 은 2 개 이상의 액추에이팅 가능한 (actuatable) 리프트 핀들을 포함할 수 있다. 이동식 부재들 (415) 은 기판 지지부 (405) 로부터 이격되어 약 0 인치 내지 약 5 인치, 또는 그 이상으로 연장하도록 구성될 수 있다. 이동식 부재들 (415) 은 기판 (410) 을 냉각시키도록 고온 기판 지지부 (405) 로부터 이격되게 그리고 냉각 샤워헤드 (430) 를 향하여 기판 (410) 을 가져오도록 연장될 수 있다. 이동식 부재들 (415) 은 또한 기판 (410) 을 가열시키도록 고온 기판 지지부 (405) 를 향하여 그리고 냉각 샤워헤드 (430) 로부터 이격되게 기판 (410) 을 가져오도록 철회될 수 있다. 기판 (410) 을 이동식 부재들 (415) 을 통해 포지셔닝함으로써, 기판 (410) 의 온도가 조정될 수 있다. 기판 (410) 을 포지셔닝할 때, 샤워헤드 (430) 및 기판 지지부 (405) 는 일정한 온도로 홀딩될 수 있다.
일부 실시예들에서, 플라즈마 처리 장치 (400) 는 샤워헤드 온도의 제어를 허용하는 샤워헤드 (430) 를 포함할 수 있다. 온도 제어를 허용하는 샤워헤드 구성의 예는, 2012년 3월 20일 허여된 미국 특허 제 8,137,467 호 (대리인 문서 제 NOVLP246 호), 및 2009년 4월 16일 공개된 미국 특허 공개 제 2009/0095220 호 (대리인 문서 제 NOVLP246X1 호) 에 기술될 수 있고, 양자는 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용된다. 온도 제어를 허용하는 샤워헤드 구성의 또 다른 예는, 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 2011년 6월 23일 공개된 미국 특허 공개 제 2011/0146571 호 (대리인 문서 제 NOVLP329 호) 에 기술될 수 있다. 샤워헤드 (430) 의 능동 냉각을 허용하도록, 미시간 미들랜드 소재의 Dow Chemical Company에 의해 제작된 탈이온수 또는 열 전달 액체와 같은 열 교환 유체가 사용될 수도 있다. 일부 실시예들에서, 열 교환 유체는 샤워헤드 (430) 내의 유체 채널들 (미도시) 을 통해 흐를 수도 있다. 또한, 샤워헤드 (430) 는 온도를 제어하도록 유체 가열기/냉각기와 같은 열 교환기 시스템 (미도시) 을 사용할 수도 있다. 일부 실시예들에서, 샤워헤드 (430) 의 온도는 약 30 ℃ 이하, 예컨대, 약 5 ℃ 내지 약 20 ℃로 제어될 수도 있다. 샤워헤드 (430) 는 기판 (410) 의 프로세싱 동안 과잉의 열로부터 발생할 수도 있는 금속 씨드 층에 대한 손상을 감소시키도록 냉각될 수도 있다. 샤워헤드 (430) 는 또한 기판 (410) 을 프로세싱하기 전 그리고 기판 (410) 을 프로세싱한 후와 같이, 기판 (410) 의 온도를 낮추도록 냉각될 수도 있다.
일부 실시예들에서, 샤워헤드 (430) 는 복수의 홀들을 포함할 수도 있다. 샤워헤드 (430) 내의 홀들의 사이즈 및 수를 증가시키는 것 및/또는 샤워헤드 (430) 의 두께를 감소시키는 것은 샤워헤드 (430) 를 통한 환원성 가스 종 (420) 으로부터의 라디칼들, 이온들, 및 UV 복사의 보다 큰 플로우를 허용할 수도 있다. 보다 많은 라디칼들, 이온들, 및 UV 복사에 금속 씨드 층을 노출시키는 것은 금속 씨드 층 내의 금속 옥사이드를 환원시키도록 보다 많은 UV 노출 및 에너제틱한 (energetic) 종을 제공할 수 있다. 일부 실시예들에서, 샤워헤드 (430) 는 약 100 내지 약 900 개의 홀들을 포함할 수 있다. 일부 실시예들에서, 홀들의 평균 직경은 약 0.05 내지 약 0.5 인치일 수 있다. 이것은 약 3.7 % 내지 약 25 %의 홀들에 기인한 샤워헤드 (430) 내의 개방 영역을 발생시킬 수 있다. 일부 실시예들에서, 샤워헤드 (430) 는 약 0.25 내지 약 3.0 인치의 두께를 가질 수 있다.
일부 실시예들에서, 기판 지지부 (405) 는 샤워헤드 (430) 로 그리고 샤워헤드 (430) 로부터 이격되어 이동하도록 구성될 수도 있다. 기판 지지부 (405) 는 기판 (410) 과 샤워헤드 (430) 사이의 공간을 제어하도록 수직으로 연장할 수도 있다. 기판 (410) 상의 금속 옥사이드들을 환원시킬 때, 균일성뿐만 아니라 기판 (410) 의 환원 레이트가 튜닝될 수도 있다. 예를 들어, 기판 지지부 (405) 가 샤워헤드 (430) 에 보다 가깝다면, 기판 (410) 의 표면 상의 금속 옥사이드의 환원은 보다 빠르게 진행될 수도 있다. 그러나, 기판 (410) 의 중심은 기판 (410) 의 에지들보다 고온일 수도 있고, 이는 보다 덜 균일한 환원 처리를 발생시킬 수 있다. 따라서, 기판 (410) 과 샤워헤드 (430) 사이의 공간은 기판 (410) 을 프로세싱하기 위해 목표된 레이트 및 균일성을 획득하도록 조정될 수 있다. 일부 실시예들에서, 기판 지지부 (405) 는 샤워헤드 (430) 로부터, 약 0 인치 내지 약 5 인치, 또는 약 5 인치보다 길게 연장하도록 구성될 수 있다.
일부 실시예들에서, 기판 지지부 (405) 의 온도가 또한 조정될 수도 있다. 일부 실시예들에서, 기판 지지부 (405) 는 하나 이상의 유체 채널들 (미도시) 을 가진 페데스탈일 수 있다. 유체 채널들은 열 전달 유체의 온도에 따라, 페데스탈을 능동적으로 냉각하거나 능동적으로 가열하도록 페데스탈 내의 열 전달 유체를 순환시킬 수도 있다. 이러한 유체 채널들 및 열 전달 유체들을 포함하는 실시예들은 본 명세서에 앞서 논의된 능동적으로 냉각된 페데스탈 시스템들에서 기술될 수 있다. 하나 이상의 유체 채널들을 통한 열 전달 유체의 순환은 기판 지지부 (405) 의 온도를 제어할 수 있다. 기판 지지부 (405) 의 온도 제어는 보다 미세한 정도로 기판 (410) 의 온도를 제어할 수 있다. 일부 실시예들에서, 기판 지지부 (405) 의 온도는 약 0 ℃ 내지 약 400 ℃이도록 조정될 수 있다.
일부 실시예들에서, 플라즈마 처리 장치 (400) 는 냉각 가스 (460) 를 프로세싱 챔버 (450) 를 통해 흘리도록 하나 이상의 가스 유입부들 (422) 을 포함할 수 있다. 하나 이상의 가스 유입부들 (422) 은 기판 (410) 의 위, 아래, 그리고/또는 측면에 포지셔닝될 수도 있다. 하나 이상의 가스 유입부들 (422) 중 일부는 냉각 가스 (460) 를 기판 (410) 의 표면에 실질적으로 수직인 방향으로 흘리도록 구성될 수도 있다. 일부 실시예들에서, 가스 유입부들 (422) 중 적어도 하나는 냉각 가스 (460) 를 샤워헤드 (430) 를 통해 기판 (410) 으로 전달할 수도 있다. 하나 이상의 가스 유입부들 (422) 중 일부는 기판 (410) 의 평면에 평행할 수도 있고, 그리고 기판 (410) 의 표면에 걸쳐 냉각 가스 (460) 의 교차-플로우를 전달하도록 구성될 수도 있다. 일부 실시예들에서, 하나 이상의 가스 유입부들 (422) 은 냉각 가스 (460) 를 기판 (410) 위로 그리고 기판 (410) 아래로 전달할 수도 있다. 기판 (410) 에 걸친 냉각 가스 (460) 의 플로우는 기판 (410) 의 신속한 냉각을 인에이블할 수 있다. 기판 (410) 의 신속한 냉각은 기판 (410) 내의 금속 씨드 층의 산화를 감소시킬 수 있다. 기판 (410) 의 이러한 냉각은 기판 (410) 의 프로세싱 전 그리고 기판 (410) 의 프로세싱 후에 발생할 수도 있다. 냉각을 위한 냉각 가스 (460) 의 플로우 레이트는 약 0.1 slm (standard liters per minute) 내지 약 100 slm일 수 있다.
냉각 가스 (460) 의 예들은 질소, 헬륨, 네온, 크립톤, 크세논, 라돈, 및 아르곤과 같은 상대적으로 불활성 가스를 포함할 수 있다. 일부 실시예들에서, 냉각 가스 (460) 는 질소, 헬륨, 및 아르곤 중 적어도 하나를 포함할 수 있다.
일부 실시예들에서, 냉각 가스 (460) 는 약 10 ℃ 내지 약 30 ℃와 같은 상온에서 전달될 수 있다. 일부 실시예들에서, 냉각 가스 (460) 는 상온 미만의 온도에서 전달될 수 있다. 예를 들어, 저온 불활성 가스는 저온 액체를 가스, 예컨대, 액체 아르곤, 헬륨, 또는 질소로 팽창시킴으로써 형성될 수도 있다. 따라서, 냉각을 위해 사용되는 냉각 가스 (460) 의 온도 범위는 어디든 약 -270 ℃ 내지 약 30 ℃이도록 넓어질 수 있다.
일부 실시예들에서, 플라즈마 처리 장치 (400) 는 전기 도금 장치 (미도시) 의 일부이거나 전기 도금 장치와 통합될 수도 있다. 기판 (410) 내의 금속 씨드 층의 산화는 대기 조건들에 대한 노출 동안 신속하게 발생할 수 있다. 전기 도금 장치에 플라즈마 처리 장치 (400) 를 부착하거나 그렇지 않으면 연결함으로써, 기판 (410) 의 대기 조건들에 대한 노출의 지속기간이 감소될 수 있다. 예를 들어, 처리 후의 플라즈마 처리 장치와 전기 도금 장치 사이의 이송 기간은 약 15 초 내지 약 90 초, 또는 약 15 초 미만일 수 있다.
표 1은 플라즈마 처리 장치 (400) 의 특정한 실시예들을 사용하여 사용될 수 있는 프로세스 파라미터들의 예시적인 범위들을 요약한다.
파라미터 파라미터 범위
페데스탈 온도 0 ℃ 내지 400 ℃
샤워헤드 온도 5 ℃ 내지 30 ℃
페데스탈의 하강 수직 이동 0" 내지 5"
리프트 핀들의 상승 수직 이동 0" 내지 5"
냉각 가스 플로우
(N2/Ar/He - 순수 또는 혼합물)
0.1 내지 100 slm
냉각 가스 온도 -270 ℃ 내지 30 ℃
프로세스 가스 플로우
(H2/He/NH3 - 순수 또는 혼합물)
0.5 slm 내지 30 slm
프로세스 압력 0.5 내지 6 Torr
벤팅 가스 플로우 냉각 가스와 명목상 동일함
벤팅 가스 냉각 가스와 명목상 동일함
RF 플라즈마 전력 0.5 내지 6 ㎾
플라즈마 처리 장치 대 전기도금 장치 이송 시간 15 내지 90 초
샤워헤드 홀 수 100 개 내지 900 개
샤워헤드 두께 0.25" 내지 3.0"
샤워헤드 홀 직경 0.05" 내지 0.5"
홀들에 기인한 샤워헤드 개방 영역 3.7 % 내지 25 %
제어기 (435) 는 플라즈마 처리 장치 (400) 의 동작을 위한 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (435) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 플라즈마 처리 장치는 리모트 플라즈마를 사용하여 기판을 처리하는 것에 제한되는 복수의 동작들을 수행하도록 구성될 수 있다. 플라즈마 처리 장치는 기판을 효율적으로 전기 도금 장치, 무전해 도금 장치, 또는 다른 금속 증착 장치로 그리고 전기 도금 장치, 무전해 도금 장치, 또는 다른 금속 증착 장치로부터 이송 (예컨대, 로딩/언로딩) 하도록 구성될 수 있다. 플라즈마 처리 장치는 이동식 부재들을 사용하여 그리고/또는 기판 지지부를 사용하여 기판을 포지셔닝함으로써 기판의 온도를 효율적으로 제어하도록 구성될 수 있다. 플라즈마 처리 장치는 기판 지지부의 온도 및 샤워헤드의 온도를 제어함으로써 기판의 온도를 효율적으로 제어하도록 구성될 수 있다. 플라즈마 처리 장치는 샤워헤드에 대해 기판 지지부를 포지셔닝함으로써 환원 반응의 레이트 및 환원 반응의 균일성을 튜닝하도록 구성될 수 있다. 플라즈마 처리 장치는 프로세싱 챔버 내로 전달된 가스들의 플로우 레이트들 및 가스들을 제어함으로써 기판을 둘러싼 환경 조건들을 제어하도록 구성될 수 있다. 이러한 동작들은 또한 부가적인 동작들을 단일의 독립형 장치와 통합하는 동안 기판의 프로세싱을 개선할 수 있다. 따라서, 2 개의 개별적인 모듈들을 사용하기보다는 단일의 장치가 기판을 처리하고 냉각하기 위해 사용될 수 있다. 게다가, 플라즈마 처리 장치를 상기에 기술된 동작들 중 일부를 수행할 수 있게 구성함으로써, 플라즈마 처리 장치는 기판의 프로세싱 전, 기판의 프로세싱 동안, 그리고 기판의 프로세싱 후에 금속 씨드 층의 잠재적인 산화를 감소시킬 수 있다.
도 4는 단일의 프로세싱 스테이션을 가진 플라즈마 처리 장치를 예시한다. 그러나, 플라즈마 처리 장치들은 복수의 기판들이 동시에 프로세싱될 수도 있도록 복수의 프로세싱 스테이션들을 가질 수도 있다. 실시예에 따라, 프로세싱 스테이션들 중 하나 이상은 컬러 신호를 측정하도록 따라서 옥사이드 제거 프로세스 동안 시츄로 그리고 실시간으로 옥사이드 제거 성능을 평가하도록 포지셔닝된/장착된 컬러 센서를 가질 수도 있다. 도 5a는 개략적으로 4 개의 프로세싱 스테이션들 (541 내지 544) 을 가진 이러한 멀티-스테이션 플라즈마 처리 장치 (500) 를 예시한다. 이 실시예에서, 단일의 프로세싱 챔버 (510) 는 모든 4 개의 스테이션들을 위한 고진공 분위기를 형성하지만, 특정한 시나리오들에서, 가스의 커튼들 (curtain) 은 가스의 커튼들을 서로 (적어도 특정한 정도로) 체적적으로 (volumetrically) 분리시키도록 상이한 프로세싱 스테이션들 사이에서 흐를 수도 있다. 게다가, 이 실시예는 상부에 기판들이 포지셔닝되고 4 개의 프로세싱 스테이션들 사이에서 기판들을 로테이팅시키도록 기능할 수도 있는 캐로절 (520) 을 채용한다. 따라서, 실시예에 따라, 4 개의 프로세싱 스테이션들은 동일하거나 상이한 프로세싱 동작들을 수행할 수도 있다. 게다가, 동일한 프로세싱 동작 (또는 동작들) 이 4 개의 스테이션들 각각에서 수행될지라도, 프로세싱의 모든 시스템적인 차들을 4 개의 스테이션들에 걸쳐 "평균을 내도록 (averaged out)" 모든 4 개의 프로세싱 스테이션들을 통해 반도체 기판들을 로테이팅시키는 것이 여전히 유리할 수도 있다.
기판들은 인바운드 로드-록 (531) 을 통해 프로세싱 장치 (500) 에 진입하고 그리고 아웃바운드 로드-록 (532) 을 통해 나간다. 로드-록들의 동작으로, 장치로부터의 반도체 기판들의 진입 및 제거가 프로세싱 챔버 (510) 에 의해 제공된 고압 진공 분위기를 파괴하지 않고 성취될 수도 있다. 특히, 도면에 도시된 실시예에서, 이것은 기판들을 로드-록들 (531 및 532) 내에 배치한 후 (프로세싱 챔버에 이어지는) 로드-록 시일들 (533 및 534) 을 폐쇄하고, 그리고 그 후 (장치 외부의 대기 환경으로 이어지는) 로드-록 도어들 (535 및 536) 를 개방함으로써 행해질 수도 있다.
장치 (500) 내에서, 기판들은 웨이퍼 핸들러 로봇 (540) 을 통해 인바운드 및 아웃바운드 로드-록들 (531 및 532) 로 그리고 인바운드 및 아웃바운드 로드-록들 (531 및 532) 로부터 이송된다. 이 실시예에서, 로봇 (540) 은 단지 로봇 (540) 에 가장 가까운 프로세싱 스테이션들, 프로세싱 스테이션들 (541 및 542) 로 웨이퍼들을 이송한다는 것을 주의하라. 다른 2 개의 프로세싱 스테이션들 (543 및 544) 은 캐로절 (520) 의 로테이션을 통해 액세스된다. 부가적인 시일들 (561 및 562) 은 프로세싱 챔버 (510) 에 웨이퍼 핸들러 로봇 (540) 액세스를 제공할 수도 있다.
컬러 센서들은 다양한 위치들에서 플라즈마 처리 장치 (500) 내에 포지셔닝/장착/위치될 수도 있다. 도 5a에 예시된 바와 같이, 컬러 센서 (595) 는 프로세싱 스테이션 (541) 에 위치된 것으로 도시된다. 캐로절을 통한 프로세싱 스테이션들 사이의 기판들의 로테이션은 단지 프로세싱 챔버 내에 단일의 컬러 센서를 갖는 것을 가능하게 만들 수도 있다. 도 5a는 또한 인바운드 로드-록 및 아웃바운드 로드-록 각각 내에 위치된 컬러 센서들 (591 및 592) 을 가진 장치 (500) 를 도시한다. 상기에 기술된 바와 같이, 이것은 플라즈마 전처리 프로세스 전 및 플라즈마 전처리 프로세스 후에 컬러 신호들을 측정하는 능력을 제공한다.
도 5b 내지 도 5d는 로드-록 내에 컬러 센서를 장착하는 보다 상세한 도면들을 제공한다. 특히, 도 5b는 컬러 센서 (596) 를 가진 로드-록 (530) 을 도시하고 따라서 도 5a의 아웃바운드 로드-록 (532) 에 대응할 수 있다. 도 5c는 도 5b의 파선 (505) 에 의해 나타낸 바와 같은 수직 단면을 도시한다 (위에서 본 로드-록을 나타낸 도 5a 및 도 5b와 대조적임). 도 5c에 도시된 수직 단면은, 컬러 센서의 포지셔닝을 도시하고, 보다 구체적으로 실제의 컬러 검출 전자 장치를 홀딩하는 컬러 센서 바디 (596C) (상기에 언급된 바와 같음) 로서 지칭될 수 있는 것으로 종결되는 단일의 케이블 하우징 (596B) 에 함께 묶인 하나 이상의 광섬유 선들에 커플링된 광섬유 센서 헤드 (596A) 를 이 특정한 컬러 센서의 하드웨어 어셈블리가 포함한다는 것을 도시한다. 일부 실시예들에서, 센서 바디 (596C) 는 부가적으로 기본적인 검출 (예를 들어, 캘리브레이션, 콘트라스트 (contrast) 향상, 등) 을 넘어 (광섬유 센서 헤드로부터 전송된) 컬러 신호들을 프로세싱하기 위한 로직 및/또는 컴퓨터-판독가능 인스트럭션들을 가진 컬러 신호 프로세싱 모듈을 포함할 수도 있다. 그러므로, 컬러 센서 포지셔닝/장착의 전술한 논의는 전체 컬러 센서보다는 컬러 센서의 광섬유 헤드에 보다 정밀하게 적용된다는 것을 주의하라. 도 2에 예시된 바와 같이 3 개의 a*, b*, 및 L* 컬러 컴포넌트들을 측정하는 플라즈마 처리 장치와 통합된 이러한 컬러 센서의 예는 독일의 Micro-Epsilon에 의해 제작된 Micro-Epsilon 모델 컬러 센서이다.
도 5c는 컬러 센서 (596), 또는 보다 정확하게 컬러 센서의 광섬유 헤드 (596A) 가 로드-록 (530) 내의 웨이퍼 (507) 의 에지를 가리키는 것을 예시한다. 컬러 센서가 웨이퍼의 중심 이외의 웨이퍼의 구역 상에 트레이닝되기 (trained) 때문에, 원칙적으로, 웨이퍼의 로테이션은 복수의 컬러 신호들로 하여금 웨이퍼 표면 상의 복수의 방위각으로 회전된 지점들에서 측정되게 할 수도 있다는 것을 주의하라. 이것은 회전 가능한 기판 홀더가 잠재적으로 위치되는 곳에 따라, 센서가 로드-록 대신에 프로세싱 챔버 내에 장착될 때 보다 실현 가능할 수도 있다. 복수의 방위각으로 분포된 컬러 신호 측정들이 물론 요구되지 않지만, 복수의 방위각으로 분포된 컬러 신호 측정들은 전체 웨이퍼 주변 주위에서 옥사이드 제거 성능을 확인함으로써 향상된 정확도 및 정밀도를 제공할 수도 있다.
도 5d는 도 5c의 파선 타원 (506) 에 의해 나타낸 구역을 확대할 때의 또 다른 수직 단면을 나타낸다. 여기서, 광섬유 컬러 센서 헤드 (596A) 는 로드-록 (530) 의 상부 벽에 놓이는 장착부 (598) 내에 위치되는 것으로 상세히 도시된다. 반투명한 석영 윈도우 (597) 는 기판 (507) (도 5c) 으로부터 다시 위로 반사된 광으로 하여금 그 장착부 (598) 내의 컬러 센서 (596) 에 도달하게 한다.
일부 실시예들에서, 컬러 센서는 부가적으로 기판 표면을 조사하기 위한 광 소스를 포함할 수도 있다. 이것은 광섬유들을 통해서 이루어질 수 있고; 즉, 케이블 (596B) 에 함께 묶인 하나 이상의 광섬유 선들은 생성된 광을 센서 바디 (596C) 로부터, 광이 방출되고 기판을 향하여 지향되는 센서 헤드 (596A) 로 전송할 수도 있다. 통상적으로, 실질적으로 백광이 사용되고 그리고 기판 표면 상의 상대적으로 작은 지점 상에 트레이닝된다. 기판 표면에 대해 90 도로 그리고 기판 표면에 가까이에 (도 5c에 도시된 바와 같음) 포지셔닝된 센서 헤드를 사용하여, 반사된 광은 센서 헤드 (596A) 에 의해 수집되고, 그리고 케이블 (596B) 을 통해 컬러 센서의 바디 (596C) 에 위치된 실제의 전자 컬러 검출기로 다시 반송된다. 물론, 컬러 센서의 물리적 구성이 도 5c에 개략적으로 도시된 바와 같이 메인 바디, 케이블 하우징, 및 광섬유 센서 헤드를 포함하는 복수의 물리적 유닛들을 포함하는지 여부, 또는 컬러 센서가 단일의 물리적 유닛으로서 구성되는지의 여부는 본 명세서에 개시된 발명의 개념들의 동작 및 기능에 중요하지 않다.
일부 실시예들에서, 플라즈마 처리 장치의 하나 이상의 컬러 센서들은 신호들을 장치의 제어기 (예를 들어, 도 5의 제어기 (550)) 로 (전자적으로) 전송하도록 구성된다. 제어기는 신호들을 수신하고 신호들을 프로세싱하도록 구성될 수도 있고, 플라즈마 처리 후 (또는 플라즈마 처리 동안) 옥사이드 환원의 정도를 추정하기 위해 본 명세서에 기술된 컬러 신호 분석 방법론들 중 임의의 방법론을 구현한다. 그러나, 일부 실시예들에서 컬러 센서 자체 내의 컬러 신호 프로세싱 모듈은 옥사이드 환원의 정도를 평가하기 위해서 본 명세서에 개시된 방법론들을 구현하도록 충분한 프로세싱 전력을 가질 수도 있다. 이러한 종류들의 실시예들에서, 컬러 센서는 플라즈마 처리 프로세스에서 문제가 검출될 때 메인 장치 제어기를 시그널링하기 위한 로직을 포함할 수도 있다.
도 6a는 금속 씨드 층을 가진 기판을 처리하는 방법을 예시하는 예시적인 흐름도를 도시한다. 도 7a 내지 도 7d는 플라즈마 처리 장치를 사용하여 금속 씨드 층을 가진 기판을 처리하는 다양한 스테이지들을 예시하는 단면 개략도들의 예들을 도시한다. 도 6a에서 논의된 단계들 중 일부는 도 7a 내지 도 7d의 대응하는 단면 개략도에 대해 논의될 수도 있다.
도 6a에서, 프로세스 600a는 기판이 프로세싱 챔버 내에 제공되는 단계 605a로 시작될 수 있다. 기판은 금속 씨드 층을 포함할 수 있고, 여기서 금속 씨드 층의 일부분은 금속의 옥사이드로 변환된다. 리모트 플라즈마에 의한 기판의 처리 전에, 기판은 플라즈마 처리 장치의 프로세싱 챔버 내로 로딩될 수 있다. 일부 실시예들에서, 기판은 액추에이팅된 포지션에서 하나 이상의 이동식 부재들 상에 제공될 수 있다. 일부 실시예들에서, 불활성 가스는 로딩 동안 기판을 냉각하도록 프로세싱 챔버를 통해 흐를 수도 있다. 이것은 로딩 동안 기판의 부가적인 산화를 감소시킬 수 있다. 일부 실시예들에서, 기판을 프로세싱 챔버 내로 로딩할시, 프로세싱 챔버는 폐쇄될 수 있고 그리고 진공 또는 감소된 압력으로 펌핑 다운될 수 있다. 이것은 실질적으로 산소가 없는 환경을 제공할 수 있다. 프로세싱 챔버의 압력은 약 0.5 Torr 내지 약 6 Torr, 예컨대, 약 0.5 Torr 내지 3 Torr일 수 있다. 감소된 압력들은 환경 내의 산소의 존재를 감소시킬 수 있다. 따라서, 이러한 조건들에서 기판을 프로세싱 챔버 내로 로딩하는 것은 금속 씨드 층의 부가적인 산화를 감소시킬 수 있다.
도 7a는 금속 씨드 층을 가진 기판을 처리하는 스테이지들 중 일 스테이지 (예컨대, 단계 605a) 에서의 플라즈마 처리 장치 (700) 의 단면 개략도의 예를 도시한다. 플라즈마 처리 장치 (700) 는 프로세싱 챔버 (750) 내의 기판 지지부 (705), 기판 지지부 (705) 위의 리모트 플라즈마 소스 (740), 및 리모트 플라즈마 소스 (740) 와 기판 지지부 (705) 사이의 샤워헤드 (730) 를 포함한다. 이동식 부재들 (715) 은 기판 (710) 을 포지셔닝하도록 기판 지지부 (705) 로부터 샤워헤드 (730) 를 향하여 연장할 수도 있다. 이동식 부재들의 예들은 리프트 핀들 및 주변 그립들을 포함할 수 있다. 기판 (710) 은 금속 씨드 층을 포함할 수도 있고, 여기서 금속 씨드 층은 Cu, Co, Ru, Pd, Rh, Ir, Os, Ni, Au, Ag, Al, 및 W 중 적어도 하나를 포함한다. 일부 실시예들에서, 금속 씨드 층의 두께는 약 100 Å 미만일 수 있다.
도 7a에서, 프로세싱 챔버 (750) 내의 이동식 부재들 (715) 은 액추에이팅된 포지션에 기판 (710) 을 포지셔닝할 수도 있다. 액추에이팅된 포지션은 액추에이팅되지 않은 포지션 (예컨대, 도 7b에 예시됨) 보다 샤워헤드 (730) 에 보다 가까운 거리 A1에 기판 (710) 을 배치할 수 있다. 액추에이팅된 포지션에서, 기판 (710) 과 샤워헤드 (730) 사이의 거리 A1은 약 0.05 인치 내지 약 0.75 인치일 수 있다. 기판 (710) 과 기판 지지부 (705) 사이의 거리 B1은 임의의 목표된 거리일 수 있다. 예를 들어, 거리 B1은 약 1 인치 초과, 예컨대, 약 1 인치 내지 약 5 인치일 수 있다. 샤워헤드 (730) 는 상대적으로 저온, 예컨대, 약 30 ℃ 미만의 온도로 유지될 수 있다.
도 6a를 다시 참조하면, 단계 610a에서, 기판은 프로세싱 챔버 내에서 기판 지지부를 향해 이동된다. 일부 실시예들에서, 기판은 이동식 부재들을 통해 액추에이팅되지 않은 포지션으로 이동될 수 있다. 액추에이팅되지 않은 포지션은 액추에이팅된 포지션보다 프로세싱 챔버 내에서 샤워헤드로부터 더 떨어져 있다. 일부 실시예들에서, 액추에이팅되지 않은 포지션의 기판은 기판 지지부와 콘택트할 수도 있다. 예를 들어, 이동식 부재들은 기판이 기판 지지부 상에 놓일 수 있도록 철회될 수도 있다. 일부 실시예들에서, 갭은 기판 지지부와 기판 사이에 존재할 수 있고, 그리고 열 전달은 전도, 대류, 복사, 또는 이들의 조합들을 통해 발생할 수 있다. 기판 지지부는 가열될 수 있고, 이는 결국 기판을 가열할 수 있다. 기판 지지부는 프로세싱 온도, 예컨대, 약 0 ℃ 내지 약 400 ℃의 온도로 가열될 수도 있다. 기판 지지부의 온도는 기판의 금속 씨드 층에 따라 결정될 수 있다. 예를 들어, 기판 지지부는 코발트에 대해 약 250 ℃ 내지 약 300 ℃, 그리고 구리에 대해 약 75 ℃ 내지 약 100 ℃로 가열될 수 있다. 기판의 보다 고온들은 금속 옥사이드 환원 반응들을 가속할 수 있다. 그러나, 온도는 금속 씨드 층의 응집 온도를 초과하지 않도록 선택될 수도 있다. 기판이 가열될 때, 기판은 리모트 플라즈마 처리에 노출될 수도 있다.
도 7b는 씨드 층을 가진 기판을 처리하는 스테이지들 중 일 스테이지 (예컨대, 단계 610a) 에서의 플라즈마 처리 장치 (700) 의 단면 개략도의 예를 도시한다. 플라즈마 처리 장치 (700) 는 기판 지지부 (705) 위의 기판 (710) 을 포함하고, 여기서 기판 (710) 은 액추에이팅되지 않은 포지션에 있다. 액추에이팅되지 않은 포지션에서, 기판 (710) 은 샤워헤드 (730) 로부터 거리 A2에 포지셔닝되고 그리고 액추에이팅된 포지션에서보다 샤워헤드 (730) 로부터 더 멀리 이격된다. 샤워헤드 (730) 와 기판 (710) 사이의 거리 A2는 약 1 인치 초과, 예컨대, 약 1 인치 내지 약 5 인치일 수 있다. 기판 (710) 과 기판 지지부 (705) 는 서로 콘택트할 수 있거나, 기판 (710) 과 기판 지지부 (705) 사이의 거리 B2는 기판 (710) 과 기판 지지부 (705) 사이의 효율적인 열 전달을 허용하도록 상대적으로 작을 수 있다. 일부 실시예들에서, 거리 B2는 약 0 인치 내지 약 0.5 인치일 수 있다. 일부 실시예들에서, 이동식 부재들 (715) 은 기판 (710) 이 기판 지지부 (705) 상에 놓이도록 철회될 수 있다. 기판 지지부 (705) 는 기판 지지부 (705) 를 수직으로 이동시킴으로써 샤워헤드 (730) 에 대해 기판 (710) 을 포지셔닝할 수 있다. 샤워헤드 (730) 는 상대적으로 저온, 예컨대, 약 30 ℃ 미만의 온도로 유지될 수 있다.
거리 A2는 기판의 프로세싱 동안 조정될 수 있고 그리고 반응 레이트 및 반응의 균일성을 튜닝할 수 있다. 예를 들어, 기판 지지부 (705) 가 샤워헤드 (730) 에 보다 가까운 경우에, 환원 레이트는 보다 빠르게 진행되지만 보다 덜 균일한 결과들을 달성할 수도 있다. 거리 A2는 기판 지지부 (705) 의 수직 이동에 의해 조정될 수 있다. 일부 실시예들에서, 기판 지지부 (705) 는 프로세싱 챔버 내에서 제 1 포지션으로부터 제 2 포지션으로 이동할 수도 있고, 여기서 제 1 포지션과 제 2 포지션 사이의 거리는 약 1 인치 초과이다. 기판 지지부 (705) 를 포지셔닝하기 위한 증가된 자유도는 차후의 환원 처리의 레이트 및 균일성을 튜닝함에 있어서 보다 큰 융통성을 제공한다.
도 6a를 다시 참조하면, 단계 615a에서, 리모트 플라즈마 소스 내에서 환원성 가스 종의 리모트 플라즈마가 형성될 수 있고, 여기서 리모트 플라즈마는 환원성 가스 종의 라디칼들을 포함한다. 리모트 플라즈마는 환원성 가스 종을 에너지 소스에 노출시킴으로써 형성될 수 있다. 에너지 소스는 기판을 향해 흐를 수 있는 라디칼들, 이온들, 및 다른 대전된 종을 생성할 수 있다. 일부 실시예들에서, 에너지 소스는 RF 방전부일 수 있다. 리모트 플라즈마가 형성될 때, 기판은 목표된 프로세싱 온도로 가열될 수 있거나 이미 목표된 프로세싱 온도로 가열되어 있다. 일부 실시예들에서, 샤워헤드는 리모트 플라즈마 소스에 연결되고 그리고 환원성 가스 종의 라디칼들이 프로세싱 챔버 내에서 기판을 향해 흐를 수 있도록 이온들을 필터링한다.
단계 620a에서, 기판의 금속 씨드 층은 환원성 가스 종의 라디칼들에 노출된다. 금속 씨드 층의 일부분은 금속 씨드 층의 옥사이드를 포함할 수 있다. 리모트 플라즈마 내에 형성된 이온들, 라디칼들, 및 다른 대전된 종은 샤워헤드를 통해 흐르고, 그리고 이온들 및 다른 대전된 종은 기판이 환원성 가스 종의 라디칼들에 실질적으로 노출되도록 필터링될 수 있다. 금속 옥사이드는 금속 옥사이드를 금속으로 변환하도록 환원성 가스 종의 라디칼들 또는 환원성 가스 종 자체와 반응할 수 있다. 반응은 금속 옥사이드를 금속으로 변환하는 조건들 하에서 발생한다. 금속 씨드 층 내의 금속 옥사이드는 금속 씨드 층과 통합된 막을 형성하도록 환원된다. 환원성 가스 종을 사용한 금속 씨드 층 내의 금속 옥사이드의 환원은, 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되는, 2013년 3월 6일 출원된 미국 출원 제 13/787,499 호 (대리인 문서 제 LAMRP027 호) 에 기술될 수 있다. 일부 실시예들에서, 환원성 가스 종의 라디칼들은 샤워헤드가 약 30 ℃ 이하의 온도로 유지될 때 샤워헤드를 통해 흐른다.
도 7c는 금속 씨드 층을 가진 기판을 처리하는 스테이지들 중 일 스테이지 (예컨대, 단계들 615a 및 620a) 에서의 플라즈마 처리 장치 (700) 의 단면 개략도의 예를 도시한다. 플라즈마 처리 장치 (700) 는 기판 (710) 위의 리모트 플라즈마 소스 (740) 및 리모트 플라즈마 소스 (740) 의 벽들을 둘러싸는 하나 이상의 코일들 (744) 을 포함한다. 가스 유입부 (742) 는 환원성 가스 종 (720) 을 리모트 플라즈마 소스 (740) 의 내부의 볼륨 내로 전달하도록 리모트 플라즈마 소스 (740) 에 연결될 수 있다. 환원성 가스 종 (720) 은 약 500 sccm 내지 약 30,000 sccm의 플로우 레이트로 흐를 수 있고, 이는 어떤 기판 사이즈에도 적용 가능할 수 있다. 일부 실시예들에서, 환원성 가스 종 (720) 은 H2, NH3, CO, B2H6, 설파이트 화합물들, 탄소 및/또는 탄화수소들, 포스파이트들, 및 N2H4 중 적어도 하나를 포함할 수 있다. 하나 이상의 코일들 (744) 에 공급된 전력은 리모트 플라즈마 소스 (740) 내에서 환원성 가스 종 (720) 의 리모트 플라즈마를 생성할 수 있다. 코일들 (744) 에 공급된 RF 플라즈마 전력은 약 0.5 ㎾ 내지 약 6 ㎾일 수 있다. 리모트 플라즈마는 H*, NH*, NH2 *, 또는 N2H3 *과 같은 환원성 가스 종 (720) 의 라디칼들을 포함할 수 있다. 리모트 플라즈마는 또한 이온들 및 다른 대전된 종을 포함할 수 있지만, 샤워헤드 (730) 는 환원성 가스 종 (720) 의 라디칼들이 기판 (710) 에 도달하도록 이온들 및 다른 대전된 종을 필터링할 수 있다. 환원성 가스 종 (720) 의 라디칼들은 리모트 플라즈마 소스 (740) 로부터 샤워헤드 (730) 를 통해 그리고 프로세싱 챔버 (750) 내의 기판 (710) 의 표면 상으로 흐른다. 샤워헤드 (730) 는 상대적으로 저온, 예컨대, 약 30 ℃ 미만의 온도로 유지될 수 있다. 냉각된 샤워헤드 (730) 는 과도한 열이 기판 (710) 에 도달하는 것을 제한하고 그리고 기판 (710) 내의 금속 씨드 층을 손상시키는 것을 방지할 수 있다.
도 7c에서, 기판 (710) 은 액추에이팅되지 않은 포지션에 남아 있을 수 있다. 기판 (710) 과 샤워헤드 (730) 사이의 거리 A3은 기판 지지부 (705) 를 이동시킴으로써 조정될 수 있다. 거리 A3을 조정하는 것은 기판 (710) 에서 발생하는 환원 반응의 레이트 및 환원 반응의 균일성을 튜닝할 (tune) 수 있다. 예를 들어, 보다 짧은 거리 A3은 금속 옥사이드의 보다 빠른 변환 하지만 보다 작은 균일성을 야기할 수 있고, 반면에 보다 긴 거리 A3은 금속 옥사이드의 보다 느린 변환 하지만 보다 큰 균일성을 야기할 수 있다. 일부 실시예들에서, 거리 A3은 거리 A2와 동일할 수 있다. 이동식 부재들 (715) 은, 기판 (710) 및 기판 지지부 (705) 가 콘택트한 채로 있거나, 기판 (710) 과 기판 지지부 (705) 사이의 거리 B3이 도 7b의 거리 B2와 동일할 수 있도록 철회될 수 있다.
기판 지지부 (705) 의 온도는 능동 가열 또는 능동 냉각 시스템을 통해 조정될 수 있다. 온도는 처리될 기판 (710) 내의 금속 씨드 층에 따라 튜닝될 수 있다. 예를 들어, 기판 지지부 (705) 의 온도는 2 개의 상이한 온도 레짐들 (regimes) 로 동작하는 것을 요구하는 2 개의 상이한 금속 씨드 층들 사이에서 스위칭할 때 변화될 수 있다. 예를 들어, 기판 지지부 (705) 는 코발트 씨드 층에 대해 약 250 ℃ 내지 약 300 ℃로 가열될 수 있고, 그리고 구리 씨드 층에 대해 약 75 ℃ 내지 약 100 ℃로 스위칭될 수 있다.
다시 도 6a를 참조하면, 단계 625a에서, 기판은 냉각 가스에 노출된다. 냉각 가스는 아르곤, 헬륨, 및 질소 중 적어도 하나를 포함할 수 있다. 일부 실시예들에서, 냉각 가스는 저온 액체를 가스로 팽창시킴으로써 생성될 수 있다. 기판을 냉각 가스에 노출시키는 것은 기판을 약 30 ℃ 이하의 온도에서 냉각시킬 수 있다. 따라서, 냉각 가스는 기판을 냉각시키도록 대기 조건들 이하의 온도에서 전달될 수 있다. 일부 실시예들에서, 기판은 기판을 냉각 가스에 노출시키기 전에 이동식 부재들을 통해 액추에이팅된 포지션으로 이동될 수 있다. 기판은 보다 빠른 냉각을 위해 액추에이팅된 포지션에 있는 동안 냉각 가스에 노출될 수 있다. 일부 실시예들에서, 기판은 기판을 냉각 가스에 노출시킨 후에 전기 도금 장치로 이송될 수 있다. 대안적으로, 기판은 무전해 도금 또는 다른 금속 증착 장치로 이송될 수도 있다. 일부 실시예들에서, 프로세싱 챔버는 기판을 냉각 가스에 노출시킨 후에 벤팅 가스를 사용하여 대기 조건들로 벤팅될 수 있다.
도 7d는 금속 씨드 층을 가진 기판을 처리하는 스테이지들 중 일 스테이지 (예컨대, 단계 625a) 에서의 플라즈마 처리 장치 (700) 의 단면 개략도의 예를 도시한다. 플라즈마 처리 장치 (700) 는 냉각 가스 (760) 를 전달하기 위한 하나 이상의 냉각 가스 유입부들 (722) 을 포함할 수 있다. 냉각 가스 유입부들 (722) 은 기판 (710) 위 그리고 기판 (710) 의 측면을 포함하여, 기판 (710) 주위에 포지셔닝될 수도 있다. 냉각 가스 (760) 는 샤워헤드 (730) 를 통해 기판 평면에 수직으로 기판 (710) 상으로 지향될 수 있다. 냉각 가스 (760) 는 또한 프로세스 챔버 (750) 의 측면들 상의 냉각 가스 유입부들 (722) 로부터 기판 평면에 평행하게 기판 (710) 상으로 지향될 수 있다. 냉각 가스 (760) 는 프로세스 챔버 (750) 내로 약 0.1 slm 내지 약 100 slm의 플로우 레이트로 흐를 수 있다. 냉각 가스 유입부들 (722) 은 기판을 전기 도금, 무전해 도금, 또는 다른 금속 증착 장치로 이송하기 전에 기판 (710) 을 신속하게 냉각하도록 기판 (710) 에 걸쳐 냉각 가스 (760) 를 플러싱할 (flush) 수 있다. 일부 실시예들에서, 기판 (710) 은 기판 지지부 (705) 를 턴 오프 (turning off) 또는 냉각하지 않고 냉각될 수 있다. 이것은 기판 (710) 으로 하여금 개별적인 가열 존 및 냉각 존을 가진 2-챔버 설계를 사용하지 않고 단일의 프로세스 챔버 (750) 내에서 처리되고 냉각되게 인에이블할 수 있다.
도 7d에서, 기판 (710) 은 액추에이팅된 포지션에 있을 수 있다. 샤워헤드 (730) 와 기판 (710) 사이의 거리 A4는 약 0.05 인치 내지 약 0.75 인치일 수 있다. 일부 실시예들에서, 거리 A4는 도 7a의 거리 A1과 동일할 수 있다. 기판 (710) 을 냉각된 샤워헤드 (730) 에 보다 가깝게 그리고 고온 기판 지지부 (705) 로부터 이격되게 포지셔닝함으로써, 기판 (710) 은 보다 빠른 레이트로 냉각될 수 있다. 이동식 부재들 (715) 은 기판 (710) 을 기판 지지부 (705) 로부터 이격되게 그리고 샤워헤드 (730) 를 향하여 리프팅할 수 있다. 기판 지지부 (705) 와 기판 (710) 사이의 거리 B4는 약 1 인치 초과이거나, 약 1 인치 내지 약 5 인치일 수 있다. 일부 실시예들에서, 거리 B4는 도 7a의 거리 B1과 동일할 수 있다. 일부 실시예들에서, 기판 (710) 이 액추에이팅된 포지션에 있고 거의 상온으로 냉각될 때, 프로세스 챔버 (750) 는 대기 조건들로 벤팅될 수 있고 전기 도금, 무전해 도금, 또는 다른 금속 증착 장치로 이송될 수 있다.
도 6b는 금속 씨드 층을 가진 기판을 처리하는 또 다른 방법을 예시하는 예시적인 흐름도를 도시한다. 방법 600b의 단계 605b에서, 금속 씨드 층을 가진 기판은 방법 600a의 단계 605a에서 대체로 기술된 바와 같이, 프로세싱 챔버 내에 제공될 수 있다. 금속 씨드 층은 금속의 옥사이드로 변환된 부분을 가질 수 있다.
단계 610b에서, 환원성 가스 종의 리모트 플라즈마는 리모트 플라즈마 소스 내에서 형성될 수 있고, 여기서 리모트 플라즈마는: 환원성 가스 종으로부터의 라디칼들, 이온들, 및 UV 복사 중 하나 이상을 포함한다. 리모트 플라즈마의 에너지는 보다 고 에너지 이온들을 포함하여, 보다 고 에너지 종을 생성하도록 증가될 수도 있다. 보다 고 에너지 이온들은 HDP (high density plasma) 프로세싱 시스템들 및/또는 스퍼터링 시스템들에서 생성될 수도 있다. 리모트 플라즈마는 또한 환원성 가스 종의 여기의 결과로서 UV 복사를 생성할 수도 있다. 생성된 UV 복사는 약 100 ㎚ 내지 약 400 ㎚의 파장을 가질 수 있다. 예를 들어, 생성된 UV 복사는 약 120 ㎚ 내지 약 200 ㎚와 같이 단파장 UV 광, 그리고 약 200 ㎚ 내지 약 400 ㎚와 같이 장파장 UV 광을 포함할 수 있다. 또한, 리모트 플라즈마는 중성자들을 포함할 수도 있고 그리고/또는 환원성 가스 종의 재결합된 분자들을 생성할 수도 있다.
단계 615b에서, 기판의 금속 씨드 층은 플라즈마에 노출되고, 여기서 노출은 금속의 옥사이드를 환원시키고 그리고 금속 씨드 층 내의 금속을 리플로우한다. 일부 구현예들에서, 금속의 리플로우 및 금속 옥사이드의 환원은 동시에 발생할 수도 있다. 일부 구현예들에서, 리모트 플라즈마는 환원성 가스 종으로부터의 라디칼들, 이온들, 및 UV 복사, 또는 이들의 일부 조합을 포함할 수 있다. 리모트 플라즈마 소스와 프로세싱 챔버 사이의 샤워헤드는 라디칼들, 이온들, 및 UV 복사 플로우를 허용하거나 그렇지 않으면 샤워헤드를 통해 기판을 향하여 이동하도록 구성된 두께, 복수의 홀들, 및 홀들의 평균 직경을 가질 수 있다. 라디칼들, 이온들, 및 UV 복사는 프로세싱 챔버에 진입할 수도 있고 그리고 금속 씨드 층 내의 금속 옥사이드를 환원시킬 수도 있다. 고 에너지 이온들은 보다 많은 금속 씨드 층 전반에 걸쳐 환원성 화학물질을 제공하도록 기판의 표면으로부터 더 멀리 침투할 수도 있다. UV 복사는 환원 프로세스의 열역학을 개선하거나, 금속 옥사이드 자체를 직접적으로 환원시키도록 금속 옥사이드 표면을 활성화시킬 수도 있다. UV 복사는 또한 환원성 가스 종에 의해 흡수될 수도 있고 그리고 금속 옥사이드를 환원시킬 수 있는 라디칼들의 증가를 제공할 수도 있다. 게다가, 환원성 가스 종의 중성 분자들은 금속 씨드 층 내의 금속 옥사이드와 더 반응할 수도 있고 금속 씨드 층 내의 금속 옥사이드를 환원시킬 수도 있다.
일부 구현예들에서, 금속 씨드 층 내의 금속은 여기될 수도 있고 그리고 노출시 이동성이 있게 될 수도 있다. 금속은 금속 씨드 층 내의 갭들 및 보이드들을 감소시키도록 리플로우될 수도 있고, 이는 금속 씨드 층의 표면 거칠기를 감소시킬 수 있다. 얼마나 많은 금속이 리플로우되는지는 예를 들어, 기판의 온도, 챔버 압력, 환원성 가스 종, 및 UV 복사의 강도에 따라 결정될 수 있다. 금속이 리플로우되고 그리고 하부 층 상에 재분포되기 때문에, 보다 균일하고 연속적인 금속 씨드 층이 형성될 수 있다.
예들
다음의 예들은, 옥사이드 층 두께를 모니터링하고 그리고 금속 씨드 층들 상의 옥사이드들을 환원시키기 위한 플라즈마 전처리의 유효성을 게이징하도록 컬러 센서를 사용하는 실행 가능성을 예시한다. 특히, 옥사이드 층 두께에 대한 b* 컬러 컴포넌트의 민감도가 입증될 (demonstrate) 것이다.
도 8은 200 Å 옥사이드 층을 가진 6 개의 웨이퍼들 상에서 행해진 실험들의 결과들을 도시한다. "W1" 내지 "W6"으로 라벨링된 웨이퍼 각각에 대해, b* 컬러 컴포넌트의 값은 H2 플라즈마를 사용한 처리 전 및 처리 후에 측정되었다; "Pre-QSM"으로 라벨링된 좌측의 b* 측정은 H2 플라즈마 처리 전에 행해졌고 그리고 "Post"로 라벨링된 우측의 b* 측정은 나타낸 지속기간 (11 초, 20 초, 등) 의 H2 플라즈마 처리 후에 행해졌다. 플라즈마 처리가 b* 컬러 컴포넌트의 값의 상당한 증가를 유발한다는 것이 모든 6 개의 웨이퍼들에서 관찰되고, 60 초 또는 보다 긴 플라즈마 처리 지속기간들에 달한다. "W1"로 라벨링된 제 1 웨이퍼에 대해, 부가적인 b* 값은 또한 프로세싱 챔버 내에 환원성 H2 가스가 없는 "처리" 후에 측정되었다는 것을 주의하라. 이것은 전처리가 행해지지 않았던 것처럼 동일한 b* 값을 제공한다고 관찰되고, 옥사이드 환원이 b* 컬러 컴포넌트의 변화된 값들의 이유가 된다는 추가의 확인을 제공한다. 결과들은 다음의 표에 요약된다.
프로세스 조건 b* 변화
H2 없음, 2 ㎾, 60 초 프로세스 0.24 %
H2 있음, 2 ㎾, 11 초 프로세스 -39.8 %
H2 있음, 2 ㎾, 20 초 프로세스 -35.5 %
H2 있음, 2 ㎾, 40 초 프로세스 -136.2 %
H2 있음, 2 ㎾, 60 초 프로세스 -158.3 %
H2 있음, 2 ㎾, 120 초 프로세스 -171.3 %
H2 있음, 2 ㎾, 300 초 프로세스 -163.9 %
도 9a 내지 도 9c는 3 개 컬러 컴포넌트들: L*, a*, 및 b* 각각 (도 9a 내지 도 9c 각각) 의 값들에 대한 H2 플라즈마 처리의 영향을 비교한다. 이들 실험들에서, 상이한 옥사이드 층 두께들 - 50 Å, 100 Å, 200 Å, 400 Å, 및 1000 Å - 을 가진 5 개의 웨이퍼들은 전처리를 겪는다. 3 개의 도면들을 비교하면, 모든 옥사이드 층 두께들에 대해, b* 컬러 컴포넌트만이 플라즈마 처리 전 및 플라즈마 처리 후에 통계적으로 상당한 변동들을 나타낸다는 것이 관찰된다. 차는 50 Å, 100 Å의 보다 박형 옥사이드 층들에 대해 다소 보다 많이 두드러지게 관찰된다는 것을 주의하라.
도 8 및 도 9a 내지 도 9c는 벤치-탑 (bench-top) 설정으로 b* 컬러 컴포넌트의 민감도를 입증하지만, 도 10a, 도 10b, 도 11a, 및 도 11b는 도 5a 내지 도 5d에 개략적으로 예시되도록, 플라즈마 처리 장치의 로드-록에서 실시간으로 b* 컬러 컴포넌트를 모니터링하는 유효성을 입증한다. 이들 도면들 (도 10a 내지 도 11b) 은 웨이퍼가 아웃바운드 로드-록을 2 회 통과하는 "멀티레이어 레시피" 동안 시간의 함수로서 b* 컬러 컴포넌트의 값을 나타낸다.
먼저 도 10a를 참조하면, 아웃바운드 로드-록의 컬러 센서 아래로의 웨이퍼의 첫번째 통과는 플롯에서 약 370 초에 발생하고 그리고 플라즈마가 턴 온되지 않은 상태에서 웨이퍼가 플라즈마 프로세싱 챔버를 떠나는 것에 대응한다는 것 - 즉, 플라즈마 처리 전 b* 컬러 컴포넌트의 측정을 나타냄 - 이 관찰된다. 이 제 1 피크는 피크의 최대 값을 가진 피크의 중심을 브래킷하는 (bracket) 피크 상의 2 개 숄더들 (shoulders) 을 갖는다. 이러한 이유는 로드-록 내의 페데스탈이 웨이퍼를 홀딩하는 동안 상승 및 하강되고, 그리고 따라서 피크의 중심의 보다 높은 값들이 "업 (up)" 포지션의 페데스탈 및 컬러 센서에 보다 가까운 기판에 대응한다는 것이다. 이것은 b* 컴포넌트의 신호-대-노이즈 비를 최대화하도록 웨이퍼의 표면으로부터 최적의 거리에 컬러 센서를 유지하는 중요성을 강조한다.
아웃바운드 로드-록의 컬러 센서 아래로의 웨이퍼의 두번째 통과는 도 10a에서 약 610 초에 발생하고 그리고 옥사이드 환원을 위해 플라즈마가 턴 온된 후 웨이퍼가 플라즈마 프로세싱 챔버를 떠나는 것에 대응한다. 플라즈마 프로세스는 250 ℃의 온도에서 60 초의 지속기간을 갖는다 (플라즈마 처리 전에, 웨이퍼는 100 Å 옥사이드 층을 가짐). 숄더들은 370 초에서 이전의 피크에서 관찰된 바와 같이 610 초에서 피크를 나타내지만, b* 값들의 전체 크기는 상당히 감소되고 b* 컬러 컴포넌트는 플라즈마 처리의 지표로서 기능할 수도 있다는 것을 확인한다. 도 10b는 이들 2 개의 구역들 (도면들에서 파선들로 나타낸 바와 같음) 을 확대하고 그리고 플라즈마 처리 전 및 플라즈마 처리 후에 관찰되는 b* 컬러 컴포넌트 값들의 차들을 다시 강조한다.
다시 한번, 도 10a에 도시된 피크들 상의 숄더들은 충분히 강한 b* 신호 및 적절한 신호-대-노이즈 비를 갖도록 기판의 표면과 컬러 센서의 헤드 사이에 알맞은 갭을 선택하는 중요성을 예시한다. 단지 기술된 실험과 같은 실험들에 기초하여, 약 0.1" 내지 5"의 갭이 적절하고, 약 0.4" 내지 1"가 보다 바람직하다는 것이 발견되었다.
최종적으로, 도 10b의 데이터와 유사한 데이터는, 도 11a 및 도 11b에서, 플롯들이 상이한 시간 지속기간들 동안 플라즈마 처리되는 6 개의 웨이퍼들 (각각 200 Å 옥사이드 층을 가짐) 에 대한 결과들을 플롯팅한다는 것을 제외하고, 도 11a 및 도 11b에 도시된다. 도 11a는 250 ℃에서의 (상이한 시간 지속기간들의) 6 개의 플라즈마 처리들에 대한 결과들을 도시하고, 그리고 도 11b는 75 ℃에서의 5 개의 플라즈마 처리들에 대한 결과들을 도시한다. 거의 모든 경우들에 대해, b* 컬러 컴포넌트의 상당한 변화는 플라즈마 처리 전 (도면들에서 "pre"로 라벨링됨) 웨이퍼 각각으로부터 측정되는 b* 컬러 컴포넌트에 대해 나타난다. 도 11a의 보다 고온 결과들 (250 ℃) 은 심지어 단지 플라즈마 처리의 11 초에서 (대부분의 부분에 대해) "최대" (옥사이드 제거 포화됨) 를 나타내지만, 도 11b에 도시된 보다 저온들에서는 (75 ℃), 11 초에서 b* 컬러 컴포넌트의 약간의 변화만이 있고, 상당한 변화는 30 초 결과에서 처음 나타나고, 그리고 이어서 도면에 도시된 300 초 결과까지 보다 긴 시간 지속기간들 동안 보다 두드러지게 된다. 요약하면, 도 11a 및 도 11b에 도시된 결과들은 심지어 75 ℃의 보다 저온에서도, 단지 플라즈마 처리의 30 초가 측정된 b* 컬러 컴포넌트의 상당한 변화를 생성하고, 따라서 그 때 플라즈마 처리 유효성의 평가가 이루어질 수 있다는 것을 예시한다.
시스템 제어기들
옥사이드 환원 유효성을 평가하기 위한 플라즈마 처리 방법론들 및 기법들은, 플라즈마 처리 장치의 시스템 제어기에 존재할 수도 있고 그리고/또는 플라즈마 처리 장치의 제어기로부터 액세스 가능하고 플라즈마 처리 장치의 제어기에 의해 판독 가능한 리모트 비일시적인 매체에 존재할 수도 있는 프로그램 인스트럭션들로 구현될 수도 있다. 이러한 시스템 제어기의 예는 도 5a에 개략적으로 예시된다. 도 5a에 도시된 바와 같이, 시스템 제어기 (550) 는 하나 이상의 메모리 디바이스들 (556), 하나 이상의 대용량 저장 디바이스들 (554), 및 하나 이상의 프로세서들 (552) 을 포함한다. 프로세서 (552) 는 하나 이상의 CPU들, ASIC들, 범용 컴퓨터(들) 및/또는 특정 목적의 컴퓨터(들), 하나 이상의 아날로그 및/또는 디지털 입력/출력 접속부(들), 하나 이상의 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (예를 들어, 도 5a에서 550) 는 개별적인 프로세스 스테이션들의 동작들을 포함하는 프로세스 툴 (예를 들어, 도 5a에서 플라즈마 처리 장치 (500)) 의 동작들의 일부 또는 전부를 제어한다. 머신-판독 가능 시스템 제어 인스트럭션들 (558) 은 본 명세서에 기술된 바와 같이 플라즈마 처리 방법론들 및 컬러 센서 동작 및 컬러 신호 분석을 구현/수행하기 위해 제공될 수도 있다. 인스트럭션들은 시스템 제어기에 커플링되고 그리고/또는 시스템 제어기에 의해 판독될 수도 있는 머신-판독 가능, 비일시적인 매체에 제공될 수도 있다. 인스트럭션들은 프로세서 (552) 상에서 실행될 수도 있다 - 일부 실시예들에서, 대용량 저장 디바이스 (554) 로부터 메모리 디바이스 (556) 내로 로딩된 시스템 제어 인스트럭션들 -. 시스템 제어 인스트럭션들은 타이밍, 가스 및 액체 반응물질들의 혼합물, 챔버 및/또는 스테이션 압력들, 챔버 및/또는 스테이션 온도들, 웨이퍼 온도들, 타깃 전력 레벨들, RF 전력 레벨들 (예를 들어, DC 전력 레벨들, RF 바이어스 전력 레벨들), RF 노출 시간들, 기판 페데스탈, 척, 및/또는 서셉터 포지션들, 및 프로세스 툴에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다.
반도체 기판 프로세싱 동작들은 이로 제한되지 않지만, 기판들 상의 막의 에칭과 관련된 프로세스들, 증착 프로세스들 (예컨대, 표면 흡착된 막 전구체들의 플라즈마-활성화에 의한, ALD (atomic layer deposition)) 을 포함하는 다양한 타입들의 프로세스들, 뿐만 아니라 전기 도금의 준비로 금속 씨드 층으로부터 플라즈마-기반 옥사이드 제거, 뿐만 아니라 전기 도금 동작들의 제어와 같은, 다른 타입들의 기판 프로세싱 동작들을 채용할 수도 있다.
시스템 제어 인스트럭션들 (558) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필수적인 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들은 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들은 소프트웨어에서 구현되고, 다른 실시예들에서, 인스트럭션들은 하드웨어에서 구현될 수도 있고 - 예를 들어, ASIC (application specific integrated circuit) 내의 로직으로서 하드-코딩될 수도 있거나, 다른 실시예들에서, 소프트웨어와 하드웨어의 조합으로서 구현될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (558) 는 상기에 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 및/또는 에칭 프로세스 또는 프로세스들의 페이즈 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 예를 들어, 막 증착 및/또는 에칭 프로세스 페이즈를 위해 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 증착 및/또는 에칭 레시피 페이즈에 포함될 수도 있다. 일부 실시예들에서, 레시피 페이즈들은 프로세스 페이즈를 위한 모든 인스트럭션들이 상기 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다.
시스템 제어기 (550) 와 연관된 대용량 저장 디바이스 (554) 및/또는 메모리 디바이스 (556) 상에 저장된 다른 컴퓨터-판독가능 인스트럭션들 및/또는 프로그램들은 일부 실시예들에서 채용될 수도 있다. 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 기판을 페데스탈 상으로 로딩하도록 그리고 기판과 프로세스 툴의 다른 부분들 사이의 공간을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 포지셔닝 프로그램은 기판들 상에 막을 증착하고 그리고/또는 에칭하도록 필요에 따라 기판들을 반응 챔버 내외로 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 인스트럭션 및 이들 볼륨들 내의 압력을 안정화시키도록 증착 및/또는 에칭 전에 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨들 내로 가스를 선택 가능하게 흘리기 위한 인스트럭션을 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판들 상의 막 증착 및/또는 에칭 동작들 동안 프로세싱 챔버 내에서 하나 이상의 프로세스 스테이션들을 둘러싸는 볼륨(들) 내로 특정한 가스들을 도입하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 증착될 막의 조성 및/또는 포함된 에칭 프로세스의 특성에 따라, 동일한 레이트들로, 동일한 지속기간들 동안, 또는 상이한 레이트들로, 상이한 지속기간들 동안 이들 가스들을 전달하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 또한 가열된 주입 모듈 내에 헬륨 또는 일부 다른 캐리어 가스가 존재할 때 액체 반응물질을 원자화/기화시키기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 프로세스 스테이션 내의 압력, 프로세스 스테이션 내로의 가스 플로우, 등을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들의 에칭 및/또는 기판들 상의 다양한 막 타입들의 증착 동안 동일하거나 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
가열기 제어 프로그램은 기판들을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 부가적으로, 가열기 제어 프로그램은 기판으로의 열 전달 가스 (예컨대, 헬륨) 의 전달을 제어할 수도 있다. 가열기 제어 프로그램은 기판들의 에칭 및/또는 기판들 상의 다양한 막 타입들의 증착 동안 프로세스 스테이션들을 둘러싸는 반응 챔버 및/또는 볼륨들 내에서 동일하거나 상이한 온도들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들에서의 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들의 에칭 및/또는 기판들 상의 막 증착 동안 동일하거나 상이한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성들 및 플로우 레이트들, 온도들 (예를 들어, 기판 홀더 및 샤워헤드 온도들), 압력들, 플라즈마 조건들 (예컨대, RF 바이어스 전력 레벨들 및 노출 시간들), 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스들을 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스들을 제어하기 위한 신호들은 프로세스 툴의 아날로그 출력 접속부 및/또는 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들 (MFC들), (압력계들 (manometers) 과 같은) 압력 센서들, 열적 커플링들 (thermocouple) 과 같은 온도 센서들, 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
상기에 기술된 다양한 장치들 및 방법들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들은 공동 제조 설비 내에서 함께 그리고/또는 동시에 사용되거나 실시될 것이다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 필요조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈 (유도 결합 플라즈마 또는 용량 결합 플라즈마를 채용함), 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
포토리소그래픽 패터닝
본 명세서의 상기에 기술된 장치들/프로세스들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공동 제조 설비 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로, 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
다른 실시예들
전술한 개시된 프로세스들, 방법들, 시스템들, 및 장치들이 명료성 및 이해를 촉진하는 목적을 위해 특정한 실시예들의 맥락 내에서 상세히 기술되지만, 이 개시의 범주 및 정신 내에 있는 이들 프로세스들, 방법들, 시스템들, 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 명세서에 기술된 실시예들은 한정하거나 제한적이라기보다는 개시된 발명의 개념들의 실례로서 보이고, 그리고 첨부된 청구항들의 범주를 지나치게 한정하기 위한 허용할 수 없는 기준으로서 사용되지 않는다.

Claims (21)

  1. 차후의 전기 도금 동작을 위해 금속 씨드 층을 가진 반도체 기판을 준비하는 방법에 있어서,
    상기 반도체 기판의 표면 상의 금속 옥사이드들을 환원시킴으로써 상기 표면을 처리하도록 플라즈마와 상기 반도체 기판의 상기 표면을 콘택트하는 단계;
    상기 플라즈마와 콘택트한 후에, 상기 표면으로부터 플라즈마-콘택트 후 컬러 신호를 측정하는 단계로서, 상기 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는, 상기 플라즈마-콘택트 후 컬러 신호를 측정하는 단계; 및
    상기 플라즈마-콘택트 후 컬러 신호에 기초한 상기 플라즈마 처리에 기인하여 상기 옥사이드 환원의 정도를 추정하는 단계를 포함하는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마 처리에 기인하여 상기 옥사이드 환원의 정도를 추정하는 단계는 상기 플라즈마-콘택트 후 컬러 신호의 b* 컴포넌트에 기초하여 행해지는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  3. 제 1 항에 있어서,
    상기 플라즈마 처리에 기인하여 상기 옥사이드 환원의 정도를 추정하는 단계는,
    상기 플라즈마-콘택트 후 컬러 신호를 하나 이상의 기준 컬러 신호들에 비교하는 단계를 포함하는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  4. 제 3 항에 있어서,
    상기 비교하는 단계는 상기 컬러 신호들의 상기 b* 컴포넌트에 기초하여 행해지는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  5. 제 1 항에 있어서,
    상기 플라즈마 처리에 기인하여 상기 옥사이드 환원의 정도를 추정하는 단계는,
    하나 이상의 계측값들을 계산하는 단계를 포함하고, 상기 계측값들 각각은 상기 플라즈마-콘택트 후 컬러 신호와 상기 하나 이상의 기준 컬러 신호들의 세트로부터의 일 기준 컬러 신호 사이의 차를 나타내는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  6. 제 5 항에 있어서,
    상기 계측값 각각은 상기 플라즈마-콘택트 후 컬러 신호의 상기 b* 컴포넌트와 기준 컬러 신호의 b* 컴포넌트 사이의 차의 절대값과 단조적으로 관련되는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 플라즈마와 콘택트하기 전에, 상기 표면으로부터 플라즈마-콘택트 전 컬러 신호를 측정하는 단계로서, 상기 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는, 상기 플라즈마-콘택트 전 컬러 신호를 측정하는 단계를 더 포함하고; 그리고
    상기 플라즈마 처리에 기인하여 상기 옥사이드 환원의 정도를 추정하는 단계는,
    상기 플라즈마-콘택트 전 컬러 신호들과 상기 플라즈마-콘택트 후 컬러 신호들을 비교하는 단계를 포함하는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  8. 제 7 항에 있어서,
    상기 비교하는 단계는 상기 컬러 신호들의 상기 b* 컴포넌트에 기초하여 행해지는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  9. 제 7 항에 있어서,
    상기 플라즈마 처리에 기인하여 상기 옥사이드 환원의 정도를 추정하는 단계는,
    상기 플라즈마-콘택트 전 컬러 신호들과 상기 플라즈마-콘택트 후 컬러 신호들 사이의 차를 나타내는 계측값을 계산하는 단계를 포함하는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  10. 제 9 항에 있어서,
    상기 계측값은 상기 플라즈마-콘택트 전 컬러 신호들의 상기 b* 컴포넌트와 상기 플라즈마-콘택트 후 컬러 신호들의 상기 b* 컴포넌트 사이의 차의 절대값과 단조적으로 관련되는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  11. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 플라즈마는 수소 라디칼들을 포함하는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 금속은 구리인, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  13. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 금속은 코발트인, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  14. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 플라즈마-콘택트 후 컬러 신호를 측정하는 단계는 상기 측정 동안 상기 기판 표면으로부터 약 0.1 내지 5"에 위치된 컬러 센서를 사용하여 수행되는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  15. 제 14 항에 있어서,
    상기 플라즈마-콘택트 후 컬러 신호를 측정하는 단계는 상기 측정 동안 상기 기판 표면으로부터 약 0.4 내지 1"에 위치된 컬러 센서를 사용하여 수행되는, 금속 씨드 층을 가진 반도체 기판을 준비하는 방법.
  16. 차후의 전기 도금 동작을 대비하여 반도체 기판의 금속 씨드 층의 표면 상에 존재하는 금속 옥사이드들을 환원시키기 위한 플라즈마 처리 장치에 있어서,
    상기 플라즈마 처리 장치는,
    내부에 적어도 하나의 프로세싱 스테이션을 갖는 프로세싱 챔버;
    상기 프로세싱 스테이션에서 상기 기판을 홀딩하도록 구성된 기판 홀더;
    상기 프로세싱 챔버 내에서 플라즈마를 생성하고 그리고/또는 상기 프로세싱 챔버에 상기 플라즈마를 제공하도록 구성된 플라즈마 생성기;
    상기 기판으로부터 컬러 신호를 측정하도록 구성된 컬러 센서로서, 상기 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는, 상기 컬러 센서; 및
    제어기를 포함하고,
    상기 제어기는,
    상기 플라즈마가 상기 기판의 상기 표면 상의 금속 옥사이드들을 환원시킴으로써 상기 기판의 상기 표면을 처리하도록 상기 프로세싱 스테이션에서 상기 기판의 상기 표면과 콘택트하도록, 상기 프로세싱 챔버 내에서 플라즈마를 생성하고 그리고/또는 상기 프로세싱 챔버에 상기 플라즈마를 제공하기 위해서 상기 플라즈마 생성기를 동작시키기 위한 비일시적인 컴퓨터-판독가능 인스트럭션;
    상기 플라즈마가 상기 기판 표면과 콘택트한 후에, 상기 표면으로부터 플라즈마-콘택트 후 컬러 신호를 측정하도록, 상기 컬러 센서를 동작시키기 위한 비일시적인 컴퓨터-판독가능 인스트럭션으로서, 상기 컬러 신호는 하나 이상의 컬러 컴포넌트들을 갖는, 상기 컬러 센서를 동작시키기 위한 비일시적인 컴퓨터-판독가능 인스트럭션; 및
    상기 플라즈마-콘택트 후 컬러 신호에 기초하여 상기 플라즈마 처리에 기인한 상기 옥사이드 환원의 정도를 추정하기 위한 비일시적인 컴퓨터-판독가능 인스트럭션을 갖는, 플라즈마 처리 장치.
  17. 제 16 항에 있어서,
    상기 컬러 센서는 상기 기판이 상기 프로세싱 스테이션에 위치되는 동안 상기 기판으로부터 상기 컬러 신호를 측정하도록 위치되고 그리고/또는 구성되는, 플라즈마 처리 장치.
  18. 제 16 항에 있어서,
    상기 프로세싱 챔버에 기판 액세스를 제공하도록 구성된 로드-록을 더 포함하고; 그리고
    상기 컬러 센서는 상기 기판이 상기 로드-록 내에 위치되는 동안 상기 기판으로부터 상기 컬러 신호를 측정하도록 위치되고 그리고/또는 구성되는, 플라즈마 처리 장치.
  19. 제 16 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 컬러 센서는 b* 컬러 컴포넌트를 가진 컬러 신호를 측정하도록 구성되는, 플라즈마 처리 장치.
  20. 제 19 항에 있어서,
    상기 플라즈마 처리에 기인하여 상기 옥사이드 환원의 정도를 추정하는 단계는 상기 플라즈마-콘택트 후 컬러 신호의 상기 b* 컴포넌트에 기초하여 행해지는, 플라즈마 처리 장치.
  21. 제 16 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 플라즈마 생성기는 상기 프로세싱 챔버로부터 떨어져 있는, 플라즈마 처리 장치.
KR1020170008535A 2016-01-29 2017-01-18 컬러 센싱을 통한 웨이퍼 상 옥사이드 층 환원 효과를 추정하기 위한 방법들 및 장치들 KR20170091013A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/011,363 US9735035B1 (en) 2016-01-29 2016-01-29 Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
US15/011,363 2016-01-29

Publications (1)

Publication Number Publication Date
KR20170091013A true KR20170091013A (ko) 2017-08-08

Family

ID=59387056

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170008535A KR20170091013A (ko) 2016-01-29 2017-01-18 컬러 센싱을 통한 웨이퍼 상 옥사이드 층 환원 효과를 추정하기 위한 방법들 및 장치들

Country Status (4)

Country Link
US (2) US9735035B1 (ko)
KR (1) KR20170091013A (ko)
CN (2) CN111739814A (ko)
TW (1) TWI714715B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9809898B2 (en) 2013-06-26 2017-11-07 Lam Research Corporation Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9735035B1 (en) * 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
JP6730941B2 (ja) * 2017-01-10 2020-07-29 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10943804B2 (en) * 2018-06-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Monitoring of process chamber
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
CN112083702B (zh) * 2020-09-27 2021-08-17 江苏恒宝智能系统技术有限公司 一种碳纤维复合材料固化过程监控管理方法及系统
CN115910832B (zh) * 2022-12-19 2023-12-15 扬州国宇电子有限公司 一种用于测试离子是否注入的方法

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US20050217707A1 (en) 1998-03-13 2005-10-06 Aegerter Brian K Selective processing of microelectronic workpiece surfaces
US7449098B1 (en) 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7780867B1 (en) 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
US6784104B2 (en) 2001-07-27 2004-08-31 Texas Instruments Incorporated Method for improved cu electroplating in integrated circuit fabrication
US20050122509A1 (en) 2002-07-18 2005-06-09 Leica Microsystems Semiconductor Gmbh Apparatus for wafer inspection
US7239737B2 (en) 2002-09-26 2007-07-03 Lam Research Corporation User interface for quantifying wafer non-uniformities and graphically explore significance
US20040253742A1 (en) 2003-01-31 2004-12-16 Affleck Rhett L. Automated imaging system and method
US6930782B1 (en) 2003-03-28 2005-08-16 Lam Research Corporation End point detection with imaging matching in semiconductor processing
US7142300B2 (en) 2003-05-05 2006-11-28 Kla-Tencor Corp. Technologies Edge bead removal inspection by reflectometry
US7197178B2 (en) 2003-07-14 2007-03-27 Rudolph Technologies, Inc. Photoresist edge bead removal measurement
US7186652B2 (en) * 2004-05-05 2007-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing Cu contamination and oxidation in semiconductor device manufacturing
DE102004029012B4 (de) 2004-06-16 2006-11-09 Leica Microsystems Semiconductor Gmbh Verfahren zur Inspektion eines Wafers
US7645364B2 (en) 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US7811424B1 (en) 2004-06-30 2010-10-12 Lam Research Corporation Reducing mechanical resonance and improved distribution of fluids in small volume processing of semiconductor materials
US7423269B1 (en) 2005-02-26 2008-09-09 Kla-Tencor Technologies Corporation Automated feature analysis with off-axis tilting
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7728965B2 (en) 2005-06-06 2010-06-01 Kla-Tencor Technologies Corp. Systems and methods for inspecting an edge of a specimen
KR100836501B1 (ko) 2005-10-11 2008-06-09 동부일렉트로닉스 주식회사 반도체 소자의 박막 제조 장비
US7538868B2 (en) 2005-12-19 2009-05-26 Kla-Tencor Technologies Corporation Pattern recognition matching for bright field imaging of low contrast semiconductor devices
US20090122304A1 (en) 2006-05-02 2009-05-14 Accretech Usa, Inc. Apparatus and Method for Wafer Edge Exclusion Measurement
US20090116727A1 (en) 2006-05-02 2009-05-07 Accretech Usa, Inc. Apparatus and Method for Wafer Edge Defects Detection
US7616804B2 (en) 2006-07-11 2009-11-10 Rudolph Technologies, Inc. Wafer edge inspection and metrology
US7667835B2 (en) 2006-08-28 2010-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for preventing copper peeling in ECP
WO2008103994A2 (en) 2007-02-23 2008-08-28 Rudolph Technologies, Inc. Wafer fabrication monitoring systems and methods, including edge bead removal processing
US20080293333A1 (en) 2007-05-21 2008-11-27 Applied Materials, Inc. Methods and apparatus for controlling the size of an edge exclusion zone of a substrate
US7623228B1 (en) 2007-05-21 2009-11-24 Kla-Tencor Technologies Corporation Front face and edge inspection
US7787114B2 (en) 2007-06-06 2010-08-31 Kla-Tencor Technologies Corp. Systems and methods for inspecting a specimen with light at varying power levels
KR100976284B1 (ko) * 2007-06-07 2010-08-16 가부시끼가이샤 도시바 촬상 장치
US7656519B2 (en) 2007-08-30 2010-02-02 Kla-Tencor Corporation Wafer edge inspection
JP5004822B2 (ja) 2008-02-20 2012-08-22 東京エレクトロン株式会社 洗浄方法及び基板処理装置
US20090268953A1 (en) 2008-04-24 2009-10-29 Apteryx, Inc. Method for the automatic adjustment of image parameter settings in an imaging system
US8419964B2 (en) 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US7977123B2 (en) 2009-05-22 2011-07-12 Lam Research Corporation Arrangements and methods for improving bevel etch repeatability among substrates
US8111905B2 (en) 2009-10-29 2012-02-07 Mitutoyo Corporation Autofocus video tool and method for precise dimensional inspection
US8594975B2 (en) 2010-03-04 2013-11-26 Kla-Tencor Corporation Systems and methods for wafer edge feature detection and quantification
US20110147350A1 (en) 2010-12-03 2011-06-23 Uvtech Systems Inc. Modular apparatus for wafer edge processing
CN102856224B (zh) 2011-06-30 2015-10-07 细美事有限公司 晶圆边缘部分的处理方法和装置
US20140079311A1 (en) 2012-09-20 2014-03-20 Applied Materials Israel Ltd. System, method and computer program product for classification
US9070014B2 (en) 2013-02-21 2015-06-30 Applied Materials Israel, Ltd. System, method and computer program product for defect detection based on multiple references
US9070750B2 (en) * 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US20150072538A1 (en) * 2013-09-06 2015-03-12 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US10234261B2 (en) 2013-06-12 2019-03-19 Applied Materials, Inc. Fast and continuous eddy-current metrology of a conductive film
US9809898B2 (en) 2013-06-26 2017-11-07 Lam Research Corporation Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems
US20150001728A1 (en) * 2013-06-26 2015-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-treatment method for metal-oxide reduction and device formed
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9735035B1 (en) 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing

Also Published As

Publication number Publication date
TWI714715B (zh) 2021-01-01
US20170309505A1 (en) 2017-10-26
CN107039303A (zh) 2017-08-11
CN111739814A (zh) 2020-10-02
US9735035B1 (en) 2017-08-15
US10497592B2 (en) 2019-12-03
TW201737381A (zh) 2017-10-16
US20170221740A1 (en) 2017-08-03
CN107039303B (zh) 2020-06-09

Similar Documents

Publication Publication Date Title
KR102514192B1 (ko) 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
US10497592B2 (en) Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
KR102467019B1 (ko) 금속 산화물 환원을 특징으로 하는 방법 및 장치
US9469912B2 (en) Pretreatment method for photoresist wafer processing
US10593523B2 (en) Systems and methods for internal surface conditioning in plasma processing equipment
US9070750B2 (en) Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US7887637B2 (en) Method for cleaning treatment chamber in substrate treating apparatus and method for detecting endpoint of cleaning
US20150299886A1 (en) Method and apparatus for preparing a substrate with a semi-noble metal layer
WO2013114870A1 (ja) プラズマ処理装置及びプラズマ処理方法
JP2016051900A (ja) 高アスペクト比構造におけるコンタクト洗浄
US20150072538A1 (en) Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9472377B2 (en) Method and apparatus for characterizing metal oxide reduction
US20240213089A1 (en) Integrated atmospheric plasma treatment station in processing tool

Legal Events

Date Code Title Description
E902 Notification of reason for refusal