TWI714715B - 經由顏色感應估計晶圓上氧化物層還原有效性的方法及設備 - Google Patents

經由顏色感應估計晶圓上氧化物層還原有效性的方法及設備 Download PDF

Info

Publication number
TWI714715B
TWI714715B TW106102768A TW106102768A TWI714715B TW I714715 B TWI714715 B TW I714715B TW 106102768 A TW106102768 A TW 106102768A TW 106102768 A TW106102768 A TW 106102768A TW I714715 B TWI714715 B TW I714715B
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
color
color signal
seed layer
Prior art date
Application number
TW106102768A
Other languages
English (en)
Other versions
TW201737381A (zh
Inventor
馬尼什 蘭傑
奇安 斯威尼
相提納斯 剛加迪
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201737381A publication Critical patent/TW201737381A/zh
Application granted granted Critical
Publication of TWI714715B publication Critical patent/TWI714715B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

文中揭露具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法。在某些實施例中,該些方法可包含以一電漿接觸該半導體基板的一表面藉此還原其上的金屬氧化物而處理該表面,之後自該表面量測一接觸電漿後顏色訊號,該顏色訊號具有一或多個顏色分量。該些方法更包含:接著,基於該接觸電漿後顏色訊號估計因該電漿處理所造成的氧化物還原程度。在某些實施例中,估計因該電漿處理所造成的該氧化物還原程度係基於該接觸電漿後顏色訊號的b*分量所完成。亦揭露可施行上述方法的電漿處理設備。

Description

經由顏色感應估計晶圓上氧化物層還原有效性的方法及設備
本發明係關於電子裝置之製造、半導體基板之電鍍、電鍍系統、及具有用以在製程期間檢視半導體晶圓之整合及/或原位量測系統的設備、及在製程期間進行量測與檢驗半導體晶圓的方法。
製造積體電路通常涉及電鍍一層導電金屬至半導體晶圓表面上的一或多個步驟。例如,在某些IC製造程序中,可使用電鍍操作以金屬填滿形成在半導體晶圓表面中的各種特徵部,例如用來作為各種電路元件之間之導電路徑的溝槽與貫孔。電鍍的金屬經常為銅,但取決於IC設計,其他金屬可能也適合及/或是有利的,此些其他金屬包含釕、鈀、銥、銠、鋨、鈷、鎳、金、銀、及鋁。在某些實施例中,此些金屬的合金可能也適合及/或是有利的。
在典型的電鍍操作中,晶圓表面會被暴露至包含了欲電鍍之金屬之解離離子的電鍍浴流體,然後電鍍浴中之電極(作為陽極)與晶圓表面(作為陰極)之間產生電路。在施加電壓時流經此電路的電流會使電子流至陰極表面並還原其附近的解離金屬離子,藉此在晶圓表面上電鍍來自溶液之中性元素金屬。
然而,為了使電路完整並發生解離金屬離子的電化學還原,晶圓表面(作為電路陰極)必須(在至少某種程度上)具有相對的導電性。因此,由於半導體晶圓的裸露表面一般而言並非實質上導電性的,電鍍操作中的真實電鍍步驟通常會先進行導電晶種層的沉積,導電晶種層能提供必要的導電表面。晶種層的沉積可藉由任何可行的晶種材料沉積方法所達成。適合的方法可包含例如物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿增強之化學氣相沉積(PECVD)、保形薄膜沉積(CFD)、原子層沉積(ALD)等。有時,晶種層沉積與電鍍之後會進行晶邊移除(EBR)操作,此晶邊移除能藉著在晶圓邊緣上施加蝕刻溶液的薄黏滯流以移除沉積在晶圓邊緣處的非所欲晶種金屬。
然而,通常在沉積晶種層之後,會自真空將晶圓移走並將其暴露至無塵室環境的空氣。在某些情況中,在晶圓受到電鍍之前可能會有自數分鐘至數小時之間的等待時間。延遲時間及相關的暴露至環境空氣可能會造成晶種層氧化—這通常被稱為「晶種老化」。晶種老化所造成之實質上非導電性的此氧化物層可能會減少電鍍效率或甚至阻止電鍍發生。此外,晶圓的表面潤濕特性可能會改變,這亦造成晶圓上的缺陷。電鍍前在晶圓圖案上所見的的空洞與凹坑為電鍍前過多晶種老化的結果,這會造成無用的IC裝置且因而負面地影響晶圓的整體良率。又,已觀察到,晶種老化效應在較小的技術節點如次22 nm節點下更嚴重,例如在次22 nm節點下晶種層通常極薄如在某些情況中只有50 Å或更薄。在現行的密度下的晶種溶解與還原亦觀察到,在較高圖案密度之貫孔與溝槽中較深入貫孔與溝槽的局部位置中晶種厚度較薄,這亦造成電鍍後的晶圓缺陷。因此,本發明人發展出方法與設備來解決氧化物層的還原及/或移除,文中揭露此些方法與設備的更進一步改良。
文中揭露具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法。在某些實施例中,此些方法可包含:以一電漿接觸該半導體基板的一表面藉此還原其上的金屬氧化物而處理該表面,之後自該表面量測一接觸電漿後顏色訊號,該顏色訊號具有一或多個顏色分量。該些方法更包含:接著,基於該接觸電漿後顏色訊號估計因該電漿處理所造成的氧化物還原程度。在某些實施例中,估計因該電漿處理所造成的該氧化物還原程度係基於該接觸電漿後顏色訊號的b*分量所完成。
文中亦揭露用以還原存在於半導體基板之金屬晶種層之表面上之金屬氧化物以準備後續之電鍍操作的電漿處理設備。在某些實施例中,該些設備可包含:一製程室,其中具有至少一製程站;一基板支撐件,用以在該製程站處支撐一基板;一電漿產生器,用以在該製程室內產生一電漿及/或將該電漿提供予該製程室;及一顏色感應器,用以自該基板量測一顏色訊號,該顏色訊號具有一或多個顏色分量。該些設備更可包含:一控制器,具有用以操作該設備及其各種元件的複數非瞬變電漿可讀指令。在某些實施例中,該控制器可包含複數指令用以:操作該電漿產生器以在該製程室內產生電漿及/或將該電漿提供予該製程室,俾使該電漿接觸該製程站處之該基板的該表面藉由還原其上的金屬氧化物而處理該基板。在某些實施例中,可包含的該複數指令可用以:在該電漿接觸該基板表面後操作該顏色感應器以自該表面量測一接觸電漿後顏色訊號,該顏色訊號具有一或多個顏色分量。在某些實施例中,可包含的該複數指令可用以基於該接觸電漿後顏色訊號估計因該電漿處理所造成的氧化物還原程度。在某些實施例中,該些設備的該顏色感應器可定位及/或配置為用以在該基板係位於該製程站處時自該基板量測該顏色訊號。在某些實施例中,該些設備更可包含用以提供對該製程室之基板接取的一加載互鎖裝置,該顏色感應器可定位及/或配置為用以在該基板係位於該加載互鎖裝置內時自該基板量測該顏色訊號。
在以下揭露內容中,描述多個特定實施例,以提供此處揭露發明概念的完整理解。然而,熟習此技領域者將明白,此處揭露的發明概念,可在許多實例中在具有或不具有若干這些特定細節的情況下實施(例如替換以替代構件或步驟,或刪除若干構件或步驟),且同時仍維持在此處揭露發明概念的範疇及精神內。又,當某些製程、程序、操作、步驟、構件、模組、元件、及/或系統為熟知此項技藝者所熟知時,在文中可能不會詳細說明以免不必要地模糊本發明概念之重要態樣。晶種層之氧化
金屬晶種層可輕易地和空氣中的氧或水氣反應而自純金屬氧化為金屬氧化物與埋置純金屬的混合薄膜。雖然在環境條件下的氧化可被限制在某些金屬的一薄表面層,但在現今的技術節點下此薄層可能會構成薄晶種層的一大部分或薄晶種層的整個厚度。現今的技術節點,如4x 奈米節點、3x 奈米節點、2x 奈米節點、1x 奈米節點、及小於10奈米節點,需要相對薄的晶種層。需要相對薄之金屬層之技術節點中的貫孔與溝槽的高寬比可能約5:1或更大。在此類技術節點中,金屬晶種層的平均厚度因而可能小於約100 Å。在某些實施例中,金屬晶種層的平均厚度可能小於約50 Å。
經由下面反應式1與反應式2所示的一般化學反應,用於晶種層或阻障層的金屬會被轉換為金屬氧化物(Mox),但金屬表面 (M)與環境氧或水氣之間的確切反應機制可根據特性與氧化態而變化。 反應式1:2M(s) + O2(g) à 2MOx(s) 反應式2:2M(s) + H2 O(g) à M2 Ox + H2(g)
例如,已知沉積在基板上的銅晶種層在暴露至空氣時會快速地形成銅氧化物。銅氧化物膜可在下方銅金屬的上方上形成近乎20 Å上至50 Å厚的一膜層。當金屬晶種層變得愈來愈薄時,來自環境條件下的氧化之金屬氧化物形成可能造成重大的技術挑戰。
如所述,鈍金屬晶種轉變為金屬氧化物可能會造成問題。這不僅是在現行的銅鑲嵌製程中為真,對於使用其他導電金屬如釕、鈷、銀、鋁、及此些金屬之合金的電沉積製程亦為真。首先,在經氧化的表面上難以電鍍。一者,經氧化的表面的導電性並不如裸晶種金屬好,容易抑制電鍍率。然而,表面氧化可能不均勻,由於電鍍率取決於表面導電率,因此不均勻的表面氧化會使得電鍍不均勻且慢。又,電鍍浴添加物與金屬氧化物之間的交互作用可能不同於電鍍浴添加物與純金屬之間的交互作用,這使電鍍率更進一步地不均勻。
再者,由於氧化,可能會在金屬晶種層中形成空洞,這會造成部分晶種層無法支援電鍍。空洞之形成可能是金屬氧化物暴露至腐蝕性電鍍溶液期間金屬氧化物溶解的結果。空洞亦可因為非均勻電鍍而形成在表面上。此外,在經氧化的表面上部上電鍍塊體金屬(bulk metal)可能會導致黏著或脫層問題,這可能會更進一步地導致後續製程步驟如化學機械平坦化(CMP)之後的空洞。因蝕刻、非均勻電鍍、脫層、或其他製程所導致的空洞可使金屬晶種層不連續且無法支援電鍍。事實上,由於現代的鑲嵌金屬晶種層相對地薄如(所述)約50 Å或更薄,即便一點點的氧化可能會消耗整個厚度的晶種層。
第三且更普遍地,有時金屬氧化物的形成會造成電鍍後之其他基板製程操作的問題。例如,有時觀察到,金屬氧化物的形成會阻礙電沉積後之覆蓋層的沉積。在 某些情況中,這可能是因為金屬氧化物層有效地限制覆蓋層的黏著。
在晶種層沉積後及塊體電鍍操作之前可能難以避免金屬氧化物形成在晶種層上。各種中介製程步驟可能會發生並將晶種層暴露至環境條件下的氧或水氣。例如,沉積金屬晶種層的PVD方法之後可進行一或多個步驟之沖洗 (例如利用去離子水)及接續之乾燥,其係在塊體電鍍操作之前進行。沖洗步驟可被限制在例如介於約1至10秒之間的時間,但其可進行更長或更短的時間。接續的乾燥可介於約20至40秒的時間,但乾燥步驟可進行更長或更短的時間。在此些步驟期間,金屬晶種層可能會被暴露至環境條件且發生晶種層氧化。
甚至在無特定中介步驟(如沖洗與乾燥)的情況下,進行晶種層沉積如藉由PVD之晶種層沉積所用的製程室通常不同於電沉積用之電鍍池。如此一來,常會有中介之真空破壞及在此些製程模組之間的晶圓傳送,在此些製程模組之間傳送晶圓時可能會使晶種層暴露至環境條件,亦可能導致晶種層氧化。在某些情況中,此暴露期間可為介於約1分鐘至4小時間之間的任何時間、或更具體而言介於約15分鐘至1小時。應注意,各種適合的電鍍/沉積/填充方法與設備係載於2001年2月28日申請之美國專利US 6,793,796 (代理人案號NOVLP073)中,將其所有內容包含於此作為所有目的之參考。(此文獻說明電鍍/沉積/填充製程的至少四個階段並揭露每一階段用之用以最佳化相對小之嵌入特徵部之填充的控制電流密度方法)電漿處理以補救晶種層氧化
由於在晶種層沉積與後續塊體電鍍之間之中間期間內可能會造成金屬晶種層氧化的各種因素,高度期望能有一種在晶圓層沉積之後還原金屬氧化物的有效解決方案。一種方案為藉著使基板表面與包含還原劑的電漿接觸而預處理基板表面(在塊體電鍍之前),電漿還原劑能作用而還原形成在基板表面上的金屬氧化物。在此電漿預處理中所用的還原電漿可在反應室(容納基板以進行預處理的真空室)本身中形成、或電漿源可位於製程室遠端—即形成「遠端電漿」然後將其導入製程室中以接觸並處理基板表面—即使一旦遠端電漿進入反應室亦會受到後續的改質(如離子過濾,因此主要由自由基和基板接觸等)。
利用還原電漿而進行此類電鍍預處理的此類方法與設備係詳細載於2013年11月21日申請之名為「METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER」的美國專利申請案US 14/086,770 (現為美國專利公開案US 2014/0256128),將其所有內容藉由參照包含於此作為所有目的。取決於實施例,申請案’770揭露用以形成還原電漿之還原氣體物種可為氫(H2 )、氨(NH3 )、一氧化碳(CO)、二硼烷(B2 H6 )、亞硫酸化合物、碳及/或碳氫化合物、亞磷酸鹽、及/或肼(N2 H4 )等,電漿中能量化的還原物種可為還原氣體的離子、或自由基如H* NH2 * 、或N2 H3 *
化學式3顯示還原氣體物種的一實例,如被斷裂為氫自由基的氫氣。化學式4顯示氫自由基與金屬氧化物表面反應將金屬氧化物轉變為金屬。對於未經斷裂之氫氣分子或重新結合而形成氫氣分子的氫自由基而言,如化學式5所示,氫氣分子仍可作為將金屬氧化物轉變為金屬的還原劑。 化學式3:H2 à 2H* 化學式4:(x)2H* + MOx à M + (x)H2 O 化學式5:(x)H2 + MOx à M + (x)H2 O
如所述,在某些實施例中電漿預處理亦可包含還原氣體物種的離子與其他帶電物種,儘管通常過濾掉此些帶電物種使其無法到達基板而潛在地損害晶種層(下面將更詳細說明)是有利的。電漿預處理效能之定性 / 定量
已發現前述之電漿預處理能極有效地減少晶種層老化對後續塊體電鍍的影響。然而,在此領域中已發現電漿預處理製程效能的定性為極富挑戰的。為了定性預處理效能,通常在一受控制的環境中氧化晶圓,然後將晶圓暴露至預處理模組中的氧化物還原電漿。進行電漿處理之前與之後的片電阻量測,且片電阻的下降通常能特徵化電漿預處理的效能。即便在某個程度上此技術是有用的,即其可顯示電漿處理之前與之後的變化,但其受困於此領域中的許多問題。由於片電阻量測為離線完成,在電漿預處理與離線量測(量測係於分離的專用量測設備處完成)之間有一等待時間。由於等待時間,可能會系統性低估預處理的效能(如氧化物的移除程度)。更重的要是,在此領域中等待時間的隨機差異可能會錯誤顯示預處理效能的變化性。在某些情況中,若預處理後的等待時間夠長,經預處理的晶圓在量測片電阻之前可能會重新長回幾乎所有原本的氧化物層。是以,此類離線非原位技術對於此領域中的電漿預處理效能的定性(及定量)是不理想的,其中預處理與量測之間的等待時間無法嚴密控制及/或甚至被預測。期望有(且本文中揭露)能—在電漿預處理期間或緊接著電漿預處理之後—即時定量氧化物層厚度的線上原位量測技術—藉此提供電漿預處理效能的可靠特性。亦期望有(且本文中揭露)施行此類技術的電漿預處理模組。藉由顏色感應估計晶圓上之氧化物層移除有效性
評估氧化物層移除有效性的一機制為在電漿預處理操作之後及/或甚至期間利用顏色感應器估計氧化物層厚度。已發現,自晶圓表面量測之顏色訊號分析可用來作為探求電漿預處理期間或之後任何剩餘氧化物層之厚度的基礎。在某些實施例中,顏色訊號量測與分析可精準地評估電漿預處理模組的效能,例如偵測模組發生問題時的問題並協助避免此領域中的晶圓報廢。是以,為了準備基板進行後續電鍍用的電鍍預處理方法可包含,藉著使基板表面與電漿接觸而還原基板表面上的金屬氧化物,然後(自該基板表面)量測一顏色訊號並基於該顏色訊號估計(因電漿處理的)氧化物還原程度。
此類具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法係以圖1A中的流程圖例示。如圖中所示,此類方法100始於操作120,以電漿接觸該半導體基板的表面藉此還原其上的金屬氧化物而處理該表面。接著在接觸電漿之後,在操作130中自該表面量測一接觸電漿後顏色訊號。此類顏色訊號可具有一或多個下面將述的顏色分量。該等顏色分量其中一者以上可提供氧化物層厚度的指示。是以在操作140中基於已量測到之該接觸電漿後顏色訊號估計因該電漿處理所造成的氧化物還原程度。
應注意,自基板表面所量測到的顏色訊號通常具有複數顏色分量(但可只具有單一顏色分量)。一旦已調整一顏色感應器將其設定最佳化,其可偵測晶圓表面上的小顏色變化。在某些實施例中,顏色感應器輸出由三個顏色分量所構成的一顏色訊號。此些分量可為RGB(紅/綠/藍)顏色值,但亦可使用定義非RGB顏色空間的顏色分量。
例如,在某些實施例中,顏色感應器量測並輸出一組L*、a*、及b*顏色分量;此些L*、a*、b*顏色分量定義如圖2中所概略例示的一L*/a*/b*顏色空間。如圖2中所示,「a*」顏色分量代表顏色訊號中綠對紅的相對比例,「b*」顏色分量代表顏色訊號中藍對黃的相對比例,且「L*」顏色分量代表顏色訊號之整體光度或明亮度。當然,雖然(a*、b*、L*)一組值代表此特定顏色空間中的一位置,但熟知此項技藝者當明白,亦可使用其他顏色空間的表示方式且此顏色空間中的一組特定(a*、b*、L*)三元組值所代表的實際物理顏色可能可以由不同顏色空間中的不同顏色分量三元組值所代表。又,熟知此項技藝者當明白,文中所揭露的發明概念不限於藉由a*、b*、及L*分量的顏色空間的此特定表示方式。
理論上在量測與分析中亦可使用多於三種顏色分量如4、5、6、7、8、9、10、或16顏色分量、或顏色分量的數目範圍介於8至16顏色分量之間、或16 至32 顏色分量之間、或32至64顏色分量之間、或64至128 顏色分量之間、或128至256顏色分量之間。若使用3或更少的顏色分量,可將此些顏色分量選為如圖2概略所示之*a、*b、*L 顏色分量的任何組合、或其他類型之顏色分量(無論是一、二、或三)例如RGB(紅、綠、藍)顏色分量的一組合。應注意,雖然探測顏色訊號與參考顏色訊號通常包含相同類型與相同數目的顏色分量,但其並非必須。藉由例如忽略多餘的顏色分量,仍可計算一度量值,其表示具有不同數目之顏色分量之兩顏色訊號之間(或量測到的訊號與一向量平均之間)的差異。在其他實施例中,探測顏色訊號的此等分量可代表不同於一或多個參考顏色訊號之複數分量的顏色空間分量。若在此情況下,可使用各種顏色空間向量投影方法來定義出一有意義的比較度量值。最後應注意,在本文的文義下,理論上亦可使用感應顏色並形成顏色影像的裝置,即彩色相機。(雖然,市售的彩色相機有時對於輕微的色差並不如市售的顏色感應器來得靈敏,但在理論上,取決於實施例與期望的敏感度,彩色相機亦可能是有效的)
對於此L*/a*/b*顏色空間而言,已發現可極有效地基於b*顏色分量來判斷電漿預處理操作期間或之後的氧化物還原程度(及/或移除)。這部分係由於氧化物相對於裸金屬晶種而造成之b*顏色值的差異,但(不限於特定的理論)這亦由於b*顏色分量對顏色量測期間的其他無關人工產物、波動、不穩定等相對不敏感性。顏色感應器量測期間的某些波動與不穩定可能是因為振動、光強度改變、感應器頭與晶圓之間的間隙改變、感應器頭相對於晶圓的角度、晶圓擺動、及環境條件(包含溫度、壓力、濕度等)的改變。顏色感應器可自己具有內部光源,然而環境光的微小改變可能會干擾內部光源。例如,已發現L*顏色分量相當相依於環境光,因此不適合作為晶圓顏色因氧化物形成而顏色改變的指標。已發現其他顏色分量即a*分量在氧化物與裸金屬晶種之間不會有明顯的變化。
應注意,文中所定義的b*顏色分量為(例如複數分量之顏色訊號的)顏色分量,其所具有的值代表顏色訊號中藍對黃的相對比例(如上面針對圖2之討論)。有許多可能的顏色分量組可定義一特定顏色感應器所量測到的顏色空間。有些顏色感應器可能只量測單一的顏色分量,其可能是b*顏色分量。有些顏色感應器可量測大於3個顏色分量, b*顏色分量可為其中之一。又,即便在量測3顏色分量的感應器中,在量測到的及/或被定義為b*顏色分量中可能有某些變異(差界可能是設計所造成、或可能是因為非故意的製造變異所造成)。儘管如此,在此些情況中的任一者中,若量測到的顏色分量係代表藍對黃的比例,其被包含於文中所謂的 b*顏色分量。
在某些實施例中,據以判斷氧化物還原有效性的顏色訊號係於電漿處理完成後立即(或實務上可行地儘快)量測。(完成後多快量測可取決於顏色感應器相對於電漿預處理進行之處的放置及/或安裝,但概念為,足夠接近俾以大幅度地縮減上述針對尋常離線量測所討論的問題)。然而在其他實施例中,可在基於電漿的預處之理氧化物移除期間量測據以判斷氧化物移除有效性的顏色訊號、或甚至在預處理期間多次量測。
此類量測可用於預處理製程中的即時問題識別,以儘可能快地矯正問題並最小化晶圓損失。然而亦應注意,在預處理期間之即時氧化物層厚度量測(尤其若是在預處理期間於各種時間間隔處所進行之量測)可用來作為預處理製程本身之即時調整(如藉著調整電漿強度)的根據、或作為終點判斷(即判斷因所有(或充足的)氧化物已完全被還原及/或移除而建議停止預處理製程之時間)的根據。
因而在任何情況中,可能有各種製程分析選項,只要其處理此「接觸電漿後顏色訊號」來判斷氧化物移除有效性。例如在某些實施例中,可將接觸電漿後顏色訊號與一或多個參考顏色訊號比較。若該等參考顏色訊號代表氧化物厚度的特定位準(例如可自具有已知之氧化物層厚度的晶圓量測到),則比較量測到的接觸電漿後顏色訊號與此些參考訊號能提供氧化物層厚度的估計(進而提供物移除有效性)。
在某些實施例中,此比較可涉及識別出最接近已量測到之接觸電漿後顏色訊號(「探測」訊號)的參考顏色訊號,然後判斷與此特定參考顏色訊號相關的氧化物層厚度。若比較係基於單一顏色分量如b*分量(或單一色帶例如650 nm
Figure 02_image001
),則「最接近」的參考訊號表示其顏色分量的值與探測訊號的差異最小。若比較係基於複數顏色分量,則「最接近」的參考訊號為其複數顏色分量之向量與探測訊號差異最少者,即其具有最小的下列值:
Figure 02_image003
其中ci 為探測訊號(量測到的接觸電漿後顏色訊號)與參考顏色訊號的第i h 分量,且N 為顏色訊號分量的數目(熟知此項技藝者當能輕易明白)。
當然,由此向量差異之大小的縮放版所構成的一差異度量值可以類似方式作用(例如,縮放可能是因為單位轉換),向量差異大小的各種單調函數亦可以類似的方式作用。熟知此項技藝者當能輕易明白,只要能夠產生能代表探測訊號與參考顏色訊號之間之差異的值,度量值的特定函數形式並非關鍵。代表比較度量值的數學函數可實施為顏色訊號的分析函數,其可以查找表的方式實施,或其可利用某些其他計算方法(如分析函數評估與查找表的組合)來實施。
由於已決定b*顏色分量能證明氧化物層厚度,在較佳實施例中,可針對接觸電漿後量測到的顏色訊號(探測訊號)與參考顏色訊號之b*分量來完成比較。是以,基於b*顏色分量的分析可涉及計算一組度量值,每一度量值係與一接觸電漿後顏色訊號之b*分量與一參考顏色訊號(對應至已知厚度之氧化物層)之b*分量之差異之絕對值單調地相關。
在更複雜的實施例中,藉著參考顏色訊號(對應至已知的氧化物層厚度)之間的內插可判斷氧化物層的厚度。在某些實施例中,可使用自複數組具有已知氧化物層厚度的參考晶圓量測到之複數組顏色訊號來建立顏色訊號與氧化物層厚度之間的函數關係,且此函數關係可用以基於自測試晶圓表面量測到的顏色訊號估計測試晶圓的氧化物層厚度(並藉此估計電漿預處理程序的有效性)。取決於不同情況,氧化物層厚度與顏色訊號之間的此函數關係可為線性、或大致線性、或非線性。當然函數關係從未是精確的,但可自擬合一組特定數據(即來自具有已知氧化物層厚度之參考晶圓之顏色訊號量測值)的一或多個試探函數形式,選擇或決定出最擬合的函數關係。如熟知此項技藝者能輕易明白地,最擬合係推測為建立與函數形式相關之一或多個參數的數值(例如假設函數關係為線性的情況下之線的斜率與截距)。當然,熟知此項技藝者亦能輕易明白一旦已決定出顏色訊號與氧化物層厚度之間的函數關係(無論其為線性、非線性等),可藉由分析函數評估、查找表等將此函數應用至已量測到的顏色訊號以計算晶種層厚度的近似值。
另一組顏色訊號處理選擇可為,基於接觸電漿後所量測到之一或多個顏色訊號與電漿預處理前所量測到之一或多個顏色訊號的比較來分析預處理有效性。 具有接續之電鍍操作用之金屬晶種層之半導體基板的此類製備方法係由圖1B中的的流程圖所例示。如圖中所示,此類方法105始於操作110,在與電漿接觸之前自半導體基板表面量測一接觸電漿前顏色訊號。接著進行操作130,基板表面與電漿接觸以藉著還原其上的金屬氧化物而處理基板表面。接著,如圖1A的方法100,在接觸電漿後,在操作130中自基板表面量測接觸電漿後顏色訊號。接著在操作145中完成因電漿處理所造成之氧化物還原程度的估計,此估計係藉由比較接觸電漿前顏色訊號與接觸電漿後顏色訊號所完成。
如接觸電漿後顏色訊號(或複數訊號),接觸電漿前顏色訊號可具有一或多個顏色分量,尤其可包含b*分量。在某些實施例中,接觸電漿之前與之後之顏色訊號的比較可涉及計算一度量值,此度量值係代表接觸電漿前顏色訊號與接觸電漿後顏色訊號之間的差異。更具體而言,若使用b*分量作為比較基準,此度量值可與接觸電漿前顏色訊號之b*分量與接觸電漿後顏色訊號之b*分量之間的差異的絕緣值單調地相關。
已發現在控制良好的真空環境(如在電漿製程室中)及週遭環境(如下面將更詳細說明,例如在製程室的入站與出站加載互鎖裝置內)中,用以評估電漿預處理有效性之顏色感應器量測是可行的。然而已發現,顏色訊號量測在顏色感應器之頭靠近晶圓表面(即感應器與晶圓之間僅有小間隙,後面會更進一步說明)時最有用。這能達到具有最佳信噪比的最穩定量測。下面將更詳細地說明關於顏色感應器相對於電漿製程室之放置及/或安裝。晶種層之電漿處理的進一步細節
圖3預先安排一更詳細的流程圖例示一電鍍方法,此電鍍方法包含一電漿預處理及類似於圖1A與1B中所示之操作之用以估計預處理期間之氧化物還原程度的操作。方法300始於操作305,將一金屬晶種層(如薄銅層)沉積至一基板上。這提供了在電鍍表面上具有金屬晶種層的一基板。此基板可具有複數凹陷,此些凹陷所具有之高寬比係大於約3:1或大於約5:1。在操作310處,基板被傳送(可能在環境條件下)至一製程室,例如一預處理模組的製程室。在操作315處,在製程室中的真空或減壓環境下,使基板與還原電漿(具有在製程室中或如上所述製程室遠端形成之還原氣體物種的離子及/或自由基物種)接觸。(自由基與離子物種可自由朝向基板表面漂移;離子尤其可藉由例如施加於噴淋頭與基板支撐件/平臺之間偏壓加速)。在操作320處,此處理造成金屬晶種層上的氧化物還原並形成未氧化(或幾乎未氧化)的金屬表面。在某些實施例中,如圖中所示,原本氧化的金屬變成整合至金屬晶種層上的薄膜。
在氧化物還原之後,接下來的兩個操作涉及基於顏色訊號量測而估計氧化物還原程度。尤其,在操作322中,自基板表面量測一接觸電漿後顏色訊號。接著,再次類似於圖1A與1B,在操作323中基於操作322中所量測到之顏色訊號的分析,將氧化物還原程度(在操作320中完成)加以估計。接著方法進行至操作325,基板在環境條件下或惰性氣體毯覆下傳送至電鍍系統(或無電鍍系統、其他金屬沉積系統、或另一預處理設備)。雖然藉著將金屬氧化物表面暴露至還原氣體環境已實質上還原金屬晶種層中的金屬氧化物,但進行操作325可能會帶來因暴露至環境條件而再次氧化的額外挑戰。在某些實施例中,利用某些技術如縮短傳送時間或控制傳送期間的環境可最小化暴露至環境條件。此外或或者,在受到控制的環境中進行傳送,受到控制的環境比環境條件更不易導致氧化。為了控制傳送期間的環境,例如可使環境實質上無氧。環境可為實質上惰性及/或低壓或真空。在某些實施例中,可在惰性氣體毯覆下傳送基板。如下所討論的,操作325中的傳送可能是自電漿預處理室傳送至相同設備中、或在某種程度上分離但彼此連接或整合的兩個設備(電漿處理設備與電鍍設備)之中的電鍍池,俾以在較少或最少或無暴露至可造成基板目前實質上裸金屬表面氧化的情況下將已經還原電漿預處理的基板傳送至電鍍池。在任何情況中,一旦在圖3的操作325處進行傳送,方法可於操作330結束,在操作330中金屬最終被電鍍至基板表面上,特別是在較佳的實施例中,金屬被電鍍至目前基板表面上之裸、實質上無氧化的金屬晶種層上。
預處理電漿亦可自還原氣體物種產生UV輻射並包含UV輻射。在某些實施例中,UV光子可加熱基板表面以為後續還原活化金屬氧化物表面、或者在某些情況中,UV光子可具有充分的能量以本身造成金屬氧化物的還原。是以在某些實施例中,還原氣體物種的自由基或離子、來自還原氣體物種(中性粒子、離子、及/或自由基)的UV輻射、或還原氣體物種本身可還原金屬氧化物。
此外,經活化之還原氣體物種(離子、自由基等)可與混合氣體物種(如相對惰性的氣體物種)組合。相對惰性之氣體物種的實例可包含氮氣(N2 )、氦氣(He)、氖氣(Ne)、氪氣(Kr)、氙氣(Xe)、氡氣(Rn)、及氬氣(Ar)。用以形成還原電漿之還原氣體物種的流率可依處理之晶圓的尺寸而變化。例如,對於處理單一450 mm晶圓而言,流率可介於約10每分鐘標準立方公分(sccm)至約100,000 sccm之間。亦可應用其他晶圓尺寸。例如,對於處理單一300 mm晶圓而言,用以形成電漿之還原氣體物種的流率可介於約500 sccm至約30,000 sccm之間。
溫度與壓力亦影響還原電漿的反應性,但在將金屬晶種層暴露至還原氣體環境期間通常會將溫度維持在低於金屬晶種層產生結塊的溫度。如申請案’770中所揭露,適當的溫度與壓力可為下列者:還原室的溫度可相對高以使還原氣體物種解離為自由基。例如,還原室溫度可為介於約10至500°C之間的任何溫度,如介於約50至250°C之間的溫度。可使用較高溫度來加速金屬氧化物還原反應並縮短暴露至還原氣體環境的時間。在某些實施例中,還原室可具有相對低的壓力以自還原氣體環境實質上移除任何氧,因為最少化環境中的氧可縮減再氧化效應。例如,可將還原室泵抽至真空環境或介於約0.1 Torr至約50 Torr之間的減壓環境。增加溫度及/或降低溫度亦可增加金屬晶種層中金屬原子的回流以產生更均勻與連續的金屬晶種層。
在某些實施例中,如在申請案’770中所述,可分別控制基板溫度與預處理室溫度,以避免或減少對金屬晶種層的損害。取決於金屬晶種層中之金屬的類型,金屬可在高於閾值溫度之上的溫度下開始結塊。結塊效應在相對較薄的晶種層較明顯,特別是具有小於約100 Å厚度的晶種層中。結塊包含連續或半連續的金屬晶種層聚集或集結(beading)成珠、突起、島形物、或其他塊狀物而形成不連續的金屬晶種層。這可造成金屬晶種層自其黏著的表面剝離且可導致電鍍期間的較多空洞。例如,在銅中開始結塊的溫度係大於約100°C。不同的結塊溫度可能適合於不同金屬。
在某些實施例中,可將基板維持在介於約-10°C至約150°C之間的溫度。例如在銅晶種層中,可將基板維持在介於約75°C至約100°C之間的溫度。在鈷晶種層中,可將基板維持在高於約100°C的溫度。是以在預處理室中可使用冷卻系統,如經主動冷卻的平臺及/或氣流冷卻設備,以將基板的局部區域維持在低於結塊溫度的溫度。取決於實施例,熱傳可藉由傳導、對流、輻射、或其組合進行。在某些實施例中,冷卻流體循環迴路可主動冷卻基板。包含冷卻特徵的實施例係載於2008年2月5日發証之美國專利US 7,327,948 (代理人案號NOVLP127X1);2011年1月5日發証之美國專利US 7,941,039 (代理人案號NOVLP127X3);2007年5月21日申請之美國專利申請案US 11/751,584 (代理人案號NOVLP127X2);2012年2月10日申請之美國專利申請案US 13/370,579 (代理人案號NOVLP127C1);2012年3月20日發証之美國專利US 8,137,465 (代理人案號NOVLP127);2005年5月12日申請之美國專利申請案US 11/129,266 (代理人案號NOVLP361);2006年10月10日申請之美國專利申請案US 11/546,189 (代理人案號NOVLP198);及2010年3月29日申請之美國專利申請案US 12/749,170 (代理人案號NOVLP361D1),將上述每一者的所有內容藉由參照包含於此用於所有目的。
如在申請案’770中詳細說明,電漿預處理的持續時間可根據其他製程參數而變化。例如,藉著增加電漿功率、溫度等可縮短暴露持續時間。取決於實施例,適合的持續時間可介於約1分鐘至60分鐘之間。例如,對於預處理銅晶種層而言,暴露的持續時間可介於約10至300秒之間。
此外,如在申請案’770中所說明,電漿預處理可包含額外的優點:使晶種層中已被預處理還原回其元素(非氧化)態的部分中的金屬回流。藉著使金屬原子具有可動性並重新分佈而改善晶種層的覆蓋及/或平滑度,此回流製程可作用以減少金屬晶種層中的空洞與間隙,藉此成更均勻與連續的金屬晶種層。在某些實施例中,金屬晶種層中的金屬的回流可為下列者的結果:暴露至較高溫度與較低壓力中的一或多者、暴露至來自專屬UV源的UV輻射、暴露至還原電漿所產生的UV輻射、及/或暴露至電漿中的自由基及/或離子,上述者被認為(不限於特定理論)可造成金屬原子進入更激發態而藉此變得更可動。又,此回流可造成預處理所還原的金屬(即自金屬氧化物層所重新復原的金屬)整合成一薄膜,此薄膜與金屬晶種層從未被氧化的部分整合。此薄膜可以實質連續保形的方式覆於金屬晶種層輪廓上方,其下方的晶種層部分從未被氧化過。是以,此再生的金屬薄膜能阻抗脫層等,而在以其他技術消除氧化物的情況中常可見到脫層等問題。具有顏色感應器的電漿處理設備
文中揭露用以還原存在於半導體基板之金屬晶種層之表面上之金屬氧化物以準備後續之電鍍操作的電漿處理設備。該些設備可包含:一製程室,其中具有至少一製程站;一基板支撐件,用以在該製程站處支撐一基板;一電漿產生器(用以在該製程室內產生電漿及/或將電漿提供予該製程室);及一控制器,具有用以操作該設備複數非瞬變電腦可讀指令。該控制器的指令可包含用以操作電漿產生器以在該製程室內產生電漿的指令。在某些實施例中,該指令使電漿在製程室遠端產生(下面將更進一步地說明)然後被提供至製程室。在任何情況中執行電漿生成(或任何相關的)指令會造成電漿接觸製程站處之基板的表面而藉由還原其上的金屬氧化物而處理基板。然而,除了上述特徵外,文中所揭露之電漿處理設備(電鍍預處理設備)更包含與控制器協力合作的顏色感應器,顏色感應器能提供電漿預處理設備之效能的即時原位估計(在某些情況中為連續監控)。
是以,控制器可執行類似於上述該些操作之基板製程操作用的指令:操作電漿產生器以在製程室內產生電漿及/或將電漿提供至製程室,俾使電漿接觸製程站處的基板的表面以藉著還原基板表面上的金屬氧化物而處理基板表面;在電漿接觸基板表面之後操作顏色感應器以自表面量測一接觸電漿後顏色訊號,該顏色訊號具有一或多個顏色分量;基於該接觸電漿後顏色訊號估計因電漿處理所造成的氧化物還原程度。控制器可施行的額外操作包含但不限於:將半導體基板提供至製程室內的製程站、操作電漿生成操作中操作遠端電漿源、控制基板之已氧化之金屬晶種層對電漿之暴露等。
顏色感應器可被置於/位於/安裝於電漿處理設備內的各種位置處。例如,在某些實施例中,顏色感應器可被置於/位於/安裝於電漿製程室本身內,俾使感應器可在一基板係位於電漿處理用之製程站處(製程室內)時自該基板量測一顏色訊號(或複數顏色訊號)。在其他實施例中,顏色感應器被置於/位於/安裝於設備之入站或出站加載互鎖裝置(對基板提供對電漿製程室的出入接取)中。在此類的配置中,接觸電漿後顏色訊號(或複數訊號)可藉由位於出站加載互鎖裝置中的顏色感應器原位量測,然後提供電漿預處理有效性的估計,雖然並非電漿處理期間的即時估計。然而此外,若將另一顏色感應器安裝至入站加載互鎖裝置中,可在電漿處理之前額外地量測接觸電漿前顏色訊號,且從而接觸電漿前顏色訊號與接觸電漿後顏色訊號之比較可提供評估氧化物移除有效性的前後依據。
在某些實施例中,顏色感應器的硬體組件可包含一光纖感應器頭及一感應器本體;且感應器本體可包含一顏色訊號處理模組,顏色訊號處理模組具有用以處理顏色訊號的電腦可讀指令。顏色感應器放置/安裝的前述討論可更精準地應用至顏色感應器的光纖頭。下面將配可各種相關圖示更進一步地說明。在說明該些細節之前,首先說明電漿製程設備的各種其他態樣:一值得關注的態樣為電漿製程設備的噴淋頭特徵,噴淋頭係位於電漿源與半導體基板之間。在某些實施例中,一般期望在電漿中主要是自由基和基板接觸並還原其上之氧化物—而非電漿中的離子—且下述的噴淋頭特徵可作用以濾除電漿離子,俾使只有電漿自由基到達基板表面。
圖4顯示電漿處理設備之一實例的橫剖面圖。電漿處理設備400包含具有單一基板製程站的製程室450—如用以支撐基板410之基板支撐件405(其可為一平臺)所提供。電漿處理設備400亦包含用以產生電漿的電漿產生器。此處,遠端電漿源440遠端地產生電漿然後經由噴淋頭430(其係位於基板410與遠端電漿源440之間)將電漿提供至製程室。然而,在其他實施例中電漿產生器可自製程室本身內部產生電漿。
還原氣體物種420可自遠端電漿源440經由噴淋頭430流向基板410。遠端電漿源440中可產生遠端電漿以產生還原氣體物種420的自由基。遠端電漿亦可產生還原氣體物種的離子及其他帶電物種。例如,複數線圈444可圍繞遠端電漿源440的複數壁並在遠端電漿源440中產生遠端電漿。
遠端電漿更可自還原氣體物種產生光子,如UV輻射。在某些實施例中,電漿處理設備更可包含UV源。UV源可包含UV寬帶燈,如水銀燈、UV準分子燈、UV準分子雷射、及其他適合的UV源。UV源的態樣可載於2013年3月6日申請之美國專利申請案US 13/787,499 (代理人案號LAMRP027),將其所有內容藉由參照包含於此且用於所有目的。在某些實施例中,可將還原氣體物種暴露至來自UV 源的UV輻射以形成還原氣體物種的自由基及其他帶電物種,此些物種可與金屬晶種層的金屬氧化物表面反應以原還金屬氧化物。
在某些實施例中,複數線圈444可與射頻(RF)電源或微波電源電連通。在加州弗里蒙特之蘭姆研究公司所製造的GAMMA® 中可找到具有RF電源之遠端電漿源440的一實例。在麻州威爾明頓之MKS 設備所製造的Astron® 中可找到RF遠端電漿源440的另一實例,該RF遠端電漿源可在440 kHz下操作且可被提供為被閂鎖在用以平行處理一或多片基板之較大設備上的一子單元。在某些實施例中,微波電漿可與遠端電漿源440一起使用,如在亦為MKS 設備所製造的Astex® 所見。微波電漿可用以在2.45 GHz的頻率下操作。
在具有RF電源的實施例中,可在任何適合的功率下操作RF產生器以產生具有期望自由基物種組成的電漿。適合的功率的實例包含但不限於介於約0.5 kW至約6 kW之間的功率。類似地,RF產生器可提供適合頻率的RF功率,如感應耦合電漿用之13.56 MHz。
還原氣體物種420係自氣體入口442被輸送至遠端電漿源440的內部體積中。施加至線圈444的功率可利用還原氣體物種420產生遠端電漿以形成還原氣體物種420的自由基。遠端電漿源440中形成的自由基可以氣相經由噴淋頭430朝向基板410。具有此類配置之遠端電漿源440的實例可為記載於2011年12月27日發証之美國專利US 8,084,339 (代理人案號NOVLP414),將其所有內容藉由參照包含於此用於所有目的。還原氣體物種420的自由基可還原基板410表面上的金屬氧化物。
除了還原氣體物種的自由基外,遠端電漿亦可產生及包含還原氣體物種420的離子與其他帶電物種。在某些實施例中,遠端電漿可包含還原氣體物種420的中性分子。中性分子的某些者可與來自還原氣體物種420之帶電物種的重新結合分子。還原氣體物種420之中性分子或重新結合的分子亦可還原基板410表面上的金屬氧化物,雖然其與金屬氧化物反應及還原金屬氧化物的所需時間會比還原氣體物種420的自由基的所需時間更長。離子可漂移至基板410的表面並還原金屬氧化物,或者若基板支撐件405具有相反的帶電偏壓則離子可加速朝向基板410的表面並還原金屬氧化物。具有帶較高離子能量的物種可更深地植入金屬晶種層中以產生更遠離基板410之表面的介穩狀態的自由基物種。例如,若基板410具有高高寬比如介於約10:1至約60:1之間的特徵部,具有較高離子能量的離子可較深入此類特徵部以更徹底地還原特徵部的金屬氧化物。相反地,來自遠端電漿生成之還原氣體物種420的自由基的某些者可在場域(field)中或在靠近特徵部上部處重新結合。具有較高離子能量(如10eV – 100eV)的離子亦可被用以再濺射金屬晶種層中的金屬並使其回流,這可為後續的電鍍或金屬沉積(如PVD、CVD、ALD)造成更均勻的晶種層覆蓋並縮減高寬比。
在圖4中,電漿處理設備400可主動冷卻或以其他方式控制基板410的溫度。在某些實施例中,可能期望控制基板410的溫度以控制在處理期間還原反應速率及遠端電漿的暴露均勻度。亦可能期望控制基板410的溫度以減少在處理之前、期間、及/或之後基板410上的氧化效應。
在某些實施例中,電漿處理設備400可包含複數可動構件415,例如複數舉升銷,其可使基板410移動遠離或可使基板移動靠近基板支撐件405。複數可動構件415可與基板410的下表面接觸或以其他方式將基板410舉離基板支撐件405。在某些實施例中,複數可動構件415可垂直移動基板410並控制基板410與基板支撐件405之間的間距。在某些實施例中,複數可動構件415可包含兩或更多可致動的舉升銷。複數可動構件415可建構成自基板支撐件405延伸介於約0英吋至約5英吋之間、或更遠的距離。複數可動構件415可使基板410遠離熱基板支撐件405並靠近冷噴淋頭430以冷卻基板410。複數可動構件415亦可縮回以使基板410靠近熱基板支撐件405並遠離冷噴淋頭430以加熱基板410。藉由複數可動構件415調整基板410的位置,可調整基板410的溫度。當調整基板410位置時,可使噴淋頭430與基板支撐件405維持在固定溫度。
在某些實施例中,電漿處理設備400可包含噴淋頭430,噴淋頭430允許噴淋頭溫度控制。允許溫度控制之噴淋頭配置的一實例可載於2012年3月20日發証之美國專利US 8,137,467 (代理人案號NOVLP246)及2009年4月16日公開之美國專利公開案US 2009/0095220 (代理人案號NOVLP246X1),將兩者的所有內容藉由參照包含於此用於所有目的。允許溫度控制之噴淋頭配置的另一實例可載於2011年6月23日公開之美國專利公開案US 2011/0146571 (代理人案號NOVLP329),將其所有內容藉由參照包含於此用於所有目的。為了使噴淋頭430能主動冷卻,可使用熱交換流體,如去離子水或密西根Midland之道氏化學所製造的熱傳輸流體。在某些實施例中,熱交換流體可流經噴淋頭430中的流體管道(未顯示)。此外,噴淋頭430可使用熱交換器系統(未顯示),如流體加熱器/冷卻器,以控制溫度。在某些實施例中,可將噴淋頭430的溫度控制在低於約30°C如介於約5°C至約20°C之間。可冷卻噴淋頭430以減少在基板410之處理期間因過熱對金屬晶種層所造成的損害。亦可冷卻噴淋頭430以降低如處理基板410之前及之後的基板410的溫度。
在某些實施例中,噴淋頭430可包含複數孔洞。增加噴淋頭430中之孔洞的尺寸與數目及/或減少噴淋頭430的厚度可允許來自還原氣體物種420的自由基、離子、及UV輻射以更大流量流經噴淋頭430。將金屬晶種層暴露至更多的自由基、離子、及UV輻射可提供能還原金屬晶種層中之金屬氧化物的更多的UV暴露及能量化物種。在某些實施例中,噴淋頭430可包含介於約100個至約900個之間的孔洞。在某些實施例中,複數孔洞的平均直徑可介於約0.05英吋至約0.5英吋之間。這可造成噴淋頭430中因孔洞存在的開啟面積介於約3.7%至約25%之間。在某些實施例中,噴淋頭430可具有介於約0.25英吋至約3.0英吋之間的厚度。
在某些實施例中,基板支撐件405可朝向噴淋頭430移動或移動離開噴淋頭430。基板支撐件405可垂直延伸以控制基板410與噴淋頭430之間的間隙。當還原基板410上的金屬氧化物時,可調整基板410上之還原反應的均勻度及速率。例如,若基板支撐件405較靠近噴淋頭430,基板410表面上的金屬氧化物的還原反應可能會進行得較快。然而,基板410中央可能會比基板410邊緣更熱,這可導致較不均勻的還原處理。因此,可調整基板410與噴淋頭430之間的間隙以為處理基板410獲得期望的速率與均勻度。在某些實施例中,基板支撐件405可建構成自噴淋頭430延伸介於約0英吋至約5英吋之間、或大於約5英吋的距離。
在某些實施例中,亦可調整基板支撐件405的溫度。在某些實施例中,基板支撐件405可為具有一或多個流體管道(未顯示)的平臺。取決於熱傳輸流體的溫度,流體管道可在平臺內循環熱傳輸流體以主動冷卻或主動加熱平臺。文中較早討論的主動冷卻平臺系統中可說明包含此類流體管道與熱傳輸流體的實施例。經由一或多個流體管道循環熱傳輸流體可控制基板支撐件405的溫度。基板支撐件405的溫度控制可將基板410的溫度控制至更精細的程度。在某些實施例中,可調整基板支撐件405的溫度使其介於約0°C至約400°C之間。
在某些實施例中,電漿處理設備400可包含一或多個氣體入口422以使冷卻氣體460流經製程室450。一或多個氣體入口422可被置於基板410的上方、下方、及/或側邊。一或多個氣體入口422中的某些者可用以使冷卻氣體460沿著實質上垂直於基板410之表面的方向流動。在某些實施例中,複數氣體入口422中的至少一者可經由噴淋頭430將冷卻氣體460輸送至基板410。一或多個氣體入口422中的某些者可平行於基板410的平面且可用以輸送冷卻氣體460的橫流橫越基板410的表面。在某些實施例中,一或多個氣體入口422可將冷卻氣體460輸送至基板410上方與下方。冷卻氣體460流動越過基板410可快速地冷卻基板410。基板410的快速冷卻可減少基板410中之金屬晶種層的氧化。基板410的此類冷卻可在基板410之處理之前及之後進行。冷卻用之冷卻氣體460的流率可介於約0.1每分鐘標準升(slm)至約100 slm之間。
冷卻氣體460的實例可包含相對惰性的氣體,如氮氣、氦氣、氖氣、氪氣、氙氣、氡氣、及氬氣。在某些實施例中,冷卻氣體460可包含氮氣、氦氣、及氬氣中的至少一者。
在某些實施例中,可輸送室溫如介於約10°C至約30°C之間的冷卻氣體460。在某些實施例中,可輸送溫度低於室溫的冷卻氣體460。例如,藉著將冷液體如液態氬、氦、或氮膨脹至氣體可形成冷的惰性氣體。是以,冷卻用之冷卻氣體460的溫度範圍可擴大至介於約-270°C至約30°C之間的任何溫度。
在某些實施例中,電漿處理設備400可為電鍍設備(未顯示)的一部分或與電鍍設備整合。基板410中之金屬晶種層在暴露至環境條件期間可快速地發生氧化。藉著將電漿處理設備400附接或以其他方式連接至電鍍設備,可縮減基板410對環境條件的暴露時間。例如,處理之後在電漿處理設備與電鍍設備之間的傳送時間可介於約15秒至約90秒之間、或少於約15秒。
表I總結了可與電漿處理設備400之某些實施例一起使用之製程參數的例示性範圍。 I
Figure 106102768-A0304-0001
控制器435可包含用以控制電漿處理設備400操作用之參數的指令。控制器435通常包含一或多個記憶體裝置及一或多個處理器。 處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板等。電漿處理設備可進行複數操作,此些操作不限於以遠端電漿處理基板。電漿處理設備可有效地將基板傳至電鍍設備、無電鍍設備、或其他金屬沉積設備並自電鍍設備、無電鍍設備、或其他金屬沉積設備傳回(如加載/卸載)。藉著以可動構件及/或基板支撐件調整基板位置,電漿處理設備可有效地控制基板的溫度。藉著控制基板支撐件的溫度與噴淋頭的溫度,電漿處理設備可有效地控制基板的溫度。藉著調整基板支撐件相對於噴淋頭的位置,電漿處理設備可調整還原反應速率與還原反應的均勻度。藉著控制輸送至製程室中之氣體及氣體流率,電漿處理設備可控制基板周圍的環境條件。此類操作可改善基板製程並同時將額外操作整合至單一獨立設備中。是以,可使用單一設備處理並冷卻基板而毋需使用兩個分離的模組。又,藉著配置電漿處理設備使其能夠進行上述操作的某些者,電漿處理設備可在基板製程之前、期間、及之後縮減金屬晶種層的潛在氧化。
圖4例示具有單一製程站的一電漿處理設備。然而,電漿處理設備可具有複數製程站以平行處理複數基板。取決於實施例,複數製程站中的一或多者可具有經放置/安裝的顏色感應器以在氧化物移除製程期間以原位即時方式量測顏色訊號並估計氧化物移除效能。圖5A概略例示具有4個製程站即製程站541-544的一此類多站電漿處理設備500。在此實施例中,單一製程室510定義了所有4個製程站所用的高真空環境,但在某些情況中,可使某些氣體在不同製程站之間流動以使其彼此體積隔絕(至少某個程度上的隔絕)。又,此實施例使用轉盤520,基板係放置於轉盤520上,轉盤520可使基板在4個製程站之間旋轉。是以,取決於實施例,4個製程站可進行相同或不同的製程操作。又,即便在該4 站的每一站處進行相同的製程操作(或操作),將複數半導體基板旋轉經過所有4個製程站仍可能是有利的,因為製程中的任何系統差異會被4個製程站所「平均」。
基板經由入站加載互鎖裝置531進入製程設備500並經由出站加載互鎖裝置532離開。利用加載互鎖裝置之操作,可在毋需打破製程室510所提供之高壓真空環境的情況下達成半導體基板的進入與離開製程設備。尤其,在圖示所示的實施例中,這可藉由下列方式達到:在將基板置入加載互鎖裝置531與532中後關閉加載互鎖裝置密封件533與534(通往製程室),然後才開啟加載互鎖裝置之門535與536(通往製程設備外的周遭環境)。
在設備500內,藉由晶圓搬運機器人540將基板傳送至入站加載互鎖裝置531並自出站加載互鎖裝置532傳送基板。注意,在此實施例中,機器人540僅將晶圓傳送至最靠近的製程站即製程站541與542。可藉由轉盤520的旋轉接取另外兩個製程站543與544。額外的密封件561與562可對晶圓搬運機器人540提供對製程室510的接取。
顏色感應器可被置於/安裝於/位於電漿處理設備500內的不同位置處。如圖5A中所示,顏色感應器595係位於製程站 541處。藉由轉盤使基板在不同製程站之間旋轉讓製程室中只有單一顏色感應器是可行的。圖5A亦顯示具有分別位於入站與出站加載互鎖裝置中之顏色感應器591與592的設備500。如上所述,這提供了在電漿預處理製程之前與之後量測顏色訊號的能力。
圖5B至5D提供顏色感應器在加載互鎖裝置中的更詳細安裝圖示。尤其,圖5B顯示具有顏色感應器596的加載互鎖裝置530,是以加載互鎖裝置530可對應至圖5A中的出站加載互鎖裝置532。圖5C顯示圖5B中虛線505所指示的垂直剖面圖(對比於圖5A與5B顯示加載互鎖裝置的上視圖)。圖5C中的垂直剖面圖顯示顏色感應器的位置,更尤其顯示此特定顏色感應器的硬體組件包含光纖感應器頭596A,光纖感應器頭596A係耦合單一纜線外罩596B 中成束的一或多條光纖線,單一纜線外罩596B止於可被稱為顏色感應器本體596C(如上所述)之處,顏色感應器本體596C容納真實顏色偵測電子裝置。在某些實施例中,感應器本體596C可額外地包含顏色訊號處理模組,其具有用於基本偵測之外(如校正、對比增強等)之顏色訊號(傳輸自光纖感應器頭)處理的邏輯及/或電腦可讀指令。因此應注意,顏色感應器之位置/安裝的前述討論可更精確地應用至顏色感應器的光纖頭而非整個顏色感應器。整合至電漿處理設備中量測如圖2中所例示之a*、b*、及L*三個顏色分量之此類顏色感應器的一實例為德國Micro-Epsilon 所製造之Micro-Epsilon型顏色感應器。
圖5C顯示顏色感應器596或更切確地說顏色感應器的光纖頭596A係位在加載互鎖裝置530內的晶圓507的邊緣處。應注意,由於顏色感應器對準晶圓的一區域而非其中央,原則上晶圓的旋轉可使顏色感應器量測到晶圓表面上方位角旋轉的複數點的複數顏色訊號。取決於可旋轉之基板支撐件的可能位置,當感應器係安裝於製程室中而非加載互鎖裝置中時,這可能更可行。當然,不需要方位角散佈的複數顏色訊號量測值,但提供此些值可藉著校驗整個晶圓週長附近的氧化物移除效能而提供較佳的精準度。
圖5D顯示另一垂直橫剖面概圖,其放大如圖5C中的虛橢圓506所示的區域。此處,詳細顯示位於安裝件598內之光纖顏色感應器頭596A,安裝件598座落於加載互鎖裝置530的上壁中。半透明石英窗597使自基板507(圖5C)向上反射回來的光能以到達其安裝件598內的顏色感應器 596A。
在某些實施例中,顏色感應器可額外地包含用以照射基板表面的光源。這亦可以光纖的方式達成;換言之,在纜線596B中成束的一或多條光纖線可將自感應器本體596C所產生的光傳輸至感應器頭596A,光在感應器頭596A處朝向基板發射。一般而言,使用實質上的白光並使其以相對小的光點對準基板表面上。當感應器頭相對於基板表面以90度設置並相當靠近基板表面(如圖5C中所示)時,反射光係由感應器頭596A所收集,然後藉由纜線596B帶回位於顏色感應器之本體596C中的真實電子顏色偵測器。當然,顏色感應器的實體結構是否如圖5C所概示包含具有主體、纜線外罩、及光纖感應器頭的複數物理單元,或顏色感應器是否被配置為單一物理單元,對於文中所述之發明概念的操作與功能皆非關鍵。
在某些實施例中,電漿處理設備的一或多個顏色感應器係用以將訊號發送(電方式)至設備的控制器(如圖5中的控制器550)。控制器可用以接收訊號並處理訊號,進行文中所述之用以估計電漿處理後(或電漿處理期間)之氧化物還原程度之顏色訊號分析方法的任何一者。然而在某些實施例中,顏色感應器本身內部的顏色訊號處理模組可具有充分的處理能力實施文中所述之用以估計氧化物還原程度的方法。在此類實施例中,顏色感應器可包含在偵測到和電漿處理製程相關的問題時用以發訊號予主設備控制器的邏輯。
圖6A顯示一例示性流程圖,其例示具有金屬晶種層之基板的一處理方法。圖7A–7D顯示複數橫剖面概圖的實例,其例示利用一電漿處理設備處理具有金屬晶種層之基板的各種階段。圖6A中所討論之步驟的某些者可針對對應圖7A–7D中的橫剖面概圖來加以討論。
在圖6A中,製程600a可始於步驟605a,在步驟605a處於製程室中提供一基板。基板可包含金屬晶種層,其中金屬晶種層的一部分已被轉變為金屬氧化物。在藉由遠端電漿處理基板之前,可將基板載入至電漿處理設備的製程室中。在某些實施例中,可將基板提供至位於致動位置中的一或多個可動構件上。在某些實施例中,在基板裝載期間惰性氣體可流經製程室以冷卻基板。這可減少基板裝載期間基板的額外氧化。在某些實施例中,在將基板載入製程室中時,可關閉製程室並將製程室泵抽至真空或減壓狀態。這可提供實質上無氧的環境。製程室的壓力可介於約0.5 Torr至約6 Torr之間如介於約0.5 Torr至3 Torr之間。較低的壓力可減少環境中的氧的存在。是以在此類條件下將基板載入製程室中可減少金屬晶種層的額外氧化。
圖7A顯示一電漿處理設備700之一橫剖面概圖的實例,其例示電漿處理設備700處理具有金屬晶種層之基板之複數階段中的一階段(如步驟605a)。電漿處理設備700包含製程室750中的基板支撐件705、基板支撐件705上方的遠端電漿源740、及遠端電漿源740與基板支撐件705之間的噴淋頭730。複數可動構件715可自基板支撐件705朝向噴淋頭730延伸以置放基板710。可動構件的實例可包含舉升銷及外圍抓件。基板710可包含一金屬晶種層,金屬晶種層包含Cu、Co、Ru、Pd、Rh、Ir、Os、Ni、Au、Ag、Al、及W中的至少一者。在某些實施例中,金屬晶種層的厚度可小於約100 Å。
在圖7A中,製程室750中的可動構件715可將基板710置放於一致動位置。相較於非致動位置(如圖7B中所例示),致動位置可使基板710位於較靠近噴淋頭730的距離A1 位置處。在致動位置中,基板710與噴淋頭730之間的距離A1 可介於約0.05英吋至約0.75英吋之間。基板710與基板支撐件705之間的距離B1 可為任何期望的距離。例如,距離B1 可大於約1英吋,如介於約1英吋至約5英吋之間。噴淋頭730可維持在一相對冷的溫度如低於約30°C。
回到圖6A,在步驟610a處,使基板朝向製程室中的基板支撐件移動。在某些實施例中,可藉由可動構件將基板移動至一非致動位置。非致動位置比致動位置離製程室中的噴淋頭更遠。在某些實施例中,非致動位置中的基板可與基板支撐件接觸。例如,可動構件可縮回俾使基板座落於基板支撐件上。在某些實施例中,基板支撐件與基之間可存在一間隙,且可藉由傳導、對流、輻射、或其組合於間隙中進行熱傳。可加熱基板支撐件進而加熱基板。可將基板支撐件加熱至製程溫度如介於約0°C至約400°C之間的溫度。基板支撐件的溫度可取決於基板之金屬晶種層。例如,對於鈷而言,基板支撐件可被加熱至介於約250°C至約300°C之間的溫度,對於銅而言,基板支撐件可被加熱至介於約75°C至約100°C的溫度。基板的較高溫度可加速金屬氧化物的還原反應。然而,可將溫度選定為不超過金屬晶種層的結塊溫度。當基板受到加熱時,基板可被暴露至遠端電漿處理。
圖7B顯示一電漿處理設備700之一橫剖面概圖的實例,其例示電漿處理設備700處理具有金屬晶種層之基板之複數階段中的一階段(如步驟610a)。電漿處理設備700包含基板支撐件705上方的基板710,基板710係位於非致動位置中。在非致動位置中的基板710係位於距離噴淋頭730距離A2 處且比致動位置更遠離噴淋頭730。噴淋頭730與基板710之間的距離A2 可大於約1英吋如介於約1英吋至約5英吋之間。基板710與基板支撐件705可彼此接觸,或基板710與基板支撐件705之間的距離B2 可相對地小俾使基板710與基板支撐件705之間能有高效的熱傳。在某些實施例中,距離B2 可介於約0英吋至約0.5英吋之間。在某些實施例中,可動構件715可縮回俾使基板710座落於基板支撐件705上。可藉著垂直移動基板支撐件705而使基板支撐件705將基板710相對於噴淋頭730放置。噴淋頭730可維持在相對冷的溫度如低於約30°C。
可調整距離A2 ,且調整距離A2 可調整基板處理期間的反應速率及反應均勻度。例如,當基板支撐件705更靠近噴淋頭730時,還原速率可能進行得較快但可獲得較差的均勻結果。藉著基板支撐件705的垂直移動可調整距離A2 。在某些實施例中,基板支撐件705可自製程室中的第一位置移動至第二位置,其中第一位置與第二位置之間的距離係大於約1英吋。放置基板支撐件705的更高自由度能在調整後續還原處理的速率與均勻度時提供更大的彈性。
回到圖6A,在步驟615a處,可在遠端電漿源中形成還原氣體物種的遠端電漿,其中遠端電漿包含還原氣體物種的自由基。遠端電漿可藉著將還原氣體物種暴露至能量源所形成。能量源可產生可朝向基板流動的自由基、離子、及其他帶電物種。在某些實施例中,能量源可為RF放電源。當形成遠端電漿時,基板可以是或是已被加熱至一期望的製程溫度。在某些實施例中,噴淋頭係連接至遠端電漿源並濾除離子,俾使還原氣體物種的自由基可流向製程室中的基板。
在步驟620a處,將基板的金屬晶種層暴露至還原氣體物種的自由基。金屬晶種層的一部分可包含金屬晶種層的氧化物。遠端電漿中形成的離子、自由基、及其他帶電物種流經噴淋頭且離子與其他帶電物種可被濾除,俾使基板實質上暴露至還原氣體物種的自由基。金屬氧化物可與還原氣體物種的自由基或還原氣體物種本身反應而將金屬氧化物轉變為金屬。反應在能將金屬氧化物轉變為金屬的條件下進行。金屬晶種層中的金屬氧化物被還原為一薄膜而此薄膜係與金屬晶種層整合在一起。使用還原氣體物種還原金屬晶種層中之金屬氧化物可載於2013年3月6日申請之美國專利申請案US 13/787,499(代理人案號LAMRP027),將其所有內容藉由參照包含於此作為所有目的。在某些實施例中,還原氣體物種的自由基在噴淋頭維持在低於約30°C 的溫度時流經噴淋頭。
圖7C顯示一電漿處理設備700之一橫剖面概圖的實例,其例示電漿處理設備700處理具有金屬晶種層之基板之複數階段中的一階段(如步驟615a和620a)。電漿處理設備700包含基板710上方的遠端電漿源740及圍繞遠端電漿源740之複數壁的一或多個線圈744。氣體入口742可連接至遠端電漿源740以將還原氣體物種720輸送至遠端電漿源740的內部體積。還原氣體物種720可以介於約500 sccm至約30,000 sccm的流率流動,此流率可應用至任何基板尺寸。在某些實施例中,還原氣體物種720可包含 H2 、NH3 、CO、B2 H6 、亞硫酸化合物、碳及/或碳氫化合物、亞磷酸鹽、及N2 H4 中的至少一者。施加至一或多個線圈744的功率可在遠端電漿源740中產生還原氣體物種720的遠端電漿。施加至線圈744的RF電漿功率可介於約0.5 kW至約6 kW之間。遠端電漿可包含還原氣體物種720的自由基如H* 、NH* 、NH2 * 、或N2 H3 * 。遠端電漿亦可包含離子與其他帶電物種,但噴淋頭730可濾除離子與其他帶電物種,俾使還原氣體物種720的自由基到達基板710。還原氣體物種720的自由基可自遠端電漿源740經由噴淋頭730流至製程室750中的基板710的表面。噴淋頭730可維持在相對冷的溫度如低於約30°C。經冷卻的噴淋頭730可限制過量的熱到達基板710並避免基板710中的金屬晶種層受到損害。
在圖7C中,基板710可維持在一未致動位置中。可藉由移動基板支撐件705來調整基板710與噴淋頭730之間的距離A3 。調整距離A3 可調整基板710處進行的還原反應速率及還原反應均勻度。例如,較短的距離A3 可導致較快的金屬氧化物轉變但較差的均勻度,而較長的距離A3 可導致較慢的金屬氧化物轉變但較佳的均勻度。在某些實施例中,距離A3 可等於距離A2 。可縮回可動構件715俾使基板710與基板支撐件705維持接觸,或基板710與基板支撐件705之間的距離B3 可等於圖7B中的距離B2
可藉由主動加熱或主加冷卻系統調整基板支撐件705的溫度。溫度可根據正在受到處理之基板710中的金屬晶種層而調整。例如,當在需要在兩不同溫度域中操作之兩種不同金屬晶種層之間切換時,可改變基板支撐件705的溫度。例如,針對鈷晶種層可將基板支撐件705加熱至介於約250°C至約300°C之間的溫度,針對銅晶種層可將基板支撐件705切換至介於約75°C至約100°C之間的溫度。
回到圖6A,在步驟625a處,將基板暴露至冷卻氣體。冷卻氣體可包含氬、氦、及氮中的至少一者。在某些實施例中,可藉著將冷液體膨脹至氣體而製造冷卻氣體。將基板暴露至冷卻氣體可將基板冷卻至低於約30°C的溫度。是以,可在低於週遭條件以下的溫度下輸送冷卻氣體以冷卻基板。在某些實施例中,在將基板暴露至冷卻氣體之前可藉由可動構件將基板移動至致動位置。當基板在更快冷卻用之致動位置中時可將基板暴露至冷卻氣體。在某些實施例中,在將基板暴露至冷卻氣體後可將基板傳送至電鍍設備。或者,可將基板傳送至無電鍍設備或其他金屬沉積設備。在某些實施例中,在將基板暴露至冷卻氣體後可利用排空氣體將製程室排空至大氣條件。
圖7D顯示一電漿處理設備700之一橫剖面概圖的實例,其例示電漿處理設備700處理具有金屬晶種層之基板之複數階段中的一階段(如步驟625a)。電漿處理設備700可包含用以輸送冷卻氣體760的一或多個冷卻氣體入口722。冷卻氣體入口722可設置於基板710附近包含基板710上方與側邊。冷卻氣體760可經由噴淋頭730以垂直於基板平面的方向被導向至基板710上。亦可自製程室750側邊上的冷卻氣體入口722以平行於基板平面的方向將冷卻氣體760導向至基板710上。冷卻氣體760可以介於約0.1 slm至約100 slm的速率流至製程室750中。冷卻氣體入口722可在基板被傳送至電鍍設備、無電鍍設備、或其他金屬沉積設備之前使冷卻氣體760溢流越過基板710以快速地冷卻基板710。在某些實施例中,可在毋需關閉或冷卻基板支撐件705的情況下冷卻基板710。這可在不使用具有分離的加熱與冷卻區域的兩室設計的情況下在單一製程室750內處理並冷卻基板710。
在圖7D中,基板710可位於致動位置中。噴淋頭730與基板710之間的距離A4 可介於約0.05英吋至約0.75英吋之間。在某些實施例中,距離A4 可與圖7A中的距離A1 相同。藉著將基板710放置得較靠近經冷卻的噴淋頭730並遠離熱基板支撐件705,可使基板710以更快的速率冷卻。複數可動構件715可舉升基板710遠離基板支撐件705並朝向噴淋頭730移動。基板支撐件705與基板710之間的距離B4 可大於約1英吋、或介於約1英吋至約5英吋之間。在某些實施例中,距離B4 可與圖7A中的距離B1 相同。在某些實施例中,當基板710係位於致動位置中且被冷卻至約室溫時,可將製程室750排空至大氣條件並將其傳送至電鍍、無電鍍、或其他金屬沉積設備。
圖6B顯示一例示性流程圖,其例示具有金屬晶種層之基板的另一處理方法。在方法600b的步驟605b處,如方法600a的步驟605a大致所述,在製程室中提供具有金屬晶種層的基板。金屬晶種層可具有已被轉變為金屬氧化物的一部分。
在步驟610b處,可在遠端電漿源中形成還原氣體物種的遠端電漿,遠端電漿包含下列的一或多者:來自還原氣體物種的自由基、離子、及UV輻射。 可增加遠端電漿的能量以產生更高能量的物種,更高能量的物種包含更高能量的離子。可在高密度電漿(HDP)製程系統及/或濺射系統中產生更高能量的離子。遠端電漿亦可產生因還原氣體物種之激發生造成的UV輻射。所產生的UV輻射可具有介於約100 nm至約400 nm的波長。例如,所產生的UV輻射可具有短波長UV光如波長介於約120至約200 nm之間、及長波長UV光如波長介於約200 nm至約400 nm之間。此外,遠端電漿可包含還原氣體物種的中性粒子及/或產生還原氣體物種的重新結合的原子。
在步驟615b處,將基板的金屬晶種層暴露至電漿,暴露至電漿還原金屬氧化物並使金屬晶種層中的金屬回流。在某些實施例中,金屬的回流與金屬氧化物的還原可同時發生。在某些實施例中,遠端電漿可包含來自還原氣體物種的自由基、離子、及UV輻射、或其若干組合。遠端電漿源與製程室之間的噴淋頭可具有一厚度、複數孔洞,且複數孔洞的平均直徑係用以使自由基、離子、及UV輻射流經或以其他方式移動經過噴淋頭而朝向基板。自由基、離子、及UV輻射可進入製程室並還原金屬晶種層中的金屬氧化物。高能離子可自基板表面更進一步地貫穿以對金屬晶種層更大部分的範圍提供還原化學作用。UV輻射可活化金屬氧化物表面以改善還原製程的熱力學特性,或本身直接還原金屬氧化物。UV輻射亦可被還原氣體物種吸收並產生可還原金屬氧化物的自由基。此外,還原氣體物種的中性分子可進一步地反應並還原金屬晶種層中的金屬氧化物。
在某些實施例中,金屬晶種層中的金屬在受到暴露時可被激發並賦予可動性。金屬可回流以減少金屬晶種層中的間隙與孔洞,這可降低金屬晶種層的表面粗糙度。金屬回流的多寡可取決於例如基板溫度、腔室壓力、還原氣體物種、及UV輻射的強度。當金屬回流並重新分佈於下方層之上,可形成更均勻及連續的金屬晶種層。實例
下面之實例例示使用顏色感應器監測氧化物層厚度並估計電漿預處理對於金屬晶種層上之氧化物之還原的有效性的可行性。尤其將展示b*顏色分量對於氧化物層厚度的敏感度。
圖8顯示於具有200 Å氧化物層之6片晶圓上進行實驗的結果。對於每片晶圓(標示為「W1」至「W6」),在電漿H2 處理之前與之後量測b*顏色分量的值:左側標示為「QSM之前」的b*量測值係取於H2 電漿處理之前,右側標示為「之後」的b*量測值係取於所示之H2 電漿處理持續時間(11秒、20秒等)之後。 對於所有6片晶圓可見,電漿處理造成b*顏色分量的值的明顯增加,對於電漿處理持續60秒或更久而言b*顏色分量的值增加最多。注意,對於被標示為「W1」的第一片晶圓而言,在製程室中經過無還原氣體H2 「處理」後亦量測一額外的b*值。這被視為與未進行預處理所得的b*值相同,這更進一步地確認, b*顏色分量的值變化可歸因於氧化還原。結果係總結於下表中: II
Figure 106102768-A0304-0002
圖9A至9C比較了H2 電漿處理對於三個顏色分量即L*、a*、及b*中的每一者的值的影響(分別為圖9A-9C)中。在此些實驗中,具有不同氧化物厚度(50 Å、100 Å、200 Å、400 Å、及1000 Å)的5片晶圓經歷預處理。比較3個圖可發現,對於所有的氧化物層厚度而言,只有b*顏色分量展現出統計上有意義的電漿處理前與電漿處理後之間的變異。應注意,對於較薄的氧化物層50 Å、與100 Å而言,差異似乎若干程度更明顯。
圖8與9A-9C展示了b*顏色分量在台式(bench-top)設定中的敏感度,而圖10A、10B、11A、及11B展示了在電漿處理設備之加載互鎖裝置中即時監控b*顏色分量(見圖5A-5D之概略例示圖)的有效性。此些圖(10A-11B)顯示b*顏色分量的值為「多層配方」之經歷時間的函數,其中晶圓在「多層配方」中通過出站加載互鎖裝置兩次。
先參考圖10A,可見晶圓首次通過出站加載互鎖裝置之顏色感應器的下方發生在圖中的約370秒處且對應至晶圓離開未啟動電漿的電漿製程室—換言之,代表電漿處理的b*顏色分量的量測值。此第一峰具有兩個肩部包夾峰的中央,峰的中央處具有峰的最大值。其理由在於,在支撐晶圓時加載互鎖裝置中的平臺舉升並下降,因此峰的中央處的較高值係對應至平臺的「上」位置且基板較靠近顏色感應器。這強調了使顏色感應器與晶圓表面之間維持最佳距離以最大化b*分量之信噪比的重要性。
晶圓第二次通過出站加載互鎖裝置之顏色感應器的下方發生在圖10A中的約610秒處且對應至晶圓離開啟動氧化還原用之電漿後的電漿製程室。電漿製程具有在250o C溫度下的持續時間60秒(在電漿處理之前,晶圓具有100 Å厚的氧化物層)。如在370秒處的先前峰中所見,肩部出現在610秒處的峰中,但b*值的總強度係大幅縮減,這確認了b*顏色分量可作為電漿處理的指標。圖10B放大了此兩區域(圖中虛線所示處)並再次強調了電漿處理之前與之後可見的b*顏色分量的值差異。
再一次,圖10A中所示之峰上的肩部例示了選擇基板表面與顏色感應器之頭之間之正確間隙以得到夠強之b*訊號與適當信噪比的重要性。基於上述的實驗可發現,介於約0.1英吋至5英吋之間的間隙是適當的,且更較佳地介於約0.4英吋至1英吋之間。
最後,圖11A與11B中顯示與圖10B中類似的數據,但在圖11A與11B中繪製6片晶圓(每一晶圓具有一200 Å厚的氧化物層)的結果,此6片晶圓係經過不同持續時間的電漿處理。圖11A顯示在250o C下6次電漿處理(不同持續時間)的結果,圖11B顯示在75o C下5次電漿處理的結果。對於幾乎所有的情況,b*顏色分量的明顯變化係對比於每片晶圓在電漿處理之前的量測值(圖示中標示為「pre」)。圖11A中的較高溫度結果(250o C)似乎(大部分)在甚至僅11秒之電漿處理「達最大」(氧化物移除飽和);但在圖11B中所示的較低溫度處(75o C),11秒處的b*顏色分量只有些微變化、首次明顯變化出現在30秒的結果中、然後隨著圖中所示之持續時間愈來愈長上至300秒變化變得愈來愈大。總言之,圖11A與11B中所示的結果例示,即便在75o C的低溫下,僅僅30秒的電漿處理能對據以估計電漿處理有效性之量測到的b*顏色分量產生明顯的變化。系統控制器
可以程序指令進行用以評估氧化物還原有效程度的電漿處理方法與技術,程序指令可位於電漿處理設備的系統控制器上及/或電漿處理設備之控制器可存取與讀取之遠端非瞬變媒體上。此類系統控制器的一實例係概略例示於圖5A中。如圖5A中所示,系統控制器550包含一或多個記憶體裝置556、一或多個大量儲存裝置554及一或多個處理器552。處理器552可包含一或多個CPU、ASIC、通用電腦(複數電腦)及/或專用電腦(複數電腦)、一或多個類比及/或數位輸入/輸出連接件、一或多個步進機馬達控制器板等。
在某些實施例中,系統控制器(圖5A中的550)控制製程設備(如圖5A之電漿處理設備500)的部分或所有操作,此些操作包含各別製程站的操作。可提供機器可讀之系統控制指令558以施行/進行文中所述之電漿處理方法與顏色感應器操作及顏色訊號分析。可在機器可讀之非瞬變媒體上提供指令,機器可讀之非瞬變媒體可耦合至系統控制器及/或被系統控制器所讀取。可在處理器552上執行指令—在某些實施例中系統控制指令係自大量儲存裝置554載入至記憶體裝置556中。系統控制指令可包含用以控制下列者的複數指令:時序、氣態與液態反應物的混合物、製程室及/或站點的壓力、製程室及/或站點的溫度、晶圓溫度、目標的功率位準、RF功率位準(如DC功率位準、RF偏壓功率位準)、RF曝露時間、基板平臺、夾頭及/或支撐件的位置、及製程設備所進行之特定製程的其他參數。
半導體基板製程操作可施行各種類型的製程,包含但不限於與蝕刻基板上之薄膜相關的製程、沉積製程(如藉由電漿活化已表面吸附之薄膜前驅物的原子層沉積(ALD))、及其他類型的基板製程操作如在為電鍍準備時以電漿系的氧化物移除製程自金屬晶種層移除氧化物、及電鍍操作之控制。
可以任何適當的方式配置系統控制指令558。例如,可撰寫各種製程設備元件的子程式或控制物件以控制施行各種製程設備製程所需之製程設備元件的操作。可以任何適當的電腦可讀程式語言編碼系統控制指令。在某些實施例中以軟體實施系統控制指令,在其他的實施例中可以硬體實施統控制指令—例如在ASIC(特殊應用積體電路)中的硬體編碼邏輯,或在其他實施例中以軟體與硬體的組合實施系統控制指令。
在某些實施例中,系統控制軟體558可包含用以控制上述各種參數的輸入/輸出(IOC)序列指令。例如,一沉積及/或蝕刻製程或複數製程的每一階段可包含用以被系統控制器執行的一或多個指令。用以設定薄膜沉積及/或蝕刻製程階段之製程條件的指令可被例如包含於對應的沉積及/或蝕刻配方階段中。在某些實施例中,可依序配置複數配方階段俾使一製程階段的所有指令係與該製程階段同時執行。
在某些實施例中,可施行被儲存在與系統控制器550相關之大量儲存裝置554及/或記憶體裝置556上的其他電腦可讀指令及/或程式。程式或程式段落的實例包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含製程設備元件用的指令,此些指令係用以將基板載至平臺上並控制基板與製程設備之其他部件之間的距離。定位程式可包含複數指令,此些指令係用以在必要時適當地將基板移入與移出反應室以沉積及/或蝕刻基板上的薄膜。
製程氣體控制程式可包含用以控制氣體組成與流率並選擇性地控制在沉積及/或蝕刻前流入圍繞一或多個製程站之體積的氣體以穩定體積中的壓力的指令。在某些實施例中,製程氣體控制程式可包含在將薄膜沉積至基板上及/或在基板上進行蝕刻操作期間用以將特定氣體導入製程室內圍繞一或多個製程站之體積(複數體積)中的指令。製程氣體控制程式亦可包含取決於正在沉積之薄膜之組成及/或所涉及之蝕刻製程而以相同流率持續輸送此些氣體相同時間或以不同流率持續輸送此些氣體相同及/或不同時間的指令。製程氣體控制程式亦可包含在經加熱之注射模組中於氦氣或其他載氣的存在下用以原子化/蒸發液體反應物的指令。
壓力控制程式可包含藉由調節例如製程站之排放系統中的節流閥、流入製程站的氣體流等而控制製程站中之壓力的程式碼。壓力控制程式可包含用以在將各種類型薄膜沉積至基板上及/或蝕刻基板期間維持相同或不同壓力的指令。
加熱器控制程式可包含用以控制流至用以加熱基板之加熱單元之電流的指令。或者或額外地,加熱器控制程式可控制輸送至基板之熱傳輸氣體(如氦氣)的輸送。加熱控制程式可包含用以在將各種類型薄膜沉積至基板上及/或蝕刻基板期間在反應室及/或圍繞製程站之體積中維持相同或不同溫度的指令。
電漿控制程式可包含用以根據文中實施例設定一或多個製程站中之RF功率位準、頻率、及暴露時間的指令。在某些實施例中,電漿控制程式可包含在沉積薄膜至基板上及/或蝕刻基板期間使用相同或不同RF功率位準及/或頻率及/或暴露時間的指令。
在某些實施例中,可具有與系統控制器相關的使用者介面。使用者介面可包含顯示螢幕、該設備及/或製程條件的圖形化軟體顯示、及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕、麥克風等。
在某些實施例中,系統控制器所調整的參數可與製程條件相關。非限制性實例包含製程氣體組成與流率、溫度(如基板支撐件與噴淋頭溫度)、壓力、電漿條件(如RF偏壓功率位準與曝露時間)等。此些參數可以配方的形式提供予使用者,使用者可利用使用者介面輸入此些參數。
用以監測製程的訊號可來自各種製程設備感應器並藉由系統控制器的類比及/或數位輸入連接件所提供。可被監控之製程設備感應器的非限制性實例包含質量流量控制器(MFC)、壓力感應器(如壓力計)、溫度感應器如熱電偶等。適當程式化的反饋與控制演算法可與來自此些感應器的數據一起使用以維持製程條件。
上文中所述的各種設備與方法可與微影圖案化設備及/或製程一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備及/或製程。一般而言,雖然沒有必要,但此些設備或製程會在一共同的製造廠房中一起及/或同時使用或進行。
在某些實施例中,控制器為系統的一部分,其為上述實例的一部分。此類系統包含半導體製程設備,半導體製程設備包含製程工具或複數工具、製程室或複數製程室、製程平臺或複數平臺、及/或特定的製程元件(晶圓座臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間、及之後控制系統的操作。此些電子裝置可被稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於製程需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何製程包含輸送製程氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入或離開設備與連接至特定系統或與特定系統交界的其他傳輸設備及/或裝載互鎖機構。
概括地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清潔操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特定應用積體電路(ASIC)的晶片、及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓進行特定製程或對系統進行特定製程所用的操作參數。在某些實施例中,操作參數為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個處理步驟所定義之配方的一部分。
在某些實施例中控制器為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器可位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓製程。電腦可致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有製程的參數、設定製程步驟以符合現有製程、或開始一新的製程。在某些實例中,遠端電腦(如伺服器)可經由網路對系統提供製程配方,網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收數據形式的指令,指令指出在一或多個操作期間欲施行之每一製程步驟的參數。應瞭解,參數係特別針對欲施行之製程的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散控制器如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之製程及控制工作的離散控制器。為了此類目的的分散控制器的實例為製程室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準或遠端電腦的一部分)的積體電路通訊而共同控制製程室上的製程。
不受限地,例示性的系統可包含電漿蝕刻室或模組(使用感應或電容耦合電漿)、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清潔室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關或用於製造的任何其他半導體製程系統。
如上所述,取決於設備所進行的製程步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他設備的電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。微影圖案化
上文中所述的各種設備/製程可與微影圖案化設備或製程一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備或製程。一般而言,雖然沒有必要,但此些設備/製程會在一共同的製造廠房中一起使用或進行。薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至一工作件上;(2)利用熱板、爐管或UV固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。其他實施例
雖然為了清楚瞭解的目的已詳細地說明特定實施例之文義中的前述製程、方法、系統、及設備,但熟知此項技藝者應明白,在本發明的精神與範疇內有許多能施行前述實施例的替代性方案。因此,文中所揭露之實施例應被視為說明性說明文中所揭露之發明概念而非限制性不合理地限制申請專利範圍的範疇。
100‧‧‧方法105‧‧‧方法110‧‧‧操作120‧‧‧操作130‧‧‧操作140‧‧‧操作145‧‧‧操作300‧‧‧方法305‧‧‧操作310‧‧‧操作315‧‧‧操作320‧‧‧操作322‧‧‧操作325‧‧‧操作330‧‧‧操作400‧‧‧電漿處理設備405‧‧‧基板支撐件410‧‧‧基板415‧‧‧可動構件420‧‧‧還原氣體物種422‧‧‧氣體入口430‧‧‧噴淋頭435‧‧‧控制器440‧‧‧遠端電漿源442‧‧‧氣體入口444‧‧‧線圈450‧‧‧製程室460‧‧‧冷卻氣體500‧‧‧多站電漿處理設備505‧‧‧虛線506‧‧‧虛橢圓507‧‧‧晶圓510‧‧‧製程室520‧‧‧轉盤530‧‧‧加載互鎖裝置531‧‧‧入站加載互鎖裝置532‧‧‧出站加載互鎖裝置533‧‧‧加載互鎖裝置密封件534‧‧‧加載互鎖裝置密封件535‧‧‧加載互鎖裝置之門536‧‧‧加載互鎖裝置之門540‧‧‧晶圓搬運機器人541‧‧‧製程站542‧‧‧製程站543‧‧‧製程站544‧‧‧製程站550‧‧‧控制器552‧‧‧處理器554‧‧‧大量儲存裝置556‧‧‧記憶體裝置558‧‧‧系統控制指令561‧‧‧密封件562‧‧‧密封件591‧‧‧顏色感應器592‧‧‧顏色感應器595‧‧‧顏色感應器596‧‧‧顏色感應器596A‧‧‧光纖感應器頭596B‧‧‧單一纜線外罩596C‧‧‧顏色感應器本體597‧‧‧半透明石英窗598‧‧‧安裝件600a‧‧‧製程605a‧‧‧步驟605b‧‧‧步驟610a‧‧‧步驟610b‧‧‧步驟615a‧‧‧步驟615b‧‧‧步驟620a‧‧‧步驟625a‧‧‧步驟700‧‧‧電漿處理設備705‧‧‧基板支撐件710‧‧‧基板715‧‧‧可動構件720‧‧‧  還原氣體物種722‧‧‧冷卻氣體入口730‧‧‧噴淋頭740‧‧‧ 遠端電漿源742‧‧‧氣體入口744‧‧‧線圈750‧‧‧製程室760‧‧‧冷卻氣體
圖1A為具有接續之電鍍操作用之金屬晶種層之半導體基板的一製備方法的流程圖,此製備方法係涉及量測至少一顏色訊號。
圖1B為具有接續之電鍍操作用之金屬晶種層之半導體基板的另一製備方法的流程圖,此製備方法係涉及量測至少兩顏色訊號。
圖2概略例示使用「a*」、「b*」、及「L*」顏色分量的三顏色分量顏色空間。
圖3預先安排一更詳細的流程圖例示一電鍍方法,此電鍍方法包含一電漿預處理及類似於圖1A與1B中所示之操作之用以估計預處理期間之氧化物還原程度的操作。
圖4顯示一電漿處理設備之一實例的橫剖面概圖。
圖5A概略例示具有4 製程站的一多站電漿處理設備。
圖5B顯示其中裝有一顏色感應器之一電漿製程設備之一加載互鎖裝置的概圖。
圖5C顯示一垂直橫剖面概圖(如圖5B中的虛線505所示),其顯示加載互鎖裝置內的顏色感應器的位置。
圖5D顯示一垂直橫剖面概圖(其放大如圖5C中的虛橢圓506所示),其詳細顯示顏色感應器的光纖頭。
圖6A顯示一例示性流程圖,其例示具有金屬晶種層之基板的一處理方法。
圖6B顯示一例示性流程圖,其例示具有金屬晶種層之基板的另一處理方法。
圖7A–7D顯示複數橫剖面概圖的實例,其例示利用一電漿處理設備處理具有金屬晶種層之基板的各種階段。
圖8顯示在電漿預處理之前與之後於具有200 Å氧化物層之6片晶圓上所進行之b*顏色分量量測的結果。
圖9A顯示H2 電漿處理對L*顏色分量的影響。
圖9B顯示H2 電漿處理對a* 顏色分量的影響。
圖9C顯示H2 電漿處理對b*顏色分量的影響。
圖10A顯示b*顏色分量的值為「多層配方」之經歷時間的函數,其中晶圓在「多層配方」中通過一出站加載互鎖裝置兩次。
圖10B顯示部分來自圖10A之數據的特寫重繪圖。
圖11A繪製自6片晶圓(每一晶圓具有一200 Å厚的氧化物層)量測到之b*顏色分量的值,此6片晶圓係於250o C下經過不同持續時間的電漿處理。
圖11B繪製自5片晶圓(每一晶圓具有一200 Å厚的氧化物層)量測到之b*顏色分量的值,此5片晶圓係於75o C下經過不同持續時間的電漿處理。
506‧‧‧虛橢圓
507‧‧‧晶圓
530‧‧‧加載互鎖裝置
596A‧‧‧光纖感應器頭
596B‧‧‧單一纜線外罩
596C‧‧‧顏色感應器本體

Claims (21)

  1. 一種具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,包含: 以一電漿接觸該半導體基板的一表面藉此還原其上的金屬氧化物而處理該表面; 在與該電漿接觸後,自該表面量測一接觸電漿後顏色訊號,該顏色訊號具有一或多個顏色分量;及 基於該接觸電漿後顏色訊號估計因電漿處理所造成的氧化物還原程度。
  2. 如申請專利範圍第1項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中估計因電漿處理所造成的該氧化物還原程度之步驟係基於該接觸電漿後顏色訊號的b*分量所完成。
  3. 如申請專利範圍第1項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中估計因電漿處理所造成的該氧化物還原程度之步驟包含: 將該接觸電漿後顏色訊號與一或多個參考顏色訊號比較。
  4. 如申請專利範圍第3項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中該比較步驟係基於該接觸電漿後顏色訊號的b*分量所完成。
  5. 如申請專利範圍第1項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中估計因電漿處理所造成的該氧化物還原程度之步驟包含: 計算一或多個度量值,每一該度量值代表該接觸電漿後顏色訊號與一組一或多個參考顏色訊號中的一參考顏色訊號之間的差異。
  6. 如申請專利範圍第5項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中每一該度量值係單調地關於該接觸電漿後顏色訊號之b*分量與一參考顏色訊號之b*分量之間之差異的絕對值。
  7. 如申請專利範圍第1-6項中任一項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,更包含: 在與該電漿接觸之前,自該表面量測一接觸電漿前顏色訊號,該接觸電漿前顏色訊號具有一或多個顏色分量;及 其中估計因電漿處理所造成的該氧化物還原程度之步驟包含: 比較該接觸電漿前顏色訊號與該接觸電漿後顏色訊號。
  8. 如申請專利範圍第7項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中該比較該接觸電漿前顏色訊號與該接觸電漿後顏色訊號之步驟係基於該些顏色訊號的b*分量所完成。
  9. 如申請專利範圍第7項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中估計因電漿處理所造成的該氧化物還原程度之步驟包含: 計算一度量值,該度量值代表該接觸電漿前顏色訊號與該接觸電漿後顏色訊號之間的差異。
  10. 如申請專利範圍第9項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中該度量值係單調地與該接觸電漿前顏色訊號與該接觸電漿後顏色訊號之間之b*分量的差異的絕對值相關。
  11. 如申請專利範圍第1-6項中任一項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中該電漿包含氫自由基。
  12. 如申請專利範圍第1-6項中任一項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中該金屬為銅。
  13. 如申請專利範圍第1-6項中任一項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中該金屬為鈷。
  14. 如申請專利範圍第1-6項中任一項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中量測該接觸電漿後顏色訊號之步驟係利用距離該基板表面約0.1英吋至5英吋間之一顏色感應器在該量測期間完成。
  15. 如申請專利範圍第14項之具有接續之電鍍操作用之金屬晶種層之半導體基板的製備方法,其中量測該接觸電漿後顏色訊號之步驟係利用距離該基板表面約0.4英吋至1英吋間之一顏色感應器在該量測期間完成。
  16. 一種用以還原存在於半導體基板之金屬晶種層之表面上之金屬氧化物以準備後續之電鍍操作的電漿處理設備,包含: 一製程室,其中具有至少一製程站; 一基板支撐件,用以在該製程站處支撐一基板; 一電漿產生器,用以在該製程室內產生一電漿及/或將該電漿提供予該製程室; 一顏色感應器,用以自該基板量測一顏色訊號,該顏色訊號具有一或多個顏色分量;及 一控制器,具有非瞬變電漿可讀指令用以: 操作該電漿產生器以在該製程室內產生該電漿及/或將該電漿提供予該製程室,俾使該電漿接觸該製程站處之該基板的該表面而藉由還原其上的金屬氧化物而處理該基板; 在該電漿接觸該基板表面後操作該顏色感應器以自該表面量測一接觸電漿後顏色訊號,該顏色訊號具有一或多個顏色分量;及 基於該接觸電漿後顏色訊號估計因電漿處理所造成的氧化物還原程度。
  17. 如申請專利範圍第16項之用以還原存在於半導體基板之金屬晶種層之表面上之金屬氧化物以準備後續之電鍍操作的電漿處理設備,其中該顏色感應器係加以定位及/或配置為用以在該基板係位於該製程站處時自該基板量測該顏色訊號。
  18. 如申請專利範圍第16項之用以還原存在於半導體基板之金屬晶種層之表面上之金屬氧化物以準備後續之電鍍操作的電漿處理設備,更包含: 一加載互鎖裝置,用以提供對該製程室之基板接取;且 其中該顏色感應器係加以定位及/或配置為用以在該基板係位於該加載互鎖裝置內時自該基板量測該顏色訊號。
  19. 如申請專利範圍第16-18項中任一項之用以還原存在於半導體基板之金屬晶種層之表面上之金屬氧化物以準備後續之電鍍操作的電漿處理設備,其中該顏色感應器係用以量測具有一b*顏色分量的一顏色訊號。
  20. 如申請專利範圍第19項之用以還原存在於半導體基板之金屬晶種層之表面上之金屬氧化物以準備後續之電鍍操作的電漿處理設備,其中估計因電漿處理所造成的該氧化物還原程度係基於該接觸電漿後顏色訊號的該b*分量所完成。
  21. 如申請專利範圍第16-18項中任一項之用以還原存在於半導體基板之金屬晶種層之表面上之金屬氧化物以準備後續之電鍍操作的電漿處理設備,其中該電漿產生器係遠離於該製程室。
TW106102768A 2016-01-29 2017-01-25 經由顏色感應估計晶圓上氧化物層還原有效性的方法及設備 TWI714715B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/011,363 US9735035B1 (en) 2016-01-29 2016-01-29 Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
US15/011,363 2016-01-29

Publications (2)

Publication Number Publication Date
TW201737381A TW201737381A (zh) 2017-10-16
TWI714715B true TWI714715B (zh) 2021-01-01

Family

ID=59387056

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106102768A TWI714715B (zh) 2016-01-29 2017-01-25 經由顏色感應估計晶圓上氧化物層還原有效性的方法及設備

Country Status (4)

Country Link
US (2) US9735035B1 (zh)
KR (1) KR20170091013A (zh)
CN (2) CN111739814A (zh)
TW (1) TWI714715B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9809898B2 (en) 2013-06-26 2017-11-07 Lam Research Corporation Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9735035B1 (en) * 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
JP6730941B2 (ja) * 2017-01-10 2020-07-29 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10943804B2 (en) * 2018-06-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Monitoring of process chamber
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
CN112083702B (zh) * 2020-09-27 2021-08-17 江苏恒宝智能系统技术有限公司 一种碳纤维复合材料固化过程监控管理方法及系统
CN115910832B (zh) * 2022-12-19 2023-12-15 扬州国宇电子有限公司 一种用于测试离子是否注入的方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6930782B1 (en) * 2003-03-28 2005-08-16 Lam Research Corporation End point detection with imaging matching in semiconductor processing
TWI272677B (en) * 2004-05-05 2007-02-01 Taiwan Semiconductor Mfg Method for preventing Cu contamination and oxidation in semiconductor device manufacturing
US7449098B1 (en) * 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
TWI313910B (en) * 2005-03-31 2009-08-21 Tokyo Electron Ltd Method for forming a barrier/seed layer for copper metallization
CN104037080A (zh) * 2013-03-06 2014-09-10 朗姆研究公司 用于还原金属晶种层上的金属氧化物的方法及装置
CN104253086A (zh) * 2013-06-26 2014-12-31 台湾积体电路制造股份有限公司 用于金属氧化物还原的预处理方法和所形成的器件

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US20050217707A1 (en) 1998-03-13 2005-10-06 Aegerter Brian K Selective processing of microelectronic workpiece surfaces
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7780867B1 (en) 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
US6784104B2 (en) 2001-07-27 2004-08-31 Texas Instruments Incorporated Method for improved cu electroplating in integrated circuit fabrication
US20050122509A1 (en) 2002-07-18 2005-06-09 Leica Microsystems Semiconductor Gmbh Apparatus for wafer inspection
US7239737B2 (en) 2002-09-26 2007-07-03 Lam Research Corporation User interface for quantifying wafer non-uniformities and graphically explore significance
US20040253742A1 (en) 2003-01-31 2004-12-16 Affleck Rhett L. Automated imaging system and method
US7142300B2 (en) 2003-05-05 2006-11-28 Kla-Tencor Corp. Technologies Edge bead removal inspection by reflectometry
US7197178B2 (en) 2003-07-14 2007-03-27 Rudolph Technologies, Inc. Photoresist edge bead removal measurement
DE102004029012B4 (de) 2004-06-16 2006-11-09 Leica Microsystems Semiconductor Gmbh Verfahren zur Inspektion eines Wafers
US7645364B2 (en) 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US7811424B1 (en) 2004-06-30 2010-10-12 Lam Research Corporation Reducing mechanical resonance and improved distribution of fluids in small volume processing of semiconductor materials
US7423269B1 (en) 2005-02-26 2008-09-09 Kla-Tencor Technologies Corporation Automated feature analysis with off-axis tilting
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7728965B2 (en) 2005-06-06 2010-06-01 Kla-Tencor Technologies Corp. Systems and methods for inspecting an edge of a specimen
KR100836501B1 (ko) 2005-10-11 2008-06-09 동부일렉트로닉스 주식회사 반도체 소자의 박막 제조 장비
US7538868B2 (en) 2005-12-19 2009-05-26 Kla-Tencor Technologies Corporation Pattern recognition matching for bright field imaging of low contrast semiconductor devices
US20090122304A1 (en) 2006-05-02 2009-05-14 Accretech Usa, Inc. Apparatus and Method for Wafer Edge Exclusion Measurement
US20090116727A1 (en) 2006-05-02 2009-05-07 Accretech Usa, Inc. Apparatus and Method for Wafer Edge Defects Detection
US7616804B2 (en) 2006-07-11 2009-11-10 Rudolph Technologies, Inc. Wafer edge inspection and metrology
US7667835B2 (en) 2006-08-28 2010-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for preventing copper peeling in ECP
WO2008103994A2 (en) 2007-02-23 2008-08-28 Rudolph Technologies, Inc. Wafer fabrication monitoring systems and methods, including edge bead removal processing
US20080293333A1 (en) 2007-05-21 2008-11-27 Applied Materials, Inc. Methods and apparatus for controlling the size of an edge exclusion zone of a substrate
US7623228B1 (en) 2007-05-21 2009-11-24 Kla-Tencor Technologies Corporation Front face and edge inspection
US7787114B2 (en) 2007-06-06 2010-08-31 Kla-Tencor Technologies Corp. Systems and methods for inspecting a specimen with light at varying power levels
KR100976284B1 (ko) * 2007-06-07 2010-08-16 가부시끼가이샤 도시바 촬상 장치
US7656519B2 (en) 2007-08-30 2010-02-02 Kla-Tencor Corporation Wafer edge inspection
JP5004822B2 (ja) 2008-02-20 2012-08-22 東京エレクトロン株式会社 洗浄方法及び基板処理装置
US20090268953A1 (en) 2008-04-24 2009-10-29 Apteryx, Inc. Method for the automatic adjustment of image parameter settings in an imaging system
US8419964B2 (en) 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US7977123B2 (en) 2009-05-22 2011-07-12 Lam Research Corporation Arrangements and methods for improving bevel etch repeatability among substrates
US8111905B2 (en) 2009-10-29 2012-02-07 Mitutoyo Corporation Autofocus video tool and method for precise dimensional inspection
US8594975B2 (en) 2010-03-04 2013-11-26 Kla-Tencor Corporation Systems and methods for wafer edge feature detection and quantification
US20110147350A1 (en) 2010-12-03 2011-06-23 Uvtech Systems Inc. Modular apparatus for wafer edge processing
CN102856224B (zh) 2011-06-30 2015-10-07 细美事有限公司 晶圆边缘部分的处理方法和装置
US20140079311A1 (en) 2012-09-20 2014-03-20 Applied Materials Israel Ltd. System, method and computer program product for classification
US9070014B2 (en) 2013-02-21 2015-06-30 Applied Materials Israel, Ltd. System, method and computer program product for defect detection based on multiple references
US9070750B2 (en) * 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US20150072538A1 (en) * 2013-09-06 2015-03-12 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US10234261B2 (en) 2013-06-12 2019-03-19 Applied Materials, Inc. Fast and continuous eddy-current metrology of a conductive film
US9809898B2 (en) 2013-06-26 2017-11-07 Lam Research Corporation Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9735035B1 (en) 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7449098B1 (en) * 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
US6930782B1 (en) * 2003-03-28 2005-08-16 Lam Research Corporation End point detection with imaging matching in semiconductor processing
TWI272677B (en) * 2004-05-05 2007-02-01 Taiwan Semiconductor Mfg Method for preventing Cu contamination and oxidation in semiconductor device manufacturing
TWI313910B (en) * 2005-03-31 2009-08-21 Tokyo Electron Ltd Method for forming a barrier/seed layer for copper metallization
CN104037080A (zh) * 2013-03-06 2014-09-10 朗姆研究公司 用于还原金属晶种层上的金属氧化物的方法及装置
CN104253086A (zh) * 2013-06-26 2014-12-31 台湾积体电路制造股份有限公司 用于金属氧化物还原的预处理方法和所形成的器件

Also Published As

Publication number Publication date
US20170309505A1 (en) 2017-10-26
KR20170091013A (ko) 2017-08-08
CN107039303A (zh) 2017-08-11
CN111739814A (zh) 2020-10-02
US9735035B1 (en) 2017-08-15
US10497592B2 (en) 2019-12-03
TW201737381A (zh) 2017-10-16
US20170221740A1 (en) 2017-08-03
CN107039303B (zh) 2020-06-09

Similar Documents

Publication Publication Date Title
TWI714715B (zh) 經由顏色感應估計晶圓上氧化物層還原有效性的方法及設備
KR102514192B1 (ko) 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
JP7320168B2 (ja) デザイナー原子層エッチング
US9978610B2 (en) Pulsing RF power in etch process to enhance tungsten gapfill performance
JP6964964B2 (ja) チェンバ内で基板を処理する方法およびその装置
TWI673791B (zh) 高深寬比結構中的接觸窗清洗
TW201630036A (zh) 用以描繪金屬氧化物還原的方法及設備
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
WO2013114870A1 (ja) プラズマ処理装置及びプラズマ処理方法
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
JP2007530797A (ja) 金属層を形成する方法および装置
US9070750B2 (en) Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9780037B2 (en) Method of processing target object
US9472377B2 (en) Method and apparatus for characterizing metal oxide reduction
US20090203219A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
TWI766974B (zh) 電鍍期間監視晶種層上的表面氧化物
CN111799170A (zh) 蚀刻方法和等离子体处理装置
Liu Process and reliability assessment of plasma-based copper etch process