CN111739814A - 通过颜色感测估计晶片上氧化物层还原效率的方法和装置 - Google Patents

通过颜色感测估计晶片上氧化物层还原效率的方法和装置 Download PDF

Info

Publication number
CN111739814A
CN111739814A CN202010395666.7A CN202010395666A CN111739814A CN 111739814 A CN111739814 A CN 111739814A CN 202010395666 A CN202010395666 A CN 202010395666A CN 111739814 A CN111739814 A CN 111739814A
Authority
CN
China
Prior art keywords
plasma
substrate
color
color signal
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010395666.7A
Other languages
English (en)
Inventor
马尼什·兰詹
奇安·斯威尼
尚蒂纳特·古艾迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111739814A publication Critical patent/CN111739814A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及通过颜色感测估计晶片上氧化物层还原效率的方法和装置。公开了制备具有用于随后电镀操作的金属晶种层的半导体衬底的方法。在一些实施方式中,该方法可以包括:使所述半导体衬底的表面与等离子体接触,以通过还原在该表面上的金属氧化物来处理该表面,之后,从所述表面测量等离子体接触后的颜色信号,所述颜色信号具有一个或多个颜色分量。所述方法然后可以进一步包括:基于所述等离子体接触后的颜色信号估计由于所述等离子体处理而导致的氧化物还原的程度。在一些实施方式中,基于所述等离子体接触后的颜色信号的b*分量来估计由于所述等离子体处理而导致的所述氧化物还原的程度。还公开了可以实现前述方法的等离子体处理装置。

Description

通过颜色感测估计晶片上氧化物层还原效率的方法和装置
本申请是申请号为201710058368.7,申请日为2017年1月23日,申请人为朗姆研究公司,发明创造名称为“通过颜色感测估计晶片上氧化物层还原效率的方法和装置”的发明专利申请的分案申请。
技术领域
本公开涉及电子器件的制造、半导体衬底的电镀、具有用于在处理期间检查半导体晶片的集成和/或原位计量系统的电镀系统和设备,以及在处理期间执行半导体晶片的计量和检查的方法。
背景技术
集成电路的制造通常涉及将导电金属层电镀到半导体晶片的表面上的一个或多个步骤。例如,在一些集成电路(IC)制造程序中,可以使用电镀操作来用金属填充在半导体晶片的表面中形成的、例如用作各种电路元件之间的导电路径的沟槽和通孔之类的各种特征。电镀金属通常是铜,但是根据IC设计,其它金属可能是合适的和/或有利的,包括钌、钯、铱、铑、锇、钴、镍、金、银和铝。在一些实施方式中,这些金属的合金可能是合适的和/或有利的。
在典型的电镀操作中,晶片的表面暴露于包含待电镀的金属的溶解离子的电镀浴液,并且在电镀浴中的电极(其用作阳极)和晶片的表面(其用作阴极)之间形成电路。在施加外加电压时流过该电路的电流使电子流到阴极表面并将其附近的溶解的金属离子还原,从而导致溶液中的中性元素金属电镀到晶片的表面上。
然而,为了实现该电路并且为了使溶解的金属离子的电化学还原发生,晶片的表面(用作电路的阴极)必须至少在一定程度上相对导电。因此,由于半导体晶片的裸露表面通常基本上不导电,所以在电镀操作中的实际电镀步骤通常先于沉积导电晶种层,导电晶种层提供必要的导电表面。晶种层的沉积可以通过沉积晶种材料的任何可行方法来完成。合适的方法可以包括例如物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、共形膜沉积(CFD)、原子层沉积(ALD)等。通常,晶种层沉积和电镀之后是边缘斜面去除(EBR)操作,其通过在晶片的边缘上施加蚀刻剂溶液的薄粘性流而去除晶片边缘处沉积的晶种金属,该晶种金属不期望存在于晶片边缘处。
然而,通常,在沉积晶种层之后,晶片从真空中移出并暴露于洁净室环境空气中。在一些情况下,在晶片被电镀之前可能存在从几分钟到几小时的范围的排队时间。延迟时间以及对环境空气的相关暴露会导致晶种层的氧化-通常称为“晶种老化”。这样的由晶种老化产生的基本上不导电的氧化物层会降低电镀效率或者甚至防止电镀发生。此外,晶片的表面润湿特性也可能改变,这也促成晶片上的缺陷。由于太多的预电镀晶种老化,因而在图案晶片上,已经看到电镀后的孔隙和凹陷,这导致无用的IC器件,并因此负面地影响总晶片产率。此外,观察到,例如在较低技术节点(例如,22nm以下)中,晶种老化效应恶化,其中晶种层通常非常薄,例如在一些情况下为50埃或更小。也可以看到晶种溶解和电流密度的降低,其中在局部区域中的晶种越薄,则进入具有较高的、较致密的图案密度的通孔和沟槽越深,从而也导致电镀晶片后的缺陷。因此,已经开发了用于处理氧化物层还原和/或去除的方法和装置,并且本文公开了对这些方法和装置的进一步改进。
发明内容
本文公开了制备具有用于随后电镀操作的金属晶种层的半导体衬底的方法。在一些实施方式中,所述方法可以包括:使所述半导体衬底的表面与等离子体接触,以通过还原在所述表面上的金属氧化物来处理所述表面,之后,从所述表面测量等离子体接触后的颜色信号,所述颜色信号具有一个或多个颜色分量。所述方法然后可以进一步包括:基于所述等离子体接触后的颜色信号估计由于所述等离子体处理而导致的氧化物还原的程度。在一些实施方式中,基于所述等离子体接触后的颜色信号的b*分量来估计由于所述等离子体处理而导致的所述氧化物还原的程度。
还公开了用于还原存在于准备用于随后的电镀操作的半导体衬底的金属晶种层的表面上的金属氧化物的等离子体处理装置。在一些实施方式中,所述装置可以包括:处理室,在所述处理室内具有至少一个处理站;衬底保持器,其构造成将衬底保持在所述处理站处;等离子体产生器,其构造成产生在所述处理室内的等离子体并且/或者将等离子体提供给所述处理室;以及颜色传感器,其被配置为从衬底测量颜色信号,所述颜色信号具有一个或多个颜色分量。所述装置可以进一步包括:具有非暂时性计算机可读指令的控制器,其用于操作所述装置以及其各种部件。在一些实施方式中,所述控制器可以包括用于下述操作的指令:操作所述等离子体产生器以产生在所述处理室内的等离子体并且/或者将等离子体提供给所述处理室,使得所述等离子体在所述处理站处接触衬底的表面,以通过还原在所述表面上的金属氧化物来处理所述表面。在一些实施方式中,可以包括用于下述操作的指令:在所述等离子体与所述衬底的表面接触之后,操作所述颜色传感器以从所述表面测量等离子体接触后的颜色信号,所述颜色信号具有一个或多个颜色分量。在一些实施方式中,可以包括用于下述操作的指令:基于所述等离子体接触后的颜色信号估计由于所述等离子体处理而导致的氧化物还原的程度。在一些实施方式中,所述装置的所述颜色传感器可以被定位和/或被配置以便在所述衬底位于所述处理站时从所述衬底测量所述颜色信号。在一些实施方式中,所述装置还可以包括:加载锁,其配置成提供通向所述处理室的衬底入口;以及所述颜色传感器可以被定位和/或配置为在所述衬底位于所述加载锁内时从所述衬底测量所述颜色信号。
具体而言,本发明的一些方面可以阐述如下:
1.一种制备具有用于随后电镀操作的金属晶种层的半导体衬底的方法,所述方法包括:
-使所述半导体衬底的表面与等离子体接触,以通过还原在所述表面上的金属氧化物来处理所述表面;
-在与所述等离子体接触之后,从所述表面测量等离子体接触后的颜色信号,所述颜色信号具有一个或多个颜色分量;以及
-基于所述等离子体接触后的颜色信号估计由于所述等离子体处理而导致的所述氧化物还原的程度。
2.根据条款1所述的方法,其中基于所述等离子体接触后的颜色信号的b*分量来估计由于所述等离子体处理而导致的所述氧化物还原的所述程度。
3.根据条款1所述的方法,其中估计由于所述等离子体处理而导致的所述氧化物还原的所述程度包括:
-将所述等离子体接触后的颜色信号与一个或多个基准颜色信号进行比较。
4.根据条款3所述的方法,其中所述比较是基于所述颜色信号的b*分量进行的。
5.根据条款1所述的方法,其中估计由于所述等离子体处理而导致的所述氧化物还原的所述程度包括:
-计算一个或多个度量,所述度量中的每个指示所述等离子体接触后的颜色信号和来自成组的一个或多个基准颜色信号的基准颜色信号之间的差异。
6.根据条款5所述的方法,其中每个度量与所述等离子体接触后的颜色信号的b*分量和基准颜色信号的b*分量之间的差的绝对值单调地相关。
7.根据条款1-6中任一项所述的方法,其还包括:
-在与所述等离子体接触之前,从所述表面测量等离子体接触前的颜色信号,所述颜色信号具有一个或多个颜色分量;并且其中估计由于所述等离子体处理而导致的所述氧化物还原的所述程度包括:
-比较所述等离子体接触前的颜色信号和所述等离子体接触后的颜色信号。
8.根据条款7所述的方法,其中所述比较是基于所述颜色信号的b*分量进行的。
9.根据条款7所述的方法,其中估计由于所述等离子体处理而导致的所述氧化物还原的所述程度包括:
-计算指示所述等离子体接触前的颜色信号和所述等离子体接触后的颜色信号之间的差异的度量。
10.根据条款9所述的方法,其中所述度量与所述等离子体接触前的颜色信号的b*分量和所述等离子体接触后的颜色信号的b*分量之间的差的绝对值单调地相关。
11.根据条款1-6中任一项所述的方法,其中所述等离子体包含氢自由基。
12.根据条款1-6中任一项所述的方法,其中所述金属是铜。
13.根据条款1-6中任一项所述的方法,其中所述金属是钴。
14.根据条款1-6中任一项所述的方法,其中测量所述等离子体接触后的颜色信号在所述测量期间使用位于距离所述衬底表面约0.1英寸-5英寸处的颜色传感器来执行。
15.根据条款14所述的方法,其中测量所述等离子体接触后的颜色信号在所述测量期间使用位于距离所述衬底表面约0.4英寸-1英寸处的颜色传感器来执行。
16.一种用于还原存在于准备用于随后的电镀操作的半导体衬底的金属晶种层的表面上的金属氧化物的等离子体处理装置,所述装置包括:
-处理室,在所述处理室内具有至少一个处理站;
-衬底保持器,其构造成将衬底保持在所述处理站处;
-等离子体产生器,其构造成产生在所述处理室内的等离子体并且/或者将所述等离子体提供给所述处理室;
-颜色传感器,其被配置为从衬底测量颜色信号,所述颜色信号具有一个或多个颜色分量;以及
-具有非暂时性计算机可读指令的控制器,所述非暂时性计算机可读指令用于:
-操作所述等离子体产生器以产生在所述处理室内的等离子体并且/或者将所述等离子体提供给所述处理室,使得所述等离子体在所述处理站接触衬底的表面,以通过还原在所述表面上的金属氧化物来处理所述表面;
-在所述等离子体与所述衬底的表面接触之后,操作所述颜色传感器以从所述表面测量等离子体接触后的颜色信号,所述颜色信号具有一个或多个颜色分量;以及
-基于所述等离子体接触后的颜色信号估计由于所述等离子体处理而导致的所述氧化物还原的程度。
17.根据条款16所述的装置,其中所述颜色传感器被定位和/或被配置以便在所述衬底位于所述处理站时从所述衬底测量所述颜色信号。
18.根据条款16所述的装置,其还包括:
-加载锁,其配置成提供通向所述处理室的衬底入口;以及
其中所述颜色传感器被定位和/或配置以便在所述衬底位于所述加载锁内时从所述衬底测量所述颜色信号。
19.根据条款16-18中任一项所述的装置,其中,所述颜色传感器被配置为测量具有b*颜色分量的颜色信号。
20.根据条款19所述的装置,其中,估计由于所述等离子体处理而导致的所述氧化物还原的所述程度基于所述等离子体接触后的颜色信号的所述b*分量来进行。
21.根据条款16-18中任一项所述的装置,其中所述等离子体产生器远离所述处理室。
附图说明
图1A是制备具有用于随后电镀操作的金属晶种层的半导体衬底的方法的流程图,其包括测量至少一种颜色信号。
图1B是制备具有用于随后电镀操作的金属晶种层的半导体衬底的另一方法的流程图,其包括测量至少两种颜色信号。
图2示意性地示出了使用“a*”、“b*”和“L*”颜色分量的三色分量颜色空间。
图3预设了图解一种电镀方法的更详细的流程图,该方法包括等离子体预处理和与图1A和1B所示的操作类似的用于估计预处理中氧化物还原程度的操作。
图4示出了等离子体处理装置的示例的横截面示意图。
图5A示意性地示出了具有4个处理站的多站式等离子体处理装置。
图5B(i)示出了其中安装有颜色传感器的等离子体处理装置的加载锁的示意图。
图5B(ii)示出了显示颜色传感器在加载锁内的定位的竖直横截面示意图(如图5B(i)中的虚线505所示)。
图5B(iii)示出了详细显示颜色传感器的光纤头的竖直横截面示意图(放大的,如图5B(ii)中的虚线椭圆506所示的)。
图6A示出了图解处理具有金属晶种层的衬底的方法的示例性流程图。
图6B示出了图解处理具有金属晶种层的衬底的另一方法的示例性流程图。
图7A-7D示出了图解使用等离子体处理装置处理具有金属晶种层的衬底的各个阶段的横截面示意图的示例。
图8示出了在等离子体预处理之前和之后对6个具有200埃氧化物层的晶片进行b*颜色分量测量的结果。
图9A示出了H2等离子体处理对L*颜色分量的影响。
图9B示出了H2等离子体处理对a*颜色分量的影响。
图9C示出了H2等离子体处理对b*颜色分量的影响。
图10(a)示出了在“多层配方”的过程中b*颜色分量的值与时间的函数关系,其中晶片通过出站加载锁两次。
图10(b)示出了来自图10(a)的数据的一部分的特写重绘图。
图11A绘制了从6个在250℃下已经被等离子体处理持续6个不同的持续时间的晶片(每个具有200埃的氧化物层)测得的b*颜色分量的值。
图11B绘制了从5个在75℃下已经被等离子体处理持续5个不同的持续时间的晶片(每个具有200埃的氧化物层)测得的b*颜色分量的值。
具体实施方式
在以下公开中,阐述了许多具体实施方式,以便提供对本文公开的发明构思的透彻理解。然而,本领域技术人员应当理解,本文公开的发明构思在许多情况下可以在具有或不具有这些具体细节中的某些的情况下(诸如通过替代可选的元件或步骤,或省略某些元件或步骤)实践,同时保持在本文公开的发明构思的范围和精神内。此外,在某些工艺、过程、操作、步骤、元件、模块、组件和/或系统对于本领域技术人员来说是已知的的情况下,则在本文中可能不必以尽可能详细的细节来描述,以便所公开的发明构思的重要方面不会不必要地模糊。
晶种层的氧化
金属晶种层会容易地与空气中的氧气或水蒸气反应并且从纯金属氧化成金属氧化物和掩埋的纯金属的混合膜。虽然在环境条件下的氧化可以限于一些金属的薄表面层,但是该薄层可以代表在当前技术节点中使用的薄晶种层的显著部分或者可能整个厚度。例如4×nm节点、3×nm节点、2×nm节点和1×nm节点以及小于10nm节点之类的技术节点可能需要相对薄的晶种层。需要相对薄的金属层的技术节点中的通孔和沟槽的高宽比可以为约5:1或更大。在这种技术节点中,金属晶种层的平均厚度因此可以小于约
Figure BDA0002487472180000081
Figure BDA0002487472180000082
在一些实现方式中,金属晶种层的平均厚度可以小于约
Figure BDA0002487472180000083
通过在下面的反应式1和反应式2中所示的一般化学反应,用于晶种或阻挡层的金属被转化成金属氧化物(MOx),但是金属表面(M)和环境氧或水蒸汽之间的确切反应机理可以根据性质和氧化状态而变化。
反应式1:2M(s)+O2(g)→2MOx(s)
反应式2:2M(s)+H2O(g)→M2Ox+H2(g)
例如,已知沉积在衬底上的铜晶种在暴露于空气时快速形成氧化铜。氧化铜膜可以在下伏的铜金属的顶部上形成约
Figure BDA0002487472180000084
且至多
Figure BDA0002487472180000085
的厚度的层。随着金属晶种层变得越来越薄,在环境条件氧化而形成金属氧化物会带来大的技术挑战。
如上所述,将纯金属晶种转化为金属氧化物可能是有问题的。这不仅在当前铜镶嵌处理中如此,而且对于使用其它导电金属(例如钌、钴、银、铝和这些金属的合金)的电沉积工艺也是如此。首先,被氧化的表面难以镀覆。一方面,被氧化的表面的导电性不如裸晶种金属的导电性,从而倾向于抑制电镀速率。然而,该表面可能未被均匀氧化,使得电镀不均匀(因为电镀速率取决于表面导电性)并且缓慢。此外,电镀浴添加剂会与金属氧化物相对于纯金属不同地相互作用,导致电镀速率的进一步不均匀。
第二,作为氧化的结果,会在金属晶种层中形成孔隙,这会使得晶种层的一些部分不能用于支持电镀。在暴露于腐蚀性电镀溶液期间,由于金属氧化物的溶解而可能形成孔隙。由于不均匀的电镀,因而也会在表面上形成孔隙。另外,在被氧化的表面上镀覆主体金属可能导致粘附或分层问题,这可能进一步导致在随后的处理步骤例如化学机械平面化(CMP)之后的孔隙。由蚀刻、不均匀电镀、分层或其它工艺产生的孔隙会使金属晶种层不连续,并且不能支持电镀。事实上,由于现代镶嵌金属晶种层相对薄,例如(如所述的)约
Figure BDA0002487472180000091
或更薄,因而即使少量氧化也可能消耗晶种层的整个厚度。
第三,并且更一般地,金属氧化物形成有时被认为导致在电镀之后的其它衬底处理操作的问题。例如,有时观察到金属氧化物形成阻碍了电沉积后覆盖层的沉积。在一些情况下,这可能是由于金属氧化物层有效地限制了覆盖层的粘附性。
在晶种层已经沉积之后但在主体电镀操作之前可能难以避免在晶种层上形成金属氧化物。可以进行将晶种层暴露于在环境条件下的氧气或水蒸汽的各种中间处理步骤。例如,在沉积金属晶种层的PVD方法之后可以进行一个或多个漂洗步骤(例如用去离子水),并且接着在主体电沉积操作之前进行随后的干燥步骤。漂洗步骤可以限于例如约1至10秒的时间,但可以采用更长或更短的时间。随后的干燥可以在约20和40秒之间,但是干燥步骤可能需要更长或更短的时间。在这些步骤期间,金属晶种层会暴露于环境条件,并且会发生晶种层的氧化。
即使在没有特定的中间步骤(如漂洗和干燥)的情况下,晶种层沉积(例如通过PVD)通常在与用于电沉积的电镀池不同的处理室中进行。因此,在这些处理模块之间经常存在真空中断和晶片转移,在此期间晶种层可能暴露于环境条件,同样可能导致晶种层氧化。在一些情况下,该暴露的持续时间可以在任何位置介于约1分钟至4小时之间,或更特别地介于约15分钟至1小时之间。注意,在2001年2月28日提交的美国专利No.6,793,796(代理人案卷号NOVLP073)中描述了各种合适的电-镀覆/沉积/填充的方法和装置,其全部内容通过引用并入本文以用于所有目的。(参考文献描述了电-镀覆/沉积/填充过程的至少四个阶段,并且公开了受控电流密度方法,其用于每个阶段的用以优化相对小的嵌入特征的填充)
用以补救晶种层的氧化的等离子体处理
由于可能在晶种层的沉积和随后的主体电镀之间的中间阶段中导致金属晶种层氧化的各种因素,因而非常需要用于晶种层沉积后还原金属氧化物的有效处方。一种方法是,通过使衬底的表面与包括还原剂的等离子体接触来预处理(在主体电镀之前)衬底的表面,所述还原剂由此用于还原在衬底表面上形成的金属氧化物。在该等离子体预处理中使用的还原性等离子体可以在反应室本身(保持用于预处理的衬底的真空室)内形成,或者等离子体源可以远离处理室(即形成“远程等离子体”并将其引入到处理室中以接触和处理衬底表面),尽管一旦其进入反应室还可能要进行随后的修改(例如,离子过滤,使得自由基主要接触衬底等)。
用于使用还原性等离子体进行这种电镀预处理的这样的方法和装置在以下文献中有详细描述:于2011年11月21日提交的、名称为“METHOD AND APPARATUS FOR REMOTEPLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER,”的美国专利申请No.14/086,770(简称“'770申请”),现在已经以美国专利公告No.2014/0256128公告,其出于所有目的通过引用整体并入本文。如'770申请中所公开的,根据实施方式,用于形成还原性等离子体的还原气体物质可以是氢气(H2)、氨气(NH3)、一氧化碳(CO)、乙硼烷(B2H6)、亚硫酸盐化合物、碳和/或烃、亚磷酸盐和/或肼(N2H4)等等,等离子体中的被激励的还原物质可以是还原气体的离子或自由基,例如H*、NH2*或N2H3*。
反应式3示出了还原气体物质(例如氢气)被分解成氢自由基的实例。反应式4显示氢自由基与金属氧化物表面反应以将金属氧化物转化为金属。对于未分解的氢气分子或者重新结合以形成氢气分子的氢自由基,氢气分子仍然可以用作用于将金属氧化物转化为金属的还原剂,如反应式5所示。
反应式3:H2→2H*
反应式4:(x)2H*+MOx→M+(x)H2O
反应式5:(x)H2+MOx→M+(x)H2O
如上所述,在一些实施方式中,预处理等离子体还可以包括还原气体物质的离子和其他带电物质,尽管经常有利的是过滤掉这些带电物质,使得它们不会到达衬底并且不可能损坏晶种层,如下文更详细地描述的。
等离子体预处理性能的定性/定量
已经发现,上述等离子体预处理在减少晶种老化对随后的主体电镀的影响方面非常有效。然而,已经发现,对实际应用中(in the field)的等离子体预处理工艺性能的定性是极具挑战性的。为了对预处理性能定性,通常晶片在受控环境中被氧化,然后在预处理模块中暴露于氧化物还原性等离子体。进行等离子体处理之前和之后的薄层电阻测量,并且薄层电阻下降通常表征等离子体预处理的性能。即使这种技术是有用的,因为在某种程度上,它揭示了离子体预处理之前和之后的变化,但它仍然受实际应用中的许多问题困扰。由于薄层电阻测量离线进行,因此在等离子体预处理和离线测量(其以单独的专用计量工具处进行)之间存在排队时间。由于排队时间,预处理的性能(例如,氧化物去除的程度)倾向于被系统地低估。更重要的是,排队时间的随机差异可能是实际应用中预处理性能变异性的假指示。在一些情况下,如果预处理之后的排队时间足够长,则预处理的晶片可以在进行薄层电阻测量之前使几乎所有的原始氧化物层再生。因此,这种离线非原位技术对于在实际应用中将等离子体预处理的性能定性(和定量)不是理想的,在该实际应用中,在预处理和测量之间的排队时间不能被严格控制和/或甚至不能被严格预测的。期望(并且在此公开)的是用于在等离子体预处理期间或之后立即实时量化氧化物层厚度的在线原位测量技术,从而提供等离子体预处理性能的可靠表征。实现这样的技术的等离子体预处理模块也是期望的(并且在本文中公开)。
通过颜色感测估计在晶片上的氧化层的去除效果
用于评估氧化物层去除的效率的一种机制是在等离子体预处理操作之后和/或甚至在等离子体预处理操作期间使用颜色传感器估计氧化物层厚度。已经发现,从晶片表面测得的颜色信号分析可以用作在等离子体预处理期间或之后探测任何剩余氧化物层的厚度的基础。在一些实施方式中,颜色信号测量和分析可以准确地评估等离子体预处理模块的性能,例如,在模块的问题出现时检测模块的问题并且有助于防止现场中的晶片碎片。因此,准备用于随后的电镀的衬底的电镀预处理方法可以包括:通过使衬底的表面与等离子体接触来还原该表面上的金属氧化物,然后(从所述表面)测量颜色信号,以及基于颜色信号来估计(由于等离子体处理导致的)氧化物还原的程度。
这种制备具有用于随后的电镀操作的金属晶种层的半导体衬底的方法由图1A中的流程图示出。如图所示,这种方法100开始于使半导体衬底的表面与等离子体接触以通过还原在该表面上的金属氧化物来处理该表面的操作120。然后,在与等离子体接触之后,在操作130中,从所述表面测量等离子体接触后的颜色信号。这种颜色信号可以具有一个或多个颜色分量,如下面进一步描述的。一个或多个颜色分量可以提供氧化物层的厚度的指示。因此,在操作140中,测得的等离子体接触后的颜色信号被用作估计由于等离子体处理导致的氧化物还原的程度的基础。
注意,从衬底表面测得的颜色信号通常具有多个颜色分量(尽管它可以仅具有一个颜色分量)。一旦颜色传感器已经被调整并且其设置被优化,它就可以检测晶片表面上的小的颜色变化。在一些实施方式中,颜色传感器输出由三个颜色分量组成的颜色信号。这些可以是RGB(红/绿/蓝)颜色值,但是定义除了RGB颜色空间之外的某些颜色空间的颜色分量也是可行的。
例如,在一些实施方式中,由颜色传感器测量和输出L*、a*和b*颜色分量的集合;并且这些L*、a*、b*颜色分量定义L*/a*/b*颜色空间,如图2中示意性地示出的。如图2所示,“a*”颜色分量表示颜色信号中绿色与红色的相对比例,“b*”颜色分量表示颜色信号中蓝色与黄色的相对比例,以及“L*”颜色分量指示颜色信号的整体亮度或光度。当然,尽管(a*,b*,L*)的值指定了该特定颜色空间中的位置,但是本领域普通技术人员应认识到,颜色空间的其他表示也是可行的,并且由该颜色空间中的特定(a*,b*,L*)三元组指定的实际物理颜色将潜在地由不同颜色空间中的颜色分量的不同三元组值指定。此外,本领域普通技术人员将容易理解,本文公开的发明构思不限于关于a*、b*和L*分量的这种特定的颜色空间的表示。
原则上在测量和分析中也可以使用多于三种的颜色分量,例如4,5,6,7,8,9,10或16种颜色分量,或在范围跨8至16种颜色分量,或16至32个颜色分量,或32至64种颜色分量,或64至128种颜色分量,或128至256种颜色分量的多种颜色分量。如果使用3种或更少的颜色分量,则这些颜色分量可以选择为在图2中示意性示出的*a、*b或*L颜色分量的任何组合,或其他类型的颜色分量(无论是一个、两个、三个)的组合,例如RGB(红、绿、蓝)颜色分量的组合。还应当注意,尽管通常情况下探测和基准颜色信号将包括相同类型和数量的颜色分量,但是不一定需要这样。例如,通过忽略额外的颜色分量,仍然可以计算指示具有不同数目的颜色分量的两个颜色信号之间(或者在测得的信号和矢量平均之间)的差异的度量(metric)。在还有的其他实施方式中,探针颜色信号的分量可以表示与一个或多个基准颜色信号的分量不同的颜色空间分量。如果是这种情况,则可以使用各种颜色空间向量投影方法来仍然制定有意义的比较度量。最后,还应当注意,在本公开的上下文中,原则上也可以使用感测颜色并形成彩色图像的装置,即彩色照相机。(虽然,通常情况下商业上可用的彩色照相机对于轻微的颜色差异不是与商业上可用的颜色传感器一样灵敏。然而,原则上,彩色照相机也可以是有效的,具体取决于实施方式和期望的灵敏度。)
关于该L*/a*/b*颜色空间,已经发现b*颜色分量是非常有效的,以便以其为基础确定在等离子体预处理操作期间或之后氧化物还原(和/或去除)的程度。这部分地是由于可归因于氧化物与裸金属晶种的相互关系的b*颜色值中的差异,然而(并且不受限于特定理论),它也是由于在颜色测量期间,b*颜色分量对其他不相关的伪影、波动、不稳定性等的相对不敏感性。在颜色传感器测量期间的一些波动和不稳定性可能是由于振动、光强度的变化、传感器头和晶片之间的间隙的变化、传感器头相对于晶片的角度、晶片摆动和环境条件变化(包括温度、压力、湿度等)。颜色传感器可以具有其自己的内部光源,然而,环境光的小变化可能干扰内部光源。例如,已经发现L*颜色分量十分依赖于环境光,因此不适合作为由于氧化物形成而导致的晶片颜色变化的指示。已发现其它颜色分量(α*分量)在氧化物和裸金属晶种之间不会显著变化。
注意,b*颜色分量在本文中被定义为具有指示颜色信号中蓝色与黄色的相对比例的值的颜色分量(例如,多分量颜色信号的颜色分量)(如上文有关图2所描述的)。存在可以限定由特定颜色传感器测得的颜色空间的许多可能的颜色分量集合。一些颜色传感器可以仅测量可能是b*颜色分量的单个颜色分量。一些颜色传感器可以测量多于3种的颜色分量,其中之一是b*颜色分量。此外,即使在测量3种颜色分量的传感器中,测量和/或定义为b*颜色分量的颜色分量中可能有一些变化(差异可以是通过设计,或者它可能是由于无意的制造变化)。然而,在这些情况中的任何一种下,如果测得的颜色分量指示蓝色与黄色的比例,则其通过被称为b*颜色分量而包含在本文中。
在一些实施方式中,在等离子体处理完成之后立即(或其后按照实际情况尽快)测量氧化物还原效率的确定所依据的颜色信号。(多久后可以取决于颜色传感器相对于发生等离子体预处理的位置的放置和/或安装,尽管想法是其足够接近,使得上面关于常规离线计量所讨论的问题显著减少)。然而,在其他实施方式中,氧化物去除效果的确定所依据的颜色信号可以在基于等离子体的预处理氧化物去除期间测量,或者甚至在预处理的整个过程中多次测量。
这种测量可以用于预处理过程中的实时故障检测,使得问题可以尽快得到纠正并使晶片损失最小化。然而,还注意到,在预处理期间(特别是如果在预处理的过程中以各种间隔进行)的实时氧化物层厚度测量可以用作预处理过程本身的实时调整的基础(例如,通过调整等离子体强度)或用于终点确定(即,确定因为所有(或足够的)氧化物已被还原和/或除去而建议停止预处理过程的时间)的基础。
在任何情况下,然后就处理这种“等离子体接触后的颜色信号”以便测量氧化物去除效率方面而言,各种处理分析选项是可能的。例如,在一些实施方式中,等离子体接触后的颜色信号可以与一个或多个基准颜色信号进行比较。如果基准颜色信号指示氧化物厚度的特定水平(例如,它们是从具有已知氧化物层厚度的晶片测得的),则将测得的等离子体接触后的颜色信号与这些基准信号进行比较使得能对氧化物层厚度(并因此对氧化物去除效率)进行估计。
在一些实施方式中,该比较可能需要识别最接近于测得的等离子体接触后的颜色信号(“探针”信号)的基准颜色信号,并确定氧化物层厚度为与该特定基准相关联的氧化物层厚度。如果比较基于单个颜色分量,例如b*(或单个颜色带,例如,650nm±一些Δλ),则“最接近的”基准仅仅意味着其颜色分量的值与探测信号的差异最小。如果比较是基于多个颜色分量,则“最接近的”基准是其颜色分量的矢量与探针的差异最小,即,其具有最小的值
Figure BDA0002487472180000151
其中ci是探针(测得的等离子体接触后的颜色信号)和基准颜色信号的第i个分量,而N是颜色信号分量的数量(如本领域普通技术人员所容易理解的)。
当然,包括该矢量差的幅值的缩放版本的差分度量将类似地工作(例如,缩放可能由于单位转换而导致),其将是矢量差值幅度的各种单调函数。本领域普通技术人员将容易理解,度量的特定函数形式不是关键的,只要其导致指示探针和基准颜色信号之间的差异的值即可。表示比较度量的数学函数可以被实现为颜色信号的分析函数,其可以在查找表中实现,或者其可以潜在地使用一些其他计算方法(例如,分析函数评估和表格查找的混合)实现。
由于b*颜色分量被确定为是氧化物层厚度的很好的证明,因此在优选实施方式中,将就等离子体接触后的测得的颜色信号(探针)的b*分量和基准颜色信号的b*分量进行比较。因此,基于b*颜色分量的分析可涉及计算成组的度量,这些度量中的每一个单调相关于等离子体接触后的颜色信号的b*分量和基准颜色信号(对应于已知厚度的氧化物层)的b*分量之间的差的绝对值。
在更复杂的实施方式中,氧化物层厚度可以通过在多个基准颜色信号(对应于已知的氧化物层厚度)之间内插来确定。在一些实施方式中,从具有已知氧化物层厚度的多组基准晶片测得的多组颜色信号可用于产生颜色信号和氧化物层厚度之间的函数关系,并且该关系可基于从测试晶片表面测得的颜色信号来估计测试晶片的氧化物层厚度(以及由此估计等离子体预处理过程的效率)。氧化物层厚度和颜色信号之间的这种函数关系可以是线性的,或大致线性的或非线性的,具体取决于实际情况。当然,函数关系将绝不会是精确的,但是可以从拟合给定数据集合(即,来自具有已知氧化物层厚度的基准晶片的颜色信号的测量值)的一个或多个试验函数形式来选择或确定最佳拟合函数关系。如本领域技术人员容易理解的,最佳拟合将假定为与该函数形式相关联的一个或多个参数(例如,如果假设函数关系是线性的,则为线的斜率和截距)建立值。当然,本领域普通技术人员还应理解,一旦确定颜色信号和氧化物厚度之间的函数关系(无论其是线性的、非线性的等),该函数可以通过分析函数评估、查找表等应用于测得的颜色信号,以计算近似晶种层厚度。
另一组颜色信号处理选项可以基于在等离子体接触之后测得的一个或多个颜色信号与在等离子体预处理之前测得的一个或多个颜色信号的比较来进行预处理效率的分析。这种制备具有用于随后的电镀操作的金属晶种层的半导体衬底的方法由图1B中的流程图示出。如图所示,这种方法105开始于操作110,在操作110中,在半导体衬底的表面与等离子体接触之前测量来自该半导体衬底的表面的等离子体接触前的颜色信号。之后是操作130,其中,使衬底的表面与等离子体接触,以通过还原在该表面上的金属氧化物来处理表面。然后,如图1A的方法100中那样,在等离子体接触之后,在操作130中从所述表面测量等离子体接触后的颜色信号。然后在操作145中进行由于等离子体处理导致的氧化物还原程度的估计,而该估计通过比较等离子体接触前的颜色信号和等离子体接触后的颜色信号进行。
如同等离子体接触后的颜色信号(或多个信号)一样,等离子体接触前的颜色信号可以具有一个或多个颜色分量,并且特别地可以包括b*分量。在一些实施方式中,等离子体接触前的颜色信号和等离子体接触后的颜色信号的比较可以涉及计算指示等离子体接触前的颜色信号和等离子体接触后的颜色信号之间的差异的度量。更具体地,如果b*分量用作比较的基础,则度量会与等离子体接触前的颜色信号的b*分量和等离子体接触后的颜色信号的b*分量之间的差的绝对值单调相关。
已经发现用于评估等离子体预处理效率的颜色传感器测量可在良好控制的真空环境(例如在等离子体处理室中)以及在周围环境中(例如在室的入站和出站加载锁中,如在下文更详细描述的)使用。然而,已经发现,当颜色传感器的头靠近晶片的表面时,即仅在传感器和晶片之间存在小间隙时(下面进一步描述),颜色信号测量工作效果最好。这导致具有最佳信噪比的最稳定的测量。下面更详细地描述关于颜色传感器相对于等离子体处理室的放置/或安装的进一步的细节。
关于晶种层的等离子体处理的进一步细节
图3预设了更详细的流程图,其示出了电镀方法,该方法包括等离子体预处理和与图1A和1B所示的操作类似的用于估计预处理中氧化物还原程度的操作。方法300开始于操作305,其中将金属晶种层(例如薄铜层)沉积在衬底上。这提供了一种衬底,在该衬底的电镀表面上具有金属晶种层。衬底可以具有凹槽,所述凹槽具有大于约3:1或大于约5:1的高度比宽度的高宽比。在操作310,将衬底转移(可能在环境条件下)至例如预处理模块的处理室。在操作315中,在处理室中的真空或减压下,使衬底与还原性等离子体(具有如上所述在处理室中形成或远离处理室形成的还原气体物质的离子和/或自由基物质)接触。(自由基和离子物质可以自由地朝向衬底表面漂移;特别地,离子可以通过例如在喷头和衬底保持器/基座之间的施加的电压偏置加速)。在操作320,该处理导致金属晶种层上的氧化物的还原以及未氧化(或接近这样)的金属表面的形成。在一些实施方式中,如图所示,被氧化的金属变成金属晶种层上的集成膜。
在氧化物还原之后,接下来的两个操作涉及基于颜色信号测量估计氧化物还原的程度。具体地,在操作322中,从衬底的表面测量等离子体接触后的颜色信号。然后,再次类似于图1A和1B,在操作323中,基于对在操作322中测得的颜色信号的分析来估计氧化物还原(在操作320中完成)的程度。该方法然后进行到操作325,其中衬底是在环境条件下或在惰性气体覆盖下转移到电镀系统(或者无电镀系统、其它金属沉积系统或另一预处理装置)。虽然通过将金属氧化物表面暴露于还原气体气氛,金属晶种层中的金属氧化物已经被充分还原,但是执行操作325可能会因暴露于周围环境而带来额外的再氧化挑战。在一些实施方式中,可以使用诸如缩短转移的持续时间或在转移期间控制气氛之类的技术来最小化暴露于环境条件。附加地或可选地,转移在氧化性不如环境条件的受控环境中进行。为了在转移期间控制气氛,例如,气氛可以基本上不含氧。环境可以是基本上惰性的和/或为低压或真空。在一些实施方式中,可以在惰性气体覆盖下转移衬底。如下所述,在操作325中的转移可以在同一装置中或者在稍微分离但是仍然彼此连接和集成的两个装置(等离子体处理和电镀的)中从等离子体预处理室到电镀单元发生,使得可以在减少、或最小化或没有暴露于将导致其现在基本上裸露的金属表面的氧化的条件下将用还原性等离子体预处理的衬底转移到电镀单元。在任何情况下,一旦在图3中的操作325处被转移,则该方法结束于操作330,其中金属最终被电镀到衬底表面上,特别是在优选实施方式中,电镀到现在是衬底表面上的裸露的基本上未氧化的金属晶种层的层上。
预处理等离子体还可产生并包括来自还原气体物质的UV辐射。在一些实施方式中,UV光子可以加热衬底的表面来激活金属氧化物表面,以供随后的还原,或者在一些情况下,UV光子可以具有足够的能量以导致金属氧化物本身的还原。因此,在一些实施方式中,还原气体物质的自由基或者离子、来自还原气体物质(的中子、离子和/或自由基)的UV辐射、或还原气体物质本身可以还原金属氧化物。
此外,活化的还原气体物质(离子、自由基等)可以与混合气体种类(例如相对惰性的气体物质)组合。相对惰性的气体物质的实例可以包括氮气(N2)、氦气(He)、氖气(Ne)、氪气(Kr)、氙气(Xe)、氡气(Rn)和氩气(Ar)。用于形成还原性等离子体的还原气体物质的流速可以根据用于处理的晶片的尺寸而变化。例如,所述流速可以在约10标准立方厘米每分钟(sccm)和约100,000sccm之间,以处理单个450mm晶片。也可以使用其他晶片尺寸。例如,用于形成等离子体的还原气体物质的流速可以在约500sccm和约30,000sccm之间,以处理单个300mm晶片。
温度和压强也影响还原性等离子体的反应性,尽管温度通常保持低于导致在暴露于还原气体气氛期间产生金属晶种层的附聚的温度。如'770申请中所公开的,合适的温度和压强可以如下:还原室的温度可以相对较高,以使得还原气体物质能离解成自由基。例如,还原室在任何地方可以介于约10℃和500℃之间,例如介于约50℃和250℃之间。较高的温度可用于加速金属氧化物还原反应并缩短暴露于还原气体气氛的持续时间。在一些实施方式中,还原室可以具有相对低的压强以基本上从还原气体气氛中除去任何氧,因为最少化气氛中存在的氧可以减少再氧化的影响。例如,还原室可以抽排至真空环境或约0.1托和约50托之间的低压。升高的温度和/或降低的温度还可以增加金属晶种层中的金属原子的回流,以产生较均匀和连续的金属晶种层。
在一些实施方式中,如'770申请中所述,可以与预处理室温度分开地控制衬底温度,以避免或减少对金属晶种层的损害。根据金属晶种层中的金属的类型,金属可以在阈值温度以上开始附聚。在相对薄的晶种层中,尤其是在具有小于约100埃的厚度的晶种层中,附聚的效果更加显著。附聚包括任何连续或半连续金属晶种层聚结或珠化(beading)成珠子、凸块、岛状物或其它团块,以形成不连续的金属晶种层。这可能导致金属晶种层从上面沉积了金属晶种层的表面剥离,并且可能导致在镀覆期间增加的孔隙。例如,在铜中开始发生附聚的温度大于约100℃。不同的附聚温度可适用于不同的金属。
在一些实施方式中,衬底可以保持在约-10℃至约150℃之间的温度。在铜晶种层中,例如,衬底可以保持在约75℃和约100℃之间的温度。在钴晶种层中,衬底可以保持在大于约100℃的温度。因此,可以使用诸如在预处理室中的主动冷却基座和/或气流冷却装置之类的冷却系统来保持衬底的局部区域在低于附聚温度的温度下。根据实施方式,热传递可以经由传导、对流、辐射或其组合发生。在一些实施方式中,冷却流体循环回路可主动冷却衬底。包括冷却特征的实施方式在以下文献中描述:2008年2月5日授权的美国专利No.7,327,948(代理人案卷号NOVLP127X1);2011年1月5日授权的美国专利No.7,941,039(代理人案卷号NOVLP127X3);2007年5月21日提交的美国专利申请No.11/751,584(代理人案卷号NOVLP127X2);2012年2月10日提交的美国专利申请No.13/370,579(代理人案卷号NOVLP127C1);2012年3月20日授权的美国专利No.8,137,465(代理人案卷号NOVLP127);2005年5月12日提交的美国专利申请No.11/129,266(代理人案卷号NOVLP361);2006年10月10日提交的美国专利申请No.11/546,189(代理人案卷号NOVLP198)以及2010年3月29日提交的美国专利申请No.12/749,170(代理人案卷号NOVLP361D1);其中每一个通过引用整体并入本文并用于所有目的。
等离子体预处理的持续时间可以根据其它工艺参数而变化,如'770申请中详细描述的。例如,可以通过增大等离子体功率、温度等来缩短暴露持续时间。根据实施方式,合适的持续时间可以在约1和60分钟之间。例如,对于铜晶种层的预处理,暴露的持续时间可以在约10和300秒之间。
此外,如'770申请中所述,等离子体预处理可以提供额外的益处,使得通过预处理已经被还原为其元素(非氧化)状态的晶种层的部分中的金属回流。该回流工艺可以通过移动和重新分布金属原子来减少金属晶种层中的孔隙和间隙,从而改善晶种覆盖和/或平滑度,由此形成更均匀和连续的金属晶种层。在一些实施方案中,金属晶种层中的金属可以由于被认为(不限于特定理论)使金属原子进入更激发状态从而变得更可移动的以下因素而回流:暴露于升高的温度、降低的压强、暴露于来自专用UV源的UV辐射、暴露于由还原性等离子体产生的UV辐射和/或暴露于等离子体中的自由基和/或离子中的一种或多种。此外,这种回流可以导致通过预处理而还原(即,从金属氧化物层恢复)的金属集成到从未被氧化的金属晶种层的部分集成的膜。该膜可以在轮廓金属晶种层上与晶种层的从未被氧化的下伏部分基本连续和共形。因此,该再生金属膜抵抗分层等,这常常被认为在通过其它技术消除氧化物时发生。
装备颜色传感器的等离子体处理装置
公开了用于还原存在于准备用于随后的电镀操作的半导体衬底的金属晶种层的表面上的金属氧化物的等离子体处理装置。所述装置包括:处理室,在所述处理室内具有至少一个处理站;衬底保持器,其构造成将衬底保持在所述处理站处;等离子体产生器(其构造成产生在所述处理室内的等离子体并且/或者将等离子体提供给所述处理室);以及控制器,其具有用于操作所述装置的非暂时性计算机可读指令。控制器的指令可以包括用于操作等离子体产生器以产生在处理室内的等离子体的指令。在一些实施方式中,指令使等离子体远离处理室产生(如下面进一步描述的),然后被提供给处理室。在任何情况下,等离子体产生(和任何相关的)指令的执行导致等离子体在处理站处接触衬底的表面,以通过还原在该表面上的金属氧化物来处理衬底。然而,除了上述特征之外,本文公开的等离子体处理装置(电镀预处理装置)还包括颜色传感器,其用于与控制器结合以提供对等离子体预处理装置的性能的实时原位估计(以及在一些情况下的连续监测)。
因此,控制器可以执行类似于上述那些操作的衬底处理操作的指令:操作等离子体产生器以在处理站处产生等离子体和/或将等离子体提供给处理室,使得等离子体在处理站处接触衬底的表面,以通过还原该表面上的金属氧化物来处理该表面;在所述等离子体接触所述衬底表面之后操作所述颜色传感器以从所述表面测量等离子体接触后的颜色信号,所述颜色信号具有一个或多个颜色分量;基于所述等离子体接触后的颜色信号估计由于等离子体处理导致的氧化物还原的程度。可以由控制器执行的附加操作包括但不限于:向处理室内的处理站提供半导体衬底,在等离子体产生操作中操作远程等离子体源,控制衬底的氧化金属晶种层暴露于等离子体等。
颜色传感器可以定位/设置/安装在等离子体处理装置内的各个位置。例如,在一些实施方式中,颜色传感器可以被定位/设置/安装在等离子体处理室本身内,使得传感器可以被配置为在衬底位于用于等离子体处理的处理站(在室内)时从衬底测量颜色信号(或多个信号)。在其他实施方式中,颜色传感器可以定位/设置/安装在装置的入站或出站加载锁(其提供进入和离开等离子体处理室的衬底入口)内。在这种布置中,可以通过位于出站加载锁中的颜色传感器原位测量等离子体接触后的颜色信号(或多个信号),并且因此提供对等离子体预处理效率的估计,虽然在等离子体处理期间不是实时的。然而,另外,如果另一个颜色传感器安装在入站加载锁中,则可以另外在等离子体处理之前测量等离子体接触前的颜色信号,并且因此等离子体接触前的颜色信号和等离子体接触后的颜色信号的比较提供基于等离子体接触前和等离子体接触后的、对氧化物去除效率的估算。
在一些实施方式中,颜色传感器的硬件组件可以包括光纤传感器头和传感器主体;并且传感器主体可以包含具有用于处理颜色信号的计算机可读指令的颜色信号处理模块。因此,颜色传感器定位/安装的上述讨论更精确地应用于颜色传感器的光纤头。这将在下面关联于各种附图进一步描述。在这些细节之前,首先描述等离子体处理装置的各种其它方面:一个令人感兴趣的方面是等离子体处理装置的位于等离子体源和半导体衬底之间的喷头特征。在一些实施方式中,期望主要是等离子体中的自由基接触衬底并且还原其上的氧化物(与等离子体中的离子相反),并且下面描述的喷头特征可用于过滤掉等离子体的离子,使得仅等离子体的自由基到达衬底的表面。
图4示出了等离子体处理装置的示例的横截面示意图。等离子体处理装置400包括处理室450,处理室450具有单个衬底处理站,如由用于保持衬底410的衬底保持器405(其可以是基座)提供的。等离子体处理装置400还包括构造成产生等离子体的等离子体产生器。这里,远程等离子体源440远程地产生等离子体,然后通过喷头430(其位于衬底410和远程等离子体源440之间)将其提供给处理室。然而,在其他实施方式中,等离子体产生器可以从处理室自身内产生等离子体。
还原气体物质420可以从远程等离子体源440通过喷头430朝向衬底410流动。远程等离子体可以在远程等离子体源440中产生,以产生还原气体物质420的自由基。远程等离子体还可以产生还原气体物质的离子和其它带电物质。例如,线圈444可以围绕远程等离子体源440的壁并且在远程等离子体源440内产生远程等离子体。
远程等离子体还可以从还原气体物质产生光子,例如UV辐射。在一些实施方式中,等离子体处理装置可以进一步包括UV源。UV源可以包括UV宽带灯,例如汞灯、UV准分子灯、UV准分子激光器和其它合适的UV源。UV源的方面会在2013年3月6日提交的美国专利申请No.13/787,499(代理人案卷号LAMRP027)中描述,其通过引用整体并入本文并用于所有目的。在一些实施方案中,还原气体物质可暴露于来自UV源的UV辐射以形成还原气体物质的自由基和其它带电物质,其可与金属晶种层的金属氧化物表面反应以还原金属氧化物。
在一些实施方式中,线圈444可以与射频(RF)功率源或微波功率源电气连通。在由Lam Research Corporation(Fremont、California)制造的
Figure BDA0002487472180000231
中可以找到具有射频功率源的远程等离子体源440的实例。在由MKS Instruments(Wilmington、Massachusetts)制造的
Figure BDA0002487472180000232
中可以找到射频远程等离子体源440的另一个实例,其可以在440kHz工作,并且可以作为通过螺栓固定在较大装置上的子单元来并行处理一个或多个衬底。在一些实施方式中,微波等离子体可以与如在MKS Instruments制造的
Figure BDA0002487472180000233
中存在的远程等离子体源440一起使用。微波等离子体可以被配置为以2.45GHz的频率工作。
在使用射频功率源的实施方式中,射频发生器可以在任何合适的功率工作以形成所需的自由基物质组分的等离子体。合适的功率的实例包括但不限于介于约0.5kW与约6kW之间的功率。同样地,射频发生器可以提供合适频率的射频功率,例如,对于感应耦合等离子体,合适的频率为13.56MHz。
还原气体物质420从气体入口442输送并且输送到远程等离子体源440的内部体积中。供应到线圈444的功率可以用还原气体物质420产生远程等离子体以形成还原气体物质420的自由基。远程等离子体源440中形成的自由基能够通过喷头430朝着衬底410以气相输送。于2011年12月27日公告的美国专利No.8,084,339(代理人案卷号NOVLP414)中描述了具有这种配置的远程等离子体源644的实例,该申请为了所有的目的并且通过引用的方式全部并入本申请中。还原气体物质420的自由基可以还原衬底410的表面上的金属氧化物。
除了还原气体物质的自由基之外,远程等离子体还可以产生并包括还原气体物质420的离子和其他带电物质。在一些实施方式中,远程等离子体可以包括还原气体物质420的中性分子。中性分子中的一些可以是来自还原气体物质420的带电物质的重组分子。还原气体物质420的中性物质或重组分子还可以还原衬底410的表面上的金属氧化物,尽管它们可能比还原气体物质420的自由基花费更长的时间来使金属氧化物反应和还原。离子可以漂移到衬底410的表面并且还原金属氧化物,或者如果衬底支撑件405具有相反的带电偏置,则离子可以朝向衬底410的表面加速以还原金属氧化物。具有带有较高离子能量的物质可以允许更深地植入到金属晶种层中,以进一步从衬底410的表面产生亚稳态自由基物质。例如,如果衬底410具有高深宽比特征,例如在约10:1和约60:1之间,则具有较高离子能量的离子可更深地渗透到这些特征中,以更加在整个特征中使金属氧化物还原。相比之下,还原气体物质420的源自远程等离子体产生的一些自由基可以在场中或特征的顶部附近复合。具有较高离子能量(例如10eV-100eV)的离子也可以用于使金属晶种层中的金属再溅射和回流,这可以导致更均匀的晶种覆盖并且降低后续电镀或金属沉积(例如PVD、CVD、ALD)的深宽比。
在图4中,等离子体装置400可以主动冷却或者以其他方式控制衬底410的温度。在一些实施方式中,可能希望控制衬底410的温度以控制处理期间的还原反应的速率以及暴露于远程等离子体的均匀度。还可能期望控制衬底410的温度以在处理之前、期间和/或之后减少氧化对衬底410的影响。
在一些实施方式中,等离子体装置400可以包括可移动构件415,例如,升降销,所述可移动构件能够移动衬底410远离或靠近衬底支撑件405。可移动构件415可以与衬底410的下表面接触或者说是从衬底支撑件405拾起衬底410。在一些实施方式中,可移动构件415可以竖直地移动衬底410并且控制衬底410与衬底支撑件405之间的间距。在一些实施方式中,可移动构件415可以包括两个或更多个可致动的升降销。可移动构件415可以被配置为延伸远离衬底支撑件405介于约0英寸至约5英寸或更多之间。可移动构件415可以将衬底410远离热衬底支撑件405并朝向冷喷头430延伸以冷却衬底410。可移动构件415还可缩回以使衬底410朝向热衬底支撑件405并远离冷喷头430,从而加热衬底410。通过经由可移动构件415定位衬底410,衬底410的温度可以调整。当定位衬底410时,喷头430和衬底支撑件405可保持在恒定温度。
在一些实施方式中,等离子体处理装置400可以包括喷头430,喷头430使得喷头的温度能控制。于2012年3月20日公告的美国专利No.8,137,467(代理人案卷号NOVLP246)以及于2009年4月16日公布的美国专利公布No.2009/0095220(代理人案卷号NOVLP246X1)中描述了能控制温度的喷头配置的实例,这两个申请为了所有的目的并且通过引用的方式全部并入本申请中。于2011年6月23日公布的美国专利公布No.2011/0146571(代理人案卷号NOVLP329)中描述了能控制温度的喷头配置的另一个实例,该申请为了所有的目的并且通过引用的方式全部并入本申请中。为了能主动冷却喷头430,可以使用热交换流体,例如,去离子水或者由密歇根州米德兰市的Dow Chemical Company制造的传热液体。在一些实施方式中,传热流体可以流动通过喷头430中的流体通道(未示出)。此外,喷头430可以使用热交换系统(未示出),例如流体加热器/冷冻器来控制温度。在一些实施方式中,喷头430的温度可以被控制在低于约30℃,例如,介于约5℃与约20℃之间。喷头430可以被冷却以减少在衬底410处理期间可能由于过热而可能对金属晶种层造成的破坏。例如,在处理衬底410之前以及之后,喷头430还可以被冷却到低于衬底410的温度。
在一些实施方式中,喷头430可以包括多个孔。增大喷头430中的孔的尺寸和增加孔的数量和/或减小喷头430的厚度可使得来自还原气体物质420的较大流量的自由基、离子和UV辐射能穿过喷头430。将金属晶种层暴露于更多的自由基、离子和UV辐射可以提供更多的UV暴露和能量物质以还原金属晶种层中的金属氧化物。在一些实施方式中,喷头430可以包括介于约100个和约900个之间的孔。在一些实施方式中,孔的平均直径可以在约0.05和约0.5英寸之间。这可能导致喷头430中的、由于孔导致的、介于约3.7%至约25%之间的开口面积。在一些实施方式中,喷头430可以具有介于约0.25和约3.0英寸之间的厚度。
在一些实施方式中,衬底支撑件405可以被配置为往来于喷头430移动。衬底支撑件405可以垂直地延伸以控制衬底410和喷头430之间的间隔。当还原衬底410上的金属氧化物时,可以调整衬底410上的还原的均匀性以及速率。例如,如果衬底支撑件405较靠近喷头430,则衬底410的表面上的金属氧化物的还原可以更快地进行。然而,衬底410的中心可能变得比衬底410的边缘热,这会导致较不均匀的还原处理。因此,可以调节衬底410和喷头430之间的间隔,以获得用于处理衬底410的期望速率和均匀性。在一些实施方式中,衬底支撑件405可以被配置为离喷头430介于大约0英寸和大约5英寸或大于约5英寸之间延伸。
在一些实施方式中,还可以调节衬底支撑件405的温度。在一些实施方式中,衬底支撑件405可以是具有一个或多个流体通道(未示出)的基座。根据传热流体的温度,流体通道可以使传热流体在基座内循环以主动冷却或主动加热基座。包括这种流体通道和传热流体的实施方式可以描述为本文中在先讨论的主动冷却基座系统。传热流体通过一个或多个流体通道进行循环可以控制衬底支撑件405的温度。衬底支撑件405的温度控制可以将衬底410的温度控制到更精密的程度。在一些实施方式中,衬底支撑件405的温度可以被调节到介于约0℃与约400℃之间。
在一些实施方式中,等离子体处理装置400可以包括一个或多个气体入口422以使冷却气体460流过处理室450。一个或多个气体入口422可以位于衬底410的上方、下方和/或侧面。一个或多个气体入口422中的一些可以被配置为使冷却气体460沿基本上垂直于衬底410的表面的方向流动。在一些实施方式中,气体入口422中的至少一个可以输送冷却气体460穿过喷头430到达衬底410。一个或多个气体入口422中的一些可以平行于衬底410的平面,并且可以被配置成传送横向流动的冷却气体460流过衬底410的表面。在一些实施方式中,一个或多个气体入口422可以在衬底410上方和下方输送冷却气体460。冷却气体460流过衬底410的流动可以使衬底410能快速冷却。衬底410的快速冷却可以减少衬底410中的金属晶种层的氧化。衬底410的这种冷却可以在衬底410的处理之前和之后进行。用于冷却的冷却气体460的流速可以介于约0.1标准升/分钟(slm)至约100slm之间。
冷却气体460的实例可包括相对惰性的气体,例如氮气、氦气、氖气、氪气、氙气、氡气和氩气。在一些实施方式中,冷却气体460可以包括氮气、氦气和氩气中的至少一种。
在一些实施方式中,冷却气体460可在室温下传送,例如在约10℃和约30℃之间传送。在一些实施方式中,冷却气体460可以在低于室温的温度下传送。例如,冷惰性气体可通过将冷液体(例如液体氩、氦或氮)膨胀成气体而形成。因此,用于冷却的冷却气体460的温度范围可以扩大到介于约-270℃和约30℃之间的任何范围。
在一些实施方式中,等离子体处理装置400可以是电镀装置(未示出)的一部分或与电镀装置(未示出)集成。在暴露于环境条件期间,衬底410中的金属晶种层的氧化可以快速发生。通过将等离子体处理装置400附接或以其他方式连接到电镀装置,可以减少暴露于衬底410的环境条件的持续时间。例如,在处理后的等离子体处理装置和电镀装置之间的转移时间可以在约15秒至约90秒之间,或小于约15秒。
表I总结了可用于等离子体处理装置400的某些实施方式的工艺参数的示例性范围。
表I
Figure BDA0002487472180000271
Figure BDA0002487472180000281
控制器435可以包含用于控制用于等离子体处理装置400的操作的参数的指令。控制器435通常将包括一个或多个存储器设备和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进马达控制器板等。等离子体处理装置可以被配置为执行多个操作,这些操作不限于用远程等离子体处理衬底。等离子体处理装置可以被配置为有效地往来于电镀装置、无电镀装置(electroless plating apparatus)或其它金属沉积装置传送(例如装载/卸载)衬底。等离子体处理装置可以被配置为通过使用可移动构件和/或使用衬底支撑件定位衬底来有效地控制衬底的温度。等离子体处理装置可以被配置为通过控制衬底支撑件的温度和喷头的温度来有效地控制衬底的温度。等离子体处理装置可以被配置为通过相对于喷头定位衬底支撑件来调节还原反应的速率和还原反应的均匀性。等离子体处理装置可以被配置为通过控制输送到处理室中的气体和气体流率来控制衬底周围的环境条件。这样的操作可以改进衬底的处理,同时还将附加操作集成到单个独立装置中。因此,单个装置可以用于处理和冷却衬底,而不是使用两个单独的模块。此外,通过将等离子体处理装置构造成能够执行上述操作中的一些,等离子体处理装置可以在衬底处理之前、期间和之后减少金属晶种层的可能的氧化。
图4示出了具有单个处理站的等离子体处理装置。然而,等离子体处理装置可以具有多个处理站,使得多个衬底可以被并行处理。根据实施方式,处理站中的一个或多个可以具有被定位/安装以测量颜色信号的颜色传感器,并且因此在氧化物去除处理期间原位和实时地评估氧化物去除性能。图5A示意性地示出了具有4个处理站541-544的这种多站式等离子体处理装置500。在该实施方式中,单个处理室510限定用于所有4个站的高真空环境,然而,在某些情况下,气体帘幕可以在不同的处理站之间流动,以将它们彼此体积上隔离(至少到某一程度)。此外,该实施方式采用旋转传送带520,旋转传送带520上定位有衬底,旋转传送带520可用于在4个处理站之间旋转衬底。因此,根据实施方式,4个处理站可以执行相同或不同的处理操作。此外,即使在4个站中的每一个处执行相同的处理操作(或多个操作),仍然会有利的是使半导体衬底旋转通过所有4个处理站,使得处理中的任何系统差在4个站上“平均”(“averaged out”)。
衬底通过入站加载锁531进入处理装置500并通过出站加载锁532离开。通过加载锁的操作,半导体衬底进入装置和从装置移除可以在不破坏处理室520提供的高压真空环境的情况下实现。具体而言,在图中所示的实施方式中,这可以通过来以下方式来实现:在将衬底放置在加载锁531和532中之后关闭加载锁密封件533和534(其通向处理室),之后打开加载锁门535和536(其通向装置外部的周围环境)。
在装置500内,衬底经由晶片输送装置机械手540往来于入站和出站加载锁531和532传送。注意,在该实施方式中,机械手540仅将晶片传送到最接近它的处理站,即处理站541和542。其他两个处理站543和544通过旋转传送带520的旋转来访问。附加的密封件561和562可以使晶片输送装置机械手540能进入处理室510。
颜色传感器可以在各种位置处定位/安装/设置在等离子体处理装置500内。如图5A所示,颜色传感器595被示出位于处理站541处。衬底在处理站之间经由旋转传送带的旋转可以使得在处理室中仅具有单个颜色传感器是可行的。图5A还示出了装置500,其具有分别位于入站和出站加载锁中的颜色传感器591和592。如上所述,这提供了在等离子体预处理工艺之前和之后测量颜色信号的能力。
图5B(i)至5B(iii)提供了颜色传感器在加载锁中的安装的更详细的视图。特别地,图5B(i)示出了具有颜色传感器596的加载锁530,因此可以对应于图5A中的出站加载锁532。图5B(ii)示出了如图5B(i)中的虚线505所示的竖直横截面(与图5A和5B(i)相对,图5A和5B(i)示出了从上方看的加载锁)。图5B(ii)示出的竖直横截面示出了颜色传感器的定位,并且更具体地示出了该特定颜色传感器的硬件组件包括光纤传感器头596A,该光纤传感器头596A耦合在单个电缆外壳596B中的捆绑在一起的一个或多个光纤线,单个电缆外壳596B终止于可以称作保持实际颜色检测电子器件的颜色传感器主体596C(如上所述)的部件。在一些实施方式中,传感器主体596C还可以包含具有用于处理基本检测(例如,校准、对比度增强等)以外的(从光纤传感器头发送的)颜色信号的逻辑和/或计算机可读指令的颜色信号处理模块,因此,应当注意,颜色传感器定位/安装的上述讨论更精确地应用于颜色传感器的光纤头,而不是整个颜色传感器。集成到等离子体处理装置中的如图2所示的测量a*、b*和L*三种颜色分量的这种颜色传感器的示例是由德国的Micro-Epsilon制造的Micro-Epsilon型号颜色传感器。
图5B(ii)示出了颜色传感器596或更精确地说颜色传感器的光纤头部596A指向加载锁530内的晶片507的边缘。注意,由于在晶片的中心以外的晶片的其他区域上训练颜色传感器,因此,原理上,晶片的旋转可以使得能在晶片表面上的多个方位旋转点处测量多个颜色信号。当传感器安装在处理室中而不是加载锁中时,这可能更加可行,具体取决于可旋转衬底保持器可能位于何处。多个方位分布的颜色信号测量当然不是必需的,但是它们可以通过验证围绕整个晶片周边的氧化物去除性能来提供增强的准确度和精度。
图5B(iii)呈现了另一竖直横截面,此时放大在图5B(ii)中由虚线椭圆506指示的区域。这里,光纤颜色传感器头596A被详细地示出定位于安装件598内,安装件598位于加载锁530的上壁内。半透明石英窗口597使得从衬底507(图5B(ii))反射回来的光到达在其安装件598内的颜色传感器596。
在一些实施方式中,颜色传感器可以另外包括用于照亮衬底表面的光源。这也可以通过光纤;换句话说,在电缆596B中捆绑在一起的一个或多个光纤线路可以将从传感器主体596C产生的光传输到传感器头596A,其中光被发射并被引向衬底。通常,使用基本上白色的光并在衬底表面上的相对小的点上训练。在传感器头相对于衬底表面定位成90度并且紧邻其定位的情况下(如图5B(ii)所示),反射的光由传感器头596A收集,并且经由电缆596B被带回到位于颜色传感器主体596C的实际电子颜色检测器。当然,颜色传感器的物理配置是否包括包含主体、电缆外壳和光纤传感器头的多个物理单元,如图5B(ii)中示意性地描绘的,或者颜色传感器是否配置为单个物理单元,对于本文公开的发明构思的操作和功能而言不是至关重要的。
在一些实施方式中,等离子体处理装置的一个或多个颜色传感器被配置为(通过电子方式)向装置的控制器(例如,图5中的控制器550)发送信号。控制器可以被配置为接收信号并对其进行处理,从而实现本文所述的用于估计等离子体处理后(或等离子体处理期间)的氧化物还原的程度的任何颜色信号分析方法。然而,在一些实施方式中,颜色传感器本身内的颜色信号处理模块可以具有足够的处理能力来实现本文公开的方法以评估氧化物还原的程度。在这些类型的实施方式中,颜色传感器可以包括用于在存在等离子体处理过程的检测到的问题时发信号通知主装置控制器的逻辑。
图6A示出了说明处理具有金属晶种层的衬底的方法的示例性流程图。图7A-7D示出了图解使用等离子体处理装置处理具有金属晶种层的衬底的各个阶段的横截面示意图的示例。可以相对于图7A-7D中的相应的横截面示意图来讨论图6A中所讨论的一些步骤。
在图6A中,处理600a可以从步骤605a开始,其中提供衬底到处理室内。衬底可以包括金属晶种层,其中金属晶种层的一部分已经转化为金属的氧化物。在通过远程等离子体处理衬底之前,衬底可以被装载到等离子体处理装置的处理室中。在一些实施方式中,衬底可以设置在致动位置中的一个或多个可移动构件上。在一些实施方式中,惰性气体可以流过处理室以在加载期间冷却衬底。这可以减少在加载期间衬底的额外氧化。在一些实施方式中,在将衬底加载到处理室中时,可以关闭处理室并将其抽排至真空或低压。这可以提供基本上无氧的环境。处理室的压强可以在约0.5托和约6托之间,例如在约0.5托和3托之间。低压可以减少环境中氧气的存在。因此,在这种条件下将衬底加载到处理室中可以减少金属晶种层的额外氧化。
图7A示出了在处理具有金属晶种层的衬底的一个阶段(例如在步骤605a)的等离子体处理装置700的横截面示意图的示例。等离子体处理装置700包括处理室750中的衬底支撑件705、在衬底支撑件705上的远程等离子体源740以及在远程等离子体源740和衬底支撑件705之间的喷头730。可移动构件715可从衬底支撑件705朝向喷头730延伸以定位衬底710。可移动构件的示例可以包括升降销和外围夹具。衬底710可以包括金属晶种层,其中金属晶种层包括Cu、Co、Ru、Pd、Rh、Ir、Os、Ni、Au、Ag、Al和W中的至少一种。在一些实施方式中,金属晶种层的厚度可以小于约
Figure BDA0002487472180000321
在图7A中,处理室750中的可移动构件715可将衬底710定位在致动位置。致动位置可将衬底710放置在比未致动位置(如图7B所示)较靠近喷头730的距离A1处。在致动位置,衬底710和喷头730之间的距离A1可以在约0.05英寸和约0.75英寸之间。衬底710和衬底支撑件705之间的距离B1可以是任何期望的距离。例如,距离B1可以大于约1英寸,诸如在约1英寸和约5英寸之间。喷头730可以保持在相对冷的温度,例如小于约30℃。
回到图6A,在步骤610a,使衬底朝向处理室中的衬底支撑件移动。在一些实施方式中,衬底可以经由可移动构件移动到未致动位置。未致动位置比致动位置离处理室中的喷头远。在一些实施方式中,处于未致动位置的衬底可以与衬底支撑件接触。例如,可移动构件可以缩回,使得衬底可以搁置在衬底支撑件上。在一些实施方式中,在衬底支撑件和衬底之间可以存在间隙,并且热传递可以经由传导、对流、辐射或其组合发生。衬底支撑件可以被加热,这进而可以加热衬底。衬底支撑件可以被加热到处理温度,例如在约0℃和约400℃之间的温度。衬底支撑件的温度可取决于衬底的金属晶种层。例如,对于钴,可以在约250℃和约300℃之间加热衬底支撑件,对于铜可以在约75℃和约100℃之间加热衬底支撑件。衬底的较高温度可加速金属氧化物还原反应。然而,可以选择温度不超过金属晶种层的附聚温度。当衬底被加热时,衬底可以暴露于远程等离子体处理。
图7B示出了在处理具有金属晶种层的衬底的一个阶段(例如在步骤610a)的等离子体处理装置700的横截面示意图的示例。等离子体处理装置700包括在衬底支撑件705上方的衬底710,其中衬底710处于未致动位置。在未致动位置中,衬底710定位在距离喷头730距离A2处,并且比在致动位置较远离喷头730。喷头730和衬底710之间的距离A2可以大于约1英寸,诸如在约1英寸和约5英寸之间。衬底710和衬底支撑件705可以彼此接触,或者衬底710和衬底支撑件705之间的距离B2可以相对较小,以允许衬底710和衬底支撑件705之间的有效热传递。在一些实施方式中,距离B2可以在约0英寸和约0.5英寸之间。在一些实施方式中,可移动构件715可以缩回,使得衬底710搁置在衬底支撑件705上。衬底支撑件705可以通过竖直移动衬底支撑件710来相对于喷头730定位衬底710。喷头730可以是保持在相对冷的温度,例如小于约30℃。
在衬底处理期间可以调整距离A2并且可以调节反应速率和反应均匀性。例如,如果衬底支撑件705较靠近喷头730,则还原的速率可以较快地进行,但是实现较不均匀的结果。距离A2可以通过衬底支撑件705的竖直移动来调节。在一些实施方式中,衬底支撑件705可以在处理室中从第一位置移动到第二位置,其中第一位置和第二位置之间的距离是大于约1英寸。用于定位衬底支撑件705的增加的自由度在调节随后的还原处理的速率和均匀性方面提供更大的灵活性。
返回到图6A,在步骤615a,远程等离子体可由远程等离子体源中的还原气体物质形成,其中远程等离子体包括还原气体物质的自由基。远程等离子体可以通过将还原气体物质暴露于能量源而形成。能量源可以产生可以流向衬底的自由基、离子和其它带电物质。在一些实施方式中,能量源可以是RF放电。当形成远程等离子体时,衬底可以被加热到或已经被加热到期望的处理温度。在一些实施方式中,喷头连接到远程等离子体源并过滤出离子,使得还原气体物质的自由基可以朝向处理室中的衬底流动。
在步骤620a,将衬底的金属晶种层暴露于还原气体物质的自由基。金属晶种层的一部分可以包括金属晶种层的氧化物。在远程等离子体流中形成的离子、自由基和其它带电物质流过喷头,并且离子和其它带电物质可以被滤出,使得衬底基本上暴露于还原气体物质的自由基。金属氧化物可以与还原气体物质的自由基或还原气体物质本身反应以将金属氧化物转化为金属。该反应在将金属氧化物转化成金属的条件下进行。金属晶种层中的金属氧化物被还原以形成与金属晶种层集成的膜。使用还原气体物质还原金属晶种层中的金属氧化物会在2013年3月6日提交的美国申请No.13/787,499(代理人案卷号LAMRP027)中描述,其通过引用整体并入本文并用于所有目的。在一些实施方式中,当喷头维持在低于约30℃的温度时,还原气体物质的自由基流过喷头。
图7C示出了在处理具有金属晶种层的衬底的一个阶段(例如在步骤615a和620a)的等离子体处理装置700的横截面示意图的示例。等离子体处理装置700包括衬底710上的远程等离子体源740和围绕远程等离子体源740的壁的一个或多个线圈744。气体入口742可连接到远程等离子体源740,以将还原气体物质720输送到远程等离子体源740的内部体积内。还原性气体物质720可以以介于约500sccm至约30,000sccm之间的流速流动,这可适用于任何衬底尺寸。在一些实施方式中,还原气体物质720可以包括H2、NH3、CO、B2H6、亚硫酸盐化合物、碳和/或烃、亚磷酸盐和N2H4中的至少一种。供应到一个或多个线圈744的功率可在远程等离子体源740中产生还原气体物质720的远程等离子体。供应到线圈744的RF等离子体功率可介于约0.5kW和约6kW之间。远程等离子体可以包括还原气体物质720的自由基,例如H*、NH*、NH2 *或N2H3 *。远程等离子体还可以包括离子和其他带电物质,但是喷头730可以过滤掉它们,使得还原气体物质720的自由基到达衬底710。还原气体物质720的自由基从远程等离子体源740流动通过喷头730并到达处理室750中的衬底710的表面上。喷头730可保持在相对冷的温度,例如小于约30℃。冷却的喷头730可以限制多余的热量到达衬底710并且避免损坏衬底710中的金属晶种层。
在图7C中,衬底710可以保持在未致动位置。可以通过移动衬底支撑件705来调整衬底710和喷头730之间的距离A3。调整距离A3可以调节在衬底710处发生的还原反应的速率和还原反应的均匀性。例如,较短的距离A3可以导致金属氧化物的较快转化,但是导致较低的均匀性,而较长的距离A3可以导致金属氧化物的较慢转化,但是导致较大的均匀性。在一些实施方式中,距离A3可以与距离A2相同。可移动构件715可以缩回,使得衬底710和衬底支撑件705保持接触,或者衬底710和衬底支撑件705之间的距离B3可以与图7B中的距离B2相同。
衬底支撑件705的温度可以经由主动加热或主动冷却系统来调节。可以根据正在处理的衬底710中的金属晶种层来调节温度。例如,当在需要在两个不同温度状况下操作的两个不同金属晶种层之间切换时,可以改变衬底支撑件705的温度。例如,对于钴晶种层,衬底支撑件705可以在约250℃和约300℃之间加热,而对于铜晶种层,可以切换到在约75℃和约100℃之间加热。
返回到图6A,在步骤625a,使衬底暴露于冷却气体。冷却气体可以包括氩气、氦气和氮气中的至少一种。在一些实施方式中,冷却气体可以通过将冷却液体膨胀成气体来产生。将衬底暴露于冷却气体可以使衬底冷却至低于约30℃的温度。因此,可以在环境条件以下的温度下输送冷却气体以冷却衬底。在一些实施方式中,在将衬底暴露于冷却气体之前,衬底可经由可移动构件移动到致动位置。衬底可以暴露于冷却气体,同时处于致动位置以用于更快的冷却。在一些实施方式中,可以在将衬底暴露于冷却气体之后将衬底转移到电镀装置。替代地,可以将衬底转移到无电镀装置或其它金属沉积装置。在一些实施方式中,在将衬底暴露于冷却气体之后,处理室可以用排放气体排放至大气条件。
图7D示出了在处理具有金属晶种层的衬底的一个阶段(例如在步骤625a)的等离子体处理装置700的横截面示意图的示例。等离子体处理装置700可以包括用于输送冷却气体760的一个或多个冷却气体入口722。冷却气体入口722可以定位于衬底710周围,包括在衬底710的上方和侧面。冷却气体760可以是通过喷头730被引导到衬底710上并垂直于衬底平面。冷却气体760也可以从处理室750的侧面上的冷却气体入口722被引导到衬底710上并平行于衬底平面。冷却气体760可以以介于约0.1slm和约100slm之间的流速流入处理室750内。冷却气体入口722可以使冷却气体760冲刷通过衬底710,以在将衬底转移到电镀装置、无电镀装置或其它金属沉积装置之前快速冷却衬底710。在一些实施方式中,可以在不关闭也不冷却衬底支撑件705的情况下冷却衬底710。这可以使得衬底710能够在单个处理室750内被处理和冷却,而不必使用具有单独的加热区和冷却区的双室设计。
在图7D中,衬底710可以处于致动位置。喷头730和衬底710之间的距离A4可以在约0.05英寸和约0.75英寸之间。在一些实施方式中,距离A4可以与图7A中的距离A1相同。通过将衬底710定位成较靠近冷却的喷头730并远离热衬底支撑件705,衬底710可以以较快的速度冷却。可移动构件715可以提升衬底710远离衬底支撑件705并且朝向喷头730。衬底支撑件705和衬底710之间的距离B4可以大于约1英寸,或者在约1英寸和约5英寸之间。在一些实施方式中,距离B4可以与图7A中的距离B1相同。在一些实施方式中,当衬底710处于致动位置并冷却至大约室温时,处理室750可以被排放至大气条件,并转移至电镀装置、无电镀装置或其他金属沉积装置。
图6B示出了图解处理具有金属晶种层的衬底的另一种方法的示例性流程图。在方法600b的步骤605b处,可以在处理室中提供具有金属晶种层的衬底,如在方法600a的步骤605a大体描述的。金属晶种层可以具有已经转化为金属的氧化物的部分。
在步骤610b,可以在远程等离子体源中形成还原气体物质的远程等离子体,其中远程等离子体包括来自还原气体物质的自由基、离子和UV辐射中的一种或多种。可以增加远程等离子体的能量以产生更高能量的物质,包括更高能量的离子。更高能量的离子可以在高密度等离子体(HDP)处理系统和/或溅射系统中产生。远程等离子体还可以由于还原气体物质的激发而产生UV辐射。所产生的UV辐射可以具有在约100nm和约400nm之间的波长。例如,所产生的UV辐射可以包括短波长UV光,例如波长在约120nm和约200nm之间,以及长波长UV光,例如波长在约200nm和约400nm之间。此外,远程等离子体可以包括中性物和/或产生还原气体物质的重组分子。
在步骤615b,将衬底的金属晶种层暴露于等离子体,其中暴露使金属的氧化物还原并使金属晶种层中的金属回流。在一些实施方案中,金属的回流和金属氧化物的还原可同时发生。在一些实施方案中,远程等离子体可以包括来自还原气体物质的自由基、离子和UV辐射,或其某种组合。在远程等离子体源和处理室之间的喷头可以具有被配置为允许自由基、离子和UV辐射流过喷头朝向衬底或以其它方式穿过喷头朝向衬底行进的厚度、孔的数量和孔的平均直径。自由基、离子和UV辐射可以进入处理室并还原金属晶种层中的金属氧化物。高能离子可以从衬底的表面进一步穿透,以遍及更多的金属晶种层提供还原化学过程。UV辐射可以活化金属氧化物表面以改善还原过程的热力学,或直接还原金属氧化物本身。UV辐射也可以被还原气体物质吸收并产生可以还原金属氧化物的自由基。此外,还原气体物质的中性分子可以进一步反应并还原金属晶种层中的金属氧化物。
在一些实施方案中,金属晶种层中的金属可以在暴露时被激发和移动。金属可以回流以减少金属晶种层中的间隙和孔隙,从而可以减小金属晶种层的表面粗糙度。金属回流的程度可以取决于例如,衬底的温度、室压强、还原气体物质和UV辐射的强度。由于金属被回流并在下伏层上重新分布,因此可以形成更加均匀和连续的金属晶种层。
实施例
以下实施方式说明使用颜色传感器监测氧化物层厚度和测量用于还原金属晶种层上的氧化物的等离子体预处理的效率的可行性。特别地,将展示b*颜色分量对氧化物层厚度的灵敏度。
图8示出了在6个具有200埃氧化层的晶片上进行的实验的结果。对于标记为“W1”至“W6”的每个晶片,在用H2等离子体处理之前和之后测量b*颜色分量的值:在左边的标记“前-QSM”的b*测量值在H2等离子体处理之前获得,并且在右边的标记“后”的b*测量值在指示的持续时间(11秒,20秒等)的H2等离子体处理之后进行。可以看出,对于所有6个晶片,等离子体处理导致b*颜色分量的值的显著增大,对于60秒或更长的等离子体处理持续时间最大。注意,对于标记为“W1”的第一晶片,在处理室中没有还原的H2气体的“处理”之后,也测量另外的b*值。这看起来提供了与没有进行预处理的b*值相同的b*值,进一步证实氧化物还原导致b*颜色分量的变化值。结果总结在下表中:
表II
处理条件 b*变化
没有H<sub>2</sub>,2kW,60s处理 0.24%
具有H<sub>2</sub>,2kW,11s处理 -39.8%
具有H<sub>2</sub>,2kW,20s处理 -35.5%
具有H<sub>2</sub>,2kW,40s处理 -136.2%
具有H<sub>2</sub>,2kW,60s处理 -158.3%
具有H<sub>2</sub>,2kW,120s处理 -171.3%
具有H<sub>2</sub>,2kW,300s处理 -163.9%
图9A至9C比较了H2等离子体处理对L*、a*和b*(分别为图9A-9C)三种颜色分量中的每一种的值的影响。在这些实验中,5个具有不同氧化物层厚度(
Figure BDA0002487472180000381
Figure BDA0002487472180000382
)的晶片进行预处理。比较3个图,可以看出,对于所有的氧化物层厚度,在等离子体处理之前和之后,只有b*颜色分量显示统计上显著的变化。注意,对于
Figure BDA0002487472180000383
的较薄的氧化物层,该差异似乎稍微更显著。
然而,图8和图9A-9C示出了在台式设置中b*颜色分量的灵敏度,图10(a)、10(b)、11A和11B示出了实时监测在等离子体处理装置的加载锁中的b*颜色分量的效率,该等离子体处理装置如在图5A-5B中示意性地示出的。这些图(10-11B)示出了在“多层配方”的过程中b*颜色分量的值与时间的函数关系,其中晶片通过出站加载锁两次。
首先参考图10(a),可以看出,晶片的在出口加载锁的颜色传感器下方的第一次通过在图中的约370秒处发生,并且对应于离开等离子体处理室而没有等离子体已经打开的晶片,换句话说,它表示等离子体处理之前的b*颜色分量的测量。该第一个峰在有其最大值的地方具有包围其中心的两个肩。其原因在于,加载锁中的基座在保持晶片的同时被升高和降低,因此峰值的中心中的较高值对应于基座处于“上”位置中,并且衬底较靠近颜色传感器。这强调了将颜色传感器保持在距晶片表面最佳距离处以使b*分量的信噪比最大化的重要性。
晶片在出站加载锁的颜色传感器下的第二次通过在图10(a)中的大约610秒处发生,并且对应于在接通用于氧化物还原的等离子体之后离开等离子体处理室的晶片。等离子体工艺在250℃的温度下具有60秒的持续时间(在等离子体处理之前,晶片具有100埃的氧化物层)。肩存在于在610秒处的峰内,如在370秒处的先前峰值中所见,但是b*值的总体幅值显著减小,从而确认b*颜色分量可用作等离子体处理的指示器。图10(b)放大这两个区域(如图中的虚线所示),并且再次强调等离子体处理之前和之后的b*颜色分量值的差异。
再一次,图10(a)中所示的峰上的肩示出了选择衬底的表面和颜色传感器的头部之间的正确间隙以便具有足够强的b*信号和足够的信噪比的重要性。基于刚刚描述的实验之类的实验,已经发现介于约0.1英寸和5英寸之间的间隙是足够的,更优选地,介于约0.4英寸和1英寸之间。
最后,与图10(b)的数据类似的数据示出在图11A和图11B中,不同的是,在图11A和图11B中,曲线示出了已经被等离子体处理不同持续时间的6个晶片(每个具有200埃氧化层)的结果。图11A示出了在250℃下(不同持续时间的)6次等离子体处理的结果,图11B示出了在75℃下5次等离子体处理的结果。对于几乎所有情况,相对于在等离子体处理之前(在图中标记为“前”)从每个晶片测得的分量显示b*颜色分量的显著变化。在图11A中较高的温度(250℃)结果在甚至仅11秒的等离子体处理时(对于大部分)表现为(氧化物去除饱和物)“达到最大”(“max out”),而在图11B(75℃)所示的较低温度下,只在11秒时b*颜色分量有轻微变化,显著变化首先出现在30秒的结果中,然后在显示在图中的长达300秒的结果的更长持续时间内变得更明显。总之,图11A和11B中所示的结果示出了即使在75℃的较低温度下,仅30秒的等离子体处理在测量的b*颜色分量中产生显著变化,由此可以进行等离子体处理效率的评估。
系统控制器
用于评估氧化物还原效率的等离子体处理方法和技术可以在程序指令中实现,所述程序指令可以驻留在等离子体处理装置的系统控制器上和/或可以驻留在远程非暂时性介质上,该远程非暂时性介质可从等离子体处理装置的控制器访问,或者通过该控制器读取。这种系统控制器的示例在图5A中示意性地示出。如图5A所示,系统控制器550包括一个或多个存储器设备556、一个或多个大容量存储设备554和一个或多个处理器552。处理器552可以包括一个或多个CPU、ASIC、通用计算机和/或专用计算机、一个或多个模拟和/或数字输入/输出连接件、一个或多个步进电机控制器板等。
在一些实施方式中,系统控制器(图5A中的550)控制处理工具(例如图5A中的等离子体处理装置500)的包括其单个处理站的操作在内的操作中的一些或全部。可以提供机器可读系统控制指令558以实施/执行本发明所述的等离子体处理方法和颜色传感器操作和颜色信号分析。指令可以被设置在可以耦合到系统控制器和/或由系统控制器读取的机器可读的、非暂时性介质上。可以在处理器552上执行指令,在一些实施方式中,系统控制指令从大容量存储设备554加载到存储器设备556中。系统控制指令可以包括用于控制以下参数的指令:时序,气体和液体反应物的混合物,室和/或站压力,室和/或站温度,晶片温度,目标功率电平,RF功率电平(例如,DC功率水平、RF偏置功率电平),RF暴露时间,衬底基座,卡盘和/或感受器位置,以及由处理工具执行的特定处理的其它参数。
半导体衬底处理操作可以采用各种类型的工艺,这些工艺包括但不限于与在衬底上的膜蚀刻相关的工艺、沉积工艺(例如原子层沉积(ALD),其通过表面吸附膜前体的等离子体活化进行)、以及其他类型的衬底处理操作,例如为准备电镀而从金属晶种层去除基于等离子体的氧化物,以及电镀操作的控制。
系统控制指令558可以以任何合适的方式进行配置。例如,各种处理工具组件子程序或控制对象可以被写入以控制执行各种处理工具的进程所需要的处理工具组件的操作。系统控制指令可以以任何合适的计算机可读编程语言进行编码。在一些实施方式中,系统控制指令在软件中实现,在其他实施方式中,指令可在硬件中实现,例如,作为逻辑硬编码在ASIC(专用集成电路)中,或者,在其他实施方式中,作为软件和硬件的组合实现。
在一些实施方式中,系统控制软件558可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,一个或者多个沉积和/或蚀刻处理的每个阶段可以包括用于由系统控制器执行的一个或多个指令。用于设置膜沉积处理阶段和/或蚀刻处理阶段的处理条件的指令例如可以包括在相应的沉积配方阶段和/或蚀刻配方阶段中。在一些实施方式中,配方阶段可按顺序设置,以便处理阶段的所有指令与该处理阶段同时执行。
在一些实施方式中,可以采用存储在与系统控制器550相关联的大容量存储设备554和/或存储器设备556上的其它计算机可读指令和/或程序。程序或程序段的实例包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序以及等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的指令,该处理工具组件用于将衬底加载到基座上并控制衬底和处理工具的其它部件之间的间隔。该定位程序可以包括用于根据需要适当地移动衬底进出反应室以在衬底上沉积和/或蚀刻膜的指令。
工艺气体控制程序可包括用于控制气体组成和流率的指令和任选地用于使气体在沉积和/或蚀刻之前流到围绕一个或多个处理站的体积中以稳定在这些体积中的压强的指令。在一些实施方式中,工艺气体控制程序可以包括用于在衬底上沉积和/或蚀刻操作期间引入某些气体到围绕在处理室中的一个或多个处理站的体积内的指令。工艺气体控制程序还可以包括以相同速率在相同的期间、或者以不同的速率和/或在不同的期间输送这些气体的指令,具体取决于将被沉积的膜的组合物和/或所涉及的蚀刻工艺的特性。工艺气体控制程序还可以包括用于在加热的喷射模块中在存在氦或一些其它的载气的情况下雾化/汽化液体反应物的指令。
压强控制程序可以包括用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的指令。压强控制程序可以包括用于在衬底上沉积各种类型的膜和/或蚀刻衬底期间保持相同或不同的压强的指令。
加热器控制程序可包括用于控制流向用于加热衬底的加热单元的电流的指令。可替代地或附加地,加热器控制程序可控制传热气体(如氦)朝向衬底上的传送。加热器控制程序可包括在衬底上沉积各种类型的膜和/或蚀刻衬底期间用于在反应室和/或围绕处理站的体积内保持相同或不同的温度的指令。
等离子体控制程序可包括用于根据本发明的实施方式设置一个或多个处理站内的RF功率电平、频率和暴露次数的指令。在一些实施方式中,等离子体控制程序可以包括用于在衬底上沉积膜和/或蚀刻衬底期间使用相同或不同的RF功率电平和/或频率和/或暴露时间的指令。
在一些实施方式中,可以存在与系统控制器相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器调节的参数会涉及工艺条件。非限制性实例包括工艺气体组成和流率、温度(例如衬底支架和喷头温度)、压强、等离子体条件(例如,RF偏置功率电平和暴露次数)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包括质量流量控制器(MFC)、压力传感器(例如压力计)、热电偶之类的温度传感器、等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
上面所描述的各种装置和方法可以与光刻图案化工具和/或工艺结合使用,例如,以用于制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地,但不必然地,此类工具将在普通的制造设施中一起和/或同时使用,或者此类工艺将在普通的制造设施中一起和/或同时执行。
在一些实施方案中,控制器是系统的一部分,该系统的一部分可以是上述实施例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个加工工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流动系统等)。这些系统可与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型,控制器可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制处理气体的输送、温度的设置(例如,加热和/或冷却)、压力的设置、真空的设置、功率的设置、射频(RF)产生器的设置、RF匹配电路的设置、频率的设置,流率的设置、流体输送的设置、位置和操作的设置、晶片的进出工具和其他输送工具和/或连接到特定系统的或与特定系统接口的装载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是与各种单个的设置(或程序文件)形式的控制器通信的指令,该设置定义在半导体晶片上或用于半导体晶片或向系统进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程参数和/或设置然后从远程计算机传送到系统。在一些实例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内工艺。
示例的系统可以包括但不限于:等离子体蚀刻室或模块(使用感应或电容耦合等离子体)、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
光刻图案化
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如以制造或制备半导体器件、显示器、LED、光伏板等。通常,尽管不是必需,将在共同的制造设施中一起使用或进行这样的工具/工艺。光刻图案化膜通常包括以下步骤中的一些或全部,每个步骤能够使用多种可行的工具来执行:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件,即衬底上;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X-射线;(4)使抗蚀剂显影以便使用诸如湿式台之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助式的刻蚀工具将抗蚀剂图案转移到下伏膜或工件;以及(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
其他实施方式
尽管为了促进清楚和理解的目的,在具体实施方式的背景下,已经详细描述了前述公开的工艺、方法、系统、和装置,但对于本领域的普通技术人员而言,显而易见的是,存在许多实施落入本发明的范围和主旨内的这些工艺、方法、系统、和装置的替代方式。因此,本发明所描述的实施方式应被看作是说明本发明公开的创造性构思,而不是限制或约束,并且不应被用作不适当地限制所附权利要求的范围的不允许的基础。

Claims (18)

1.一种用于还原存在于准备用于随后的电镀操作的半导体衬底的金属晶种层的表面上的金属氧化物的等离子体处理装置,所述等离子体处理装置包括:
-处理室,在所述处理室内具有至少一个处理站;
-衬底保持器,其构造成将衬底保持在所述处理站处;
-等离子体产生器,其构造成产生在所述处理室内的等离子体并且/或者将所述等离子体提供给所述处理室;
-颜色传感器,其被配置为从衬底测量颜色信号,所述颜色信号具有一个或多个颜色分量;以及
-具有非暂时性计算机可读指令的控制器,所述非暂时性计算机可读指令用于:
-操作所述等离子体产生器以产生在所述处理室内的等离子体并且/或者将所述等离子体提供给所述处理室,使得所述等离子体在所述处理站接触衬底的表面,以通过还原在所述表面上的金属氧化物来处理所述表面;
-在所述等离子体与所述衬底的表面接触之后,操作所述颜色传感器以从所述表面测量等离子体接触后的颜色信号,所述颜色信号具有一个或多个颜色分量;以及
-基于所述等离子体接触后的颜色信号估计由于所述等离子体处理而导致的所述氧化物还原的程度。
2.根据权利要求1所述的等离子体处理装置,其中所述颜色传感器被定位和/或被配置以便在所述衬底位于所述处理站时从所述衬底测量所述颜色信号。
3.根据权利要求1所述的等离子体处理装置,其还包括:
-加载锁,其配置成提供通向所述处理室的衬底入口;以及
其中所述颜色传感器被定位和/或配置以便在所述衬底位于所述加载锁内时从所述衬底测量所述颜色信号。
4.根据权利要求1所述的等离子体处理装置,其中,所述颜色传感器被配置为测量具有b*颜色分量的颜色信号。
5.根据权利要求4所述的等离子体处理装置,其中,估计由于所述等离子体处理而导致的所述氧化物还原的所述程度基于所述等离子体接触后的颜色信号的所述b*分量来进行。
6.根据权利要求1所述的等离子体处理装置,其中估计由于所述等离子体处理而导致的所述氧化物还原的所述程度包括:
-将所述等离子体接触后的颜色信号与一个或多个基准颜色信号进行比较。
7.根据权利要求1所述的等离子体处理装置,其中估计由于所述等离子体处理而导致的所述氧化物还原的所述程度包括:
-计算一个或多个度量,所述度量中的每个指示所述等离子体接触后的颜色信号和来自成组的一个或多个基准颜色信号的基准颜色信号之间的差异。
8.根据权利要求7所述的等离子体处理装置,其中:
所述颜色传感器被配置为测量具有b*颜色分量的颜色信号,以及
其中每个度量与所述等离子体接触后的颜色信号的b*分量和基准颜色信号的b*分量之间的差的绝对值单调地相关。
9.根据权利要求1所述的等离子体处理装置,其中:
控制器还具有其他非暂时性计算机可读指令,用于在与等离子体接触之前操作所述颜色传感器,以测量来自所述表面的等离子体接触前的颜色信号,该颜色信号具有一个或多个颜色分量,以及
估计由于等离子体处理引起的氧化物还原的程度包括比较等离子体接触之前和之后的颜色信号。
10.根据权利要求8所述的等离子体处理装置,其中,所述比较是基于所述颜色信号的b*分量来完成的。
11.根据权利要求8所述的等离子体处理装置,其中,估计由于所述等离子体处理而导致的氧化物还原的程度包括:计算度量,所述度量指示在等离子体接触之前和之后的颜色信号之间的差异。
12.根据权利要求11所述的等离子体处理装置,其中,所述度量与等离子体接触之前和之后的颜色信号的b*分量之间的差的绝对值单调相关。
13.根据权利要求1所述的等离子体处理装置,其中,所述等离子体产生器被配置为与所述等离子体一起产生氢自由基。
14.根据权利要求1所述的等离子体处理装置,其中,所述金属是铜。
15.根据权利要求1所述的等离子体处理装置,其中,所述金属是钴。
16.根据权利要求1所述的等离子体处理装置,其中,在测量来自所述表面的等离子体接触后颜色信号期间,将所述颜色传感器配置为位于距所述衬底表面约0.1-5英寸的位置。
17.根据权利要求16所述的等离子体处理装置,其中,在测量来自所述表面的等离子体接触后颜色信号期间,将所述颜色传感器配置为位于距所述衬底表面约0.4-1英寸的位置。
18.根据权利要求11所述的等离子体处理装置,其中,所述等离子体产生器远离所述处理室。
CN202010395666.7A 2016-01-29 2017-01-23 通过颜色感测估计晶片上氧化物层还原效率的方法和装置 Pending CN111739814A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/011,363 2016-01-29
US15/011,363 US9735035B1 (en) 2016-01-29 2016-01-29 Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
CN201710058368.7A CN107039303B (zh) 2016-01-29 2017-01-23 通过颜色感测估计晶片上氧化物层还原效率的方法和装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201710058368.7A Division CN107039303B (zh) 2016-01-29 2017-01-23 通过颜色感测估计晶片上氧化物层还原效率的方法和装置

Publications (1)

Publication Number Publication Date
CN111739814A true CN111739814A (zh) 2020-10-02

Family

ID=59387056

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710058368.7A Active CN107039303B (zh) 2016-01-29 2017-01-23 通过颜色感测估计晶片上氧化物层还原效率的方法和装置
CN202010395666.7A Pending CN111739814A (zh) 2016-01-29 2017-01-23 通过颜色感测估计晶片上氧化物层还原效率的方法和装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710058368.7A Active CN107039303B (zh) 2016-01-29 2017-01-23 通过颜色感测估计晶片上氧化物层还原效率的方法和装置

Country Status (4)

Country Link
US (2) US9735035B1 (zh)
KR (1) KR20170091013A (zh)
CN (2) CN107039303B (zh)
TW (1) TWI714715B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9809898B2 (en) 2013-06-26 2017-11-07 Lam Research Corporation Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9735035B1 (en) 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
JP6730941B2 (ja) * 2017-01-10 2020-07-29 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10943804B2 (en) * 2018-06-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Monitoring of process chamber
US10770338B2 (en) * 2018-12-19 2020-09-08 Globalfoundries Inc. System comprising a single wafer, reduced volume process chamber
CN112083702B (zh) * 2020-09-27 2021-08-17 江苏恒宝智能系统技术有限公司 一种碳纤维复合材料固化过程监控管理方法及系统
CN115910832B (zh) * 2022-12-19 2023-12-15 扬州国宇电子有限公司 一种用于测试离子是否注入的方法

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US20050217707A1 (en) 1998-03-13 2005-10-06 Aegerter Brian K Selective processing of microelectronic workpiece surfaces
US7449098B1 (en) 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7780867B1 (en) 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
US6784104B2 (en) 2001-07-27 2004-08-31 Texas Instruments Incorporated Method for improved cu electroplating in integrated circuit fabrication
US20050122509A1 (en) 2002-07-18 2005-06-09 Leica Microsystems Semiconductor Gmbh Apparatus for wafer inspection
US7239737B2 (en) 2002-09-26 2007-07-03 Lam Research Corporation User interface for quantifying wafer non-uniformities and graphically explore significance
US20040260782A1 (en) 2003-01-31 2004-12-23 Affleck Rhett L. Data communication in a laboratory environment
US6930782B1 (en) 2003-03-28 2005-08-16 Lam Research Corporation End point detection with imaging matching in semiconductor processing
US7142300B2 (en) 2003-05-05 2006-11-28 Kla-Tencor Corp. Technologies Edge bead removal inspection by reflectometry
US7197178B2 (en) 2003-07-14 2007-03-27 Rudolph Technologies, Inc. Photoresist edge bead removal measurement
US7186652B2 (en) * 2004-05-05 2007-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing Cu contamination and oxidation in semiconductor device manufacturing
DE102004029012B4 (de) 2004-06-16 2006-11-09 Leica Microsystems Semiconductor Gmbh Verfahren zur Inspektion eines Wafers
US7811424B1 (en) 2004-06-30 2010-10-12 Lam Research Corporation Reducing mechanical resonance and improved distribution of fluids in small volume processing of semiconductor materials
US7645364B2 (en) 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US7423269B1 (en) 2005-02-26 2008-09-09 Kla-Tencor Technologies Corporation Automated feature analysis with off-axis tilting
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7728965B2 (en) 2005-06-06 2010-06-01 Kla-Tencor Technologies Corp. Systems and methods for inspecting an edge of a specimen
KR100836501B1 (ko) 2005-10-11 2008-06-09 동부일렉트로닉스 주식회사 반도체 소자의 박막 제조 장비
US7538868B2 (en) 2005-12-19 2009-05-26 Kla-Tencor Technologies Corporation Pattern recognition matching for bright field imaging of low contrast semiconductor devices
US20090122304A1 (en) 2006-05-02 2009-05-14 Accretech Usa, Inc. Apparatus and Method for Wafer Edge Exclusion Measurement
US20090116727A1 (en) 2006-05-02 2009-05-07 Accretech Usa, Inc. Apparatus and Method for Wafer Edge Defects Detection
US7616804B2 (en) 2006-07-11 2009-11-10 Rudolph Technologies, Inc. Wafer edge inspection and metrology
US7667835B2 (en) 2006-08-28 2010-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for preventing copper peeling in ECP
WO2008103994A2 (en) 2007-02-23 2008-08-28 Rudolph Technologies, Inc. Wafer fabrication monitoring systems and methods, including edge bead removal processing
US20080293333A1 (en) 2007-05-21 2008-11-27 Applied Materials, Inc. Methods and apparatus for controlling the size of an edge exclusion zone of a substrate
US7623228B1 (en) 2007-05-21 2009-11-24 Kla-Tencor Technologies Corporation Front face and edge inspection
US7787114B2 (en) 2007-06-06 2010-08-31 Kla-Tencor Technologies Corp. Systems and methods for inspecting a specimen with light at varying power levels
KR100976284B1 (ko) * 2007-06-07 2010-08-16 가부시끼가이샤 도시바 촬상 장치
US7656519B2 (en) 2007-08-30 2010-02-02 Kla-Tencor Corporation Wafer edge inspection
JP5004822B2 (ja) 2008-02-20 2012-08-22 東京エレクトロン株式会社 洗浄方法及び基板処理装置
US20090268953A1 (en) 2008-04-24 2009-10-29 Apteryx, Inc. Method for the automatic adjustment of image parameter settings in an imaging system
US8419964B2 (en) 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
US7977123B2 (en) 2009-05-22 2011-07-12 Lam Research Corporation Arrangements and methods for improving bevel etch repeatability among substrates
US8111905B2 (en) 2009-10-29 2012-02-07 Mitutoyo Corporation Autofocus video tool and method for precise dimensional inspection
US8594975B2 (en) 2010-03-04 2013-11-26 Kla-Tencor Corporation Systems and methods for wafer edge feature detection and quantification
US20110147350A1 (en) 2010-12-03 2011-06-23 Uvtech Systems Inc. Modular apparatus for wafer edge processing
US20130005056A1 (en) 2011-06-30 2013-01-03 Semes Co., Ltd. Method and apparatus for processing wafer edge portion
US20140079311A1 (en) 2012-09-20 2014-03-20 Applied Materials Israel Ltd. System, method and computer program product for classification
US9070014B2 (en) 2013-02-21 2015-06-30 Applied Materials Israel, Ltd. System, method and computer program product for defect detection based on multiple references
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9631919B2 (en) 2013-06-12 2017-04-25 Applied Materials, Inc. Non-contact sheet resistance measurement of barrier and/or seed layers prior to electroplating
US9809898B2 (en) 2013-06-26 2017-11-07 Lam Research Corporation Electroplating and post-electrofill systems with integrated process edge imaging and metrology systems
US20150001728A1 (en) * 2013-06-26 2015-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-treatment method for metal-oxide reduction and device formed
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9735035B1 (en) 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing

Also Published As

Publication number Publication date
CN107039303B (zh) 2020-06-09
KR20170091013A (ko) 2017-08-08
US10497592B2 (en) 2019-12-03
US20170309505A1 (en) 2017-10-26
US9735035B1 (en) 2017-08-15
TWI714715B (zh) 2021-01-01
US20170221740A1 (en) 2017-08-03
CN107039303A (zh) 2017-08-11
TW201737381A (zh) 2017-10-16

Similar Documents

Publication Publication Date Title
CN107039303B (zh) 通过颜色感测估计晶片上氧化物层还原效率的方法和装置
KR102514192B1 (ko) 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
US20160111342A1 (en) Method and apparatus for characterizing metal oxide reduction
US10490418B2 (en) Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) Systems and methods for internal surface conditioning in plasma processing equipment
US9469912B2 (en) Pretreatment method for photoresist wafer processing
WO2013114870A1 (ja) プラズマ処理装置及びプラズマ処理方法
JP2016051900A (ja) 高アスペクト比構造におけるコンタクト洗浄
US9070750B2 (en) Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9472377B2 (en) Method and apparatus for characterizing metal oxide reduction
US11208732B2 (en) Monitoring surface oxide on seed layers during electroplating
KR20210156199A (ko) 성막 방법 및 성막 장치
WO2022231922A1 (en) Integrated atmospheric plasma treatment station in processing tool

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination