TWI389255B - 積體電路結構之製備方法 - Google Patents

積體電路結構之製備方法 Download PDF

Info

Publication number
TWI389255B
TWI389255B TW098127802A TW98127802A TWI389255B TW I389255 B TWI389255 B TW I389255B TW 098127802 A TW098127802 A TW 098127802A TW 98127802 A TW98127802 A TW 98127802A TW I389255 B TWI389255 B TW I389255B
Authority
TW
Taiwan
Prior art keywords
layer
metal
integrated circuit
forming
preparing
Prior art date
Application number
TW098127802A
Other languages
English (en)
Other versions
TW201103103A (en
Inventor
Chiang Hung Lin
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Publication of TW201103103A publication Critical patent/TW201103103A/zh
Application granted granted Critical
Publication of TWI389255B publication Critical patent/TWI389255B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

積體電路結構之製備方法
本發明係關於一種積體電路結構之製備方法,特別係關於一種藉由在包含氧化劑之環境中使用電漿加強處理製程以形成阻障層之積體電路結構的製備方法。
鋁(Al)及其合金已經廣泛地應用於製備積體電路結構之電路連線。隨著電路元件之尺寸縮小,電路連線之元件數目持續增加,因而需要使用非常細電路連線之先進電路設計。然而,鋁(Al)及其合金之電致遷移及熱致空洞(thermally induce voiding)現象限制了在高密度電路連線之應用。此外,鋁合金之另一重要問題為其電阻高於其它導電材料。
為了避免鋁(Al)及其合金之缺點,其它金屬(金、銅、銀)已被嚐試用以取代鋁(Al)及其合金。銅由於具有較低之電阻,因而成為主要替代選擇。然而,銅在積體電路材料(例如矽及氧化矽)中之擴散相當迅速,因而無法在積體電路中直接以銅線取代鋁線。因此,需要特殊的製程及材料克服銅之擴散及黏附問題,方可實現以銅取代鋁作為電路連線。
本發明之一實施例提供一種積體電路阻障層之製備方法,其藉由在包含氧化劑之環境中進行電漿加強處理製程以便提供有效之銅擴散阻障能力。該積體電路阻障層之製備方法的一實施例包含形成一第一金屬層於一基板上,以及在包含電漿之環境中進行一處理製程以形成一氧化金屬層於該第一金屬層上,其中該電漿係由包含氧化劑之氣體形成。
本發明之另一實施例提供一種積體電路阻障層之製備方法,其藉由在包含氧化劑之環境中進行電漿加強處理製程以便提供有效之銅擴散阻障能力。該積體電路阻障層之製備方法之一實施例包含形成一金屬層及一氮化金屬層於一基板上,以及在包含電漿之環境中進行一處理製程以形成一氧化金屬層於該金屬層上及該氮化金屬層上,其中該電漿係由包含氧化劑之氣體形成。
本發明之另一實施例提供一種積體電路結構之製備方法,其係藉由在包含氧化劑之環境中使用電漿加強處理製程。該積體電路結構之製備方法之一實施例包含形成一第一介電層於包含一第一導電層之一基板上,形成一第二介電層於於該第一介電層上,形成一孔洞於該第二介電層中且該孔洞曝露該第一導電層,形成一阻障層於該孔洞內,以及形成一第二金屬層於該阻障層上。形成該阻障層之一實施例包含形成一金屬層於該孔洞內,以及在包含電漿之環境中進行一處理製程以形成一氧化金屬層於該金屬層上,其中該電漿係由包含氧化劑之氣體形成。形成該阻障層之另一實施例包含形成一金屬層及一氮化金屬層於該孔洞內,以及在包含電漿之環境中進行一處理製程以形成氧化金屬層於該金屬層上及該氮化金屬層上,其中該電漿係由包含氧化劑之氣體形成。
上文已相當廣泛地概述本發明之技術特徵,俾使下文之本發明詳細描述得以獲得較佳瞭解。構成本發明之申請專利範圍標的之其它技術特徵將描述於下文。本發明所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本發明相同之目的。本發明所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本發明的精神和範圍。
圖1例示本發明一實施例之阻障層製備方法100的流程圖。該製備方法100包含在基板(例如矽晶圓)上製造積體電路之製程步驟。在某些實施例中,該些製程步驟係依圖式之順序實施。在其它實施例中,該些製程步驟之至少二者可同時實施或以不同的順序實施。例如,步驟108及步驟110可以相反順序實施,亦即先實施步驟110再實施步驟108。次要步驟或輔助步驟(例如在反應室之間移動基板、處理控制步驟或其相似者),均為此一技術領域之公知常識,故在此予以省略。該製備方法100之一部分可使用整合處理系統之反應模組,例如美商應用材料公司之ENDURA整合處理系統即為此類系統之一。下文即參照圖7簡要地說明適合之反應器120。
圖2及圖3例示本發明一實施例之積體電路結構10的製備方法。首先,形成一第二介電層18於一基板12上,該基板12包含一第一導電層16(例如設置於一第一介電層14內之銅層)。該基板12可另包含設置於該第一介電層14下方之矽基板、導體及絕緣材料。之後,利用微影及蝕刻製程形成一孔洞20於該第二介電層18內,且該孔洞20曝露該第一導電層16。然後,形成一阻障層50於該孔洞20內及該第二介電層18上,再形成一第二導電層52(例如鋁層)於該阻障層50上,如圖3所示。該阻障層50覆蓋該孔洞20之底面及側壁,俾便防止該第一導電層16內銅原子與該第二導電層52內鋁原子的交互擴散。
圖4至圖6例示本發明一實施例之阻障層50A的製備方法,其中圖4及圖5可視為圖3之預定區域54的局部放大圖。參考圖4,在形成該孔洞20於該第二介電層18內部之後,形成一第一金屬層22於該孔洞20內及一氮化金屬層24於該第一金屬層22上。在本發明之一實施例中。該第一金屬層22係以物理氣相沈積技術(例如濺鍍技術)製備於該第一導電層16上之鈦(Ti)層或鉭(Ta)層,該氮化金屬層24係以物理氣相沈積技術(例如反應性濺鍍技術)製備於該第一金屬層22上之氮化鈦(TiN)層或氮化鉭(TaN)層。
參考圖5,在包含電漿之環境中進行一處理製程以形成一第一氧化金屬層26於該第一金屬層22上,其中該電漿係由包含氧化劑(例如氧氣或臭氧)之氣體形成。該處理製程可視為一回火(annealing)製程。此外,該處理製程亦形成一第二氧化金屬層28於該氮化金屬層24上。在本發明之一實施例中,該第一氧化金屬層26及該第二氧化金屬層28係氧化鈦(TiO)層或氧化鉭(TaO)層。在該處理製程之後,形成一第二金屬層30於該第二氧化金屬層28上。在本發明之一實施例中。該第二金屬層30係以物理氣相沈積技術(例如濺鍍技術)製備於該第二氧化金屬層28上之鈦(Ti)層或鉭(Ta)層。
在本發明之一實施例中,該處理製程之實施步驟包含:將具有該第一金屬層22及該氮化金屬層24之基板12置放於一反應室中,輸入包含氧化劑之氣體至該反應室,施加射頻能量至該反應室中以進行電漿加強氧化製程。施加射頻能量(1000至2000Watt)於包含氧化劑之氣體,該氧化劑(氧氣)即離子化而形成電漿。離子化之氧氣具有較佳之氧化能力。若未施加射頻能量,氧氣必須被加熱至270℃以上之高溫方可離子化,而如此高溫將大幅地提昇該第一導電層16內銅原子的擴散能力。相對地,本發明藉由施加射頻能量於氧氣,該處理製程可在較低之溫度下實施,至少可調降至100℃,甚至可調降至室溫。
圖6例示該阻障層50A在該處理製程後之縱深分析圖。在實施該處理製程之前,該氮化金屬層24具有柱狀晶體結構,而該第一導電層16內之銅原子即沿著柱狀晶體結構之晶界擴散。參考圖5及圖6可知,該氮化金屬層24內含氧原子,亦即具有柱狀晶體結構之氮化金屬層24在該處理製程中被氧化。換言之,該氮化金屬層24之柱狀晶體結構的晶界被氧原子填塞,因此該處理製程提昇了該阻障層50A對該第一導電層16內銅原子與該第二導電層52內鋁原子之交互擴散的阻障能力。
除了填塞該氮化金屬層24之柱狀晶體結構的晶界,該處理製程亦形成該第一氧化金屬層26於該第一金屬層22。該第一氧化金屬層26並非柱狀晶體結構,因而沒有晶界,亦即該第一氧化金屬層26可以有效地防止該第一導電層16內銅原子與該第二導電層52內鋁原子之交互擴散。由於實施該處理製程即可形成該第一氧化金屬層26於該第一金屬層22,且該第一氧化金屬層26可以有效地防止該第一導電層16內銅原子與該第二導電層52內鋁原子之交互擴散,因此圖1之步驟110的處理製程可以在步驟108(形成該氮化金屬層24)之前實施。
圖7例示一電漿氧化反應器120,其可用於實施圖1所示之製程方法100的部分步驟。特而言之,圖7所示之反應器實施例僅係用以例示說明,不應用以限縮解釋本發明之範圍。具有通常知識者應可瞭解本發明之方法亦可用其它反應器或處理系統實施。
參考圖7,該電漿氧化反應器120包含一反應室140,其係由真空系統142維持在真空狀態。該反應室140之內部配置一載台144,用以承載一待處理基板146。該載台144具有一內嵌式電極(未顯示於圖中)。在該載台144上方設有一蓮蓬頭148,其具有一氣體入口電極(未顯示於圖中),氣體源150之氣體係經由該蓮蓬頭148分散進入該反應室140內部。換言之,該反應室140協助將該氣體轉變成該電漿於該載台144上方。該電漿氧化反應器120另包含一射頻電力供應器,其藉由氣體入口電極耦合於該蓮蓬頭148,並藉由內嵌式電極耦合於該載台144。實施電漿氧化製程之功率約為1000至2000Watt,且該反應室140之壓力約介於5至20mTorr。
圖8至圖10例示本發明另一實施例之阻障層50B的製備方法,其中圖8及圖9可視為圖3之預定區域54的局部放大圖。參考圖8,在形成該孔洞20於該第二介電層18內部之後,形成一第一金屬層32於該孔洞20內及一氮化金屬層34於該第一金屬層32上。在本發明之一實施例中。該第一金屬層32係以物理氣相沈積技術(例如濺鍍技術)製備於該第一導電層16上之鈦(Ti)層或鉭(Ta)層,該氮化金屬層34係以物理氣相沈積技術(例如反應性濺鍍技術)製備於該第一金屬層32上之氮化鈦(TiN)層或氮化鉭(TaN)層。
參考圖9,在包含電漿之環境中進行一處理製程以形成一第一氧化金屬層36於該第一金屬層32與該氮化金屬層34之間。此外,該處理製程亦形成一第二氧化金屬層38於該氮化金屬層34上。該電漿係由包含氧化劑(例如氧氣或臭氧)及還原劑(例如氫氣)之氣體形成。較佳地,氧氣與氫氣之流量介於200至600sccm,氫氣之流量比介於60至90%。在本發明之一實施例中,該第一氧化金屬層36及該第二氧化金屬層38係氧化鈦(TiO)層或氧化鉭(TaO)層。在該處理製程之後,形成一第二金屬層40於該第二氧化金屬層38上。在本發明之一實施例中。該第二金屬層40係以物理氣相沈積技術(例如濺鍍技術)製備於該第二氧化金屬層38上之鈦(Ti)層或鉭(Ta)層。
在本發明之一實施例中,該處理製程之實施步驟包含:將具有該第一金屬層32及該氮化金屬層34之基板12置放於一反應室中,輸入包含氧化劑及還原劑之氣體至該反應室,施加射頻能量至該反應室中以進行電漿加強氧化製程。施加射頻能量(1000至2000Watt)於包含氧化劑及還原劑之氣體,該氧化劑(氧氣)及還原劑(氫氣)即離子化而形成電漿。若未施加射頻能量,氧氣必須被加熱至270℃以上之高溫方可離子化,而如此高溫將大幅地提昇該第一導電層16內之銅原子的擴散能力。相對地,本發明藉由施加射頻能量於氧氣,該處理製程可在較低之溫度下實施,至少可調降至100℃,甚至可調降至室溫。
圖10例示該阻障層50B在該處理製程後之縱深分析圖。在實施該處理製程之前,該氮化金屬層34具有柱狀晶體結構,而該第一導電層16內之銅原子即沿著柱狀晶體結構之晶界擴散。參考圖9及圖10可知,該氮化金屬層34內含氧原子,亦即具有柱狀晶體結構之氮化金屬層34在該處理製程中被氧化。換言之,該氮化金屬層34之柱狀晶體結構的晶界被氧原子填塞,因此該處理製程提昇了該阻障層50B對該第一導電層16內銅原子與該第二導電層52內鋁原子之交互擴散的阻障能力。
除了填塞該氮化金屬層34之柱狀晶體結構的晶界,該處理製程亦形成該第一氧化金屬層36及該第二氧化金屬層38,其可視為該阻障層50B之一部分。該第一氧化金屬層36及該第二氧化金屬層38並非柱狀晶體結構,因而沒有晶界,亦即該第一氧化金屬層36及該第二氧化金屬層38可以有效地防止該第一導電層16內銅原子與該第二導電層52內鋁原子之交互擴散。
此外,圖10所示之氮化金屬層34的含氧率小於圖6所示之氮化金屬層24的含氧率,此一結果係由於在該處理製程中加入還原劑(氫氣),其有助於降低氧氣對該氮化金屬層34之氧化能力。換言之,本發明可藉由改變氧氣/氫氣比率而調整該處理製程之氧化能力。若未加入氫氣,則製程條件(例如射頻功率、反應時間及反應壓力等)必須細心控制,俾便避免該氮化金屬層34發生過度氧化而產生過多之金屬氧化物,其增加了該阻障層50B之電阻。相對地,藉由加入還原劑(氫氣),本發明除了細心控制製程條件之外,亦可藉由改變氧氣/氫氣比率而調整該處理製程之氧化能力而避免發生過度氧化。
本發明之技術內容及技術特點已揭示如上,然而本發明所屬技術領域中具有通常知識者應瞭解,在不背離後附申請專利範圍所界定之本發明精神和範圍內,本發明之教示及揭示可作種種之替換及修飾。例如,上文揭示之許多製程可以不同之方法實施或以其它製程予以取代,或者採用上述二種方式之組合。
此外,本案之權利範圍並不侷限於上文揭示之特定實施例的製程、機台、製造、物質之成份、裝置、方法或步驟。本發明所屬技術領域中具有通常知識者應瞭解,基於本發明教示及揭示製程、機台、製造、物質之成份、裝置、方法或步驟,無論現在已存在或日後開發者,其與本案實施例揭示者係以實質相同的方式執行實質相同的功能,而達到實質相同的結果,亦可使用於本發明。因此,以下之申請專利範圍係用以涵蓋用以此類製程、機台、製造、物質之成份、裝置、方法或步驟。
10...積體電路結構
12...基板
14...第一介電層
16...第一導電層
18...第二介電層
20...孔洞
22...第一金屬層
24...氮化金屬層
26...第一氧化金屬層
28...第二氧化金屬層
30...第二金屬層
32...第一金屬層
34...氮化金屬層
36...第一氧化金屬層
38...第二氧化金屬層
40...第二金屬層
50...阻障層
50A...阻障層
50B...阻障層
52...第二導電層
100...阻障層製備方法
102-110...步驟
120...反應器
140...反應室
142...真空系統
144...載台
146...基板
148...蓮蓬頭
150...氣體源
152...電力供應器
藉由參照前述說明及下列圖式,本發明之技術特徵得以獲得完全瞭解。
圖1例示本發明一實施例之阻障層製備方法的流程圖;
圖2及圖3例示本發明一實施例之積體電路結構的製備方法;
圖4至圖6例示本發明一實施例之阻障層的製備方法;
圖8至圖10例示本發明另一實施例之阻障層的製備方法。
100...阻障層製備方法
102-110...步驟

Claims (19)

  1. 一種積體電路阻障層之製備方法,包含:形成一第一金屬層於一基板上;形成一氮化金屬層於該第一金屬層上;以及於一電漿環境中進行一處理製程,以形成一氧化金屬層於該第一金屬層與該氮化金屬層間,其中該電漿係由包含氧化劑及還原劑之氣體形成。
  2. 如申請專利範圍第1項所述之積體電路阻障層之製備方法,其中該處理製程係在100℃以下之溫度進行。
  3. 如申請專利範圍第1或2項所述之積體電路阻障層之製備方法,其中形成該電漿係藉由施加1000至2000Watt之射頻能量於該氣體。
  4. 如申請專利範圍第1項所述之積體電路阻障層之製備方法,其中該處理製程係在壓力介於5至20 mTorr進行。
  5. 如申請專利範圍第1項所述之積體電路阻障層之製備方法,其中該氧化劑係氧氣,該還原劑係氫氣,該基板係矽晶圓。
  6. 一種積體電路阻障層之製備方法,包含:形成一氫化金屬層於一基板上;以及於一電漿環境中進行一處理製程,以形成一氧化金屬層於該氮化金屬層及該基板間,其中該電漿係由包含氧化劑及還原劑之氣體形成。
  7. 如申請專利範圍第6項所述之積體電路阻障層之製備方法,其中該處理製程係在100℃以下之溫度進行。
  8. 如申請專利範圍第6項所述之積體電路阻障層之製備方法,其中形成該電漿係藉由施加1000至2000Watt之射頻能量於該氣體。
  9. 如申請專利範圍第6項所述之積體電路阻障層之製備方法,其中該處理製程係在壓力介於5至20 mTorr進行。
  10. 如申請專利範圍第6項所述之積體電路阻障層之製備方法,其中該氧化劑係氧氣,該還原劑係氫氣,該基板係矽晶圓。
  11. 一種積體電路結構之製備方法,包含:形成一第二介電層於一基板上,該基板包含一第一導電層及一第一介電層;形成一孔洞於該第二介電層中,該孔洞曝露該第一導電層;形成一阻障層於該孔洞內,其中該阻障層形成步驟包含:形成一第一金屬層於該孔洞內;形成一氮化金屬層於該第一金屬層上;以及於一電漿之環境中進行一處理製程,以形成一氧化金屬層於該第一金屬層及該氮化金屬層間,其中該電漿係由包含氧化劑及還原劑之氣體形成;以及形成一第二金屬層於該阻障層上。
  12. 如申請專利範圍第11項所述之積體電路結構之製備方法,其中形成該阻障層包含: 形成一金屬層於該孔洞內;以及進行該處理製程以形成一氧化金屬層於該金屬層上。
  13. 如申請專利範圍第11項所述之積體電路結構之製備方法,其中該處理製程係在100℃以下之溫度進行。
  14. 如申請專利範圍第11項所述之積體電路結構之製備方法,其中形成該電漿係藉由施加1000至2000Watt之射頻能量於該氣體。
  15. 如申請專利範圍第11項所述之積體電路結構之製備方法,其中該處理製程係在壓力介於5至20 mTorr進行。
  16. 如申請專利範圍第11項所述之積體電路結構之製備方法,其中該氧化劑係氧氣,該還原劑係氫氣,該基板係矽晶圓。
  17. 一種積體電路結構之製備方法,包含:形成一第一金屬層於一基板上;形成一氮化金屬層於該第一金屬層上;以及於一電漿之環境中進行一處理製程,以形成一氧化金屬層於該第一金屬層及該氮化金屬層間,其中該電漿係由包含氧化劑之氣體形成。
  18. 一種積體電路結構之製備方法,包含:形成一氮化金屬層於一基板上;以及於一電漿之環境中進行一處理製程,以形成一氧化金屬層於該氮化金屬層及該基板間,其中該電漿係由包含氧化劑之氣體形成。
  19. 一種積體電路結構之製備方法,包含:形成一第二介電層於一基板上,該基板包含一第一導電層及一第一介電層;形成一孔洞於該第二介電層中,該孔洞曝露該第一導電層;形成一阻障層於該孔洞內,其中該阻障層形成步驟包含;形成一第一金屬層於該孔洞內;形成一氮化金屬層於該第一金屬層上;以及於一電漿之環境中進行一處理製程,以形成一氧化金屬層於該第一金屬層及該氮化金屬層間,其中該電漿係由包含氧化劑之氣體形成;以及形成一第二導電層於該阻障層上。
TW098127802A 2009-07-08 2009-08-19 積體電路結構之製備方法 TWI389255B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/499,622 US7939421B2 (en) 2009-07-08 2009-07-08 Method for fabricating integrated circuit structures

Publications (2)

Publication Number Publication Date
TW201103103A TW201103103A (en) 2011-01-16
TWI389255B true TWI389255B (zh) 2013-03-11

Family

ID=43427801

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098127802A TWI389255B (zh) 2009-07-08 2009-08-19 積體電路結構之製備方法

Country Status (3)

Country Link
US (1) US7939421B2 (zh)
CN (1) CN101944504B (zh)
TW (1) TWI389255B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
WO2013177620A1 (en) 2012-05-29 2013-12-05 Zydex Pty Ltd Device for making an object and a method for making an object
CN105226050A (zh) * 2014-06-09 2016-01-06 旺宏电子股份有限公司 半导体结构及其制造方法
US10153175B2 (en) * 2015-02-13 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Metal oxide layered structure and methods of forming the same
WO2018170544A1 (en) 2017-03-20 2018-09-27 Justin Elsey Apparatus and method for making a stereolithographic object
AU2018240840B2 (en) 2017-03-21 2023-12-21 Zydex Pty Ltd Apparatus and method for making a stereolithographic object

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE533178T1 (de) * 1998-09-09 2011-11-15 Texas Instruments Inc Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
KR20020072996A (ko) * 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
JP2003092271A (ja) * 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
JP2004119754A (ja) 2002-09-27 2004-04-15 Seiko Epson Corp 配線、配線の製造方法、半導体装置及びその製造方法
JP2005150228A (ja) * 2003-11-12 2005-06-09 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7166543B2 (en) * 2004-08-30 2007-01-23 Micron Technology, Inc. Methods for forming an enriched metal oxide surface for use in a semiconductor device
US7741636B2 (en) * 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7760552B2 (en) * 2006-03-31 2010-07-20 Semiconductor Energy Laboratory Co., Ltd. Verification method for nonvolatile semiconductor memory device
KR100735470B1 (ko) * 2006-05-19 2007-07-03 삼성전기주식회사 질화물계 반도체 발광소자의 제조방법
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
JP2008091835A (ja) 2006-10-05 2008-04-17 Toshiba Corp 半導体装置およびその製造方法
WO2008114609A1 (ja) * 2007-03-19 2008-09-25 Nec Corporation 半導体装置及びその製造方法
KR100996191B1 (ko) * 2007-04-25 2010-11-24 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조 방법
US7862627B2 (en) * 2007-04-27 2011-01-04 Front Edge Technology, Inc. Thin film battery substrate cutting and fabrication process
US7892942B2 (en) * 2007-07-09 2011-02-22 Micron Technology Inc. Methods of forming semiconductor constructions, and methods of forming isolation regions

Also Published As

Publication number Publication date
US7939421B2 (en) 2011-05-10
TW201103103A (en) 2011-01-16
CN101944504A (zh) 2011-01-12
US20110008961A1 (en) 2011-01-13
CN101944504B (zh) 2013-07-17

Similar Documents

Publication Publication Date Title
KR102514192B1 (ko) 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
TWI396234B (zh) A plasma oxidation treatment method and a manufacturing method of a semiconductor device
KR100661194B1 (ko) 플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법
US9653327B2 (en) Methods of removing a material layer from a substrate using water vapor treatment
TWI389255B (zh) 積體電路結構之製備方法
KR20220100826A (ko) 반도체 프로세싱을 위한 대기압 플라즈마 장치
JP2010010700A (ja) 半導体装置の製造方法及び半導体装置
JPWO2006016642A1 (ja) 半導体装置の製造方法およびプラズマ酸化処理方法
KR101739613B1 (ko) Cu 배선의 형성 방법
US20060003565A1 (en) Method and apparatus for manufacturing semiconductor device
KR20010052609A (ko) 저항율 감소를 위한 증착막 처리 방법
WO2010038900A1 (ja) 酸化珪素膜、酸化珪素膜の形成方法、および、プラズマcvd装置
KR20100009625A (ko) 규소 화합물 형성 방법 및 이의 시스템
TW200908219A (en) Fabrication method of a semiconductor device and a semiconductor device
JP2006229207A (ja) 半導体装置の製造方法及び半導体装置
JP4960193B2 (ja) 半導体装置の製造方法及び半導体装置の製造装置
JP2007165428A (ja) 半導体装置の製造方法
JP2008004841A (ja) 半導体装置及び半導体装置の製造方法
US20120273948A1 (en) Integrated circuit structure including a copper-aluminum interconnect and method for fabricating the same
US20060270225A1 (en) Silicon carbide semiconductor device fabrication method
JP2008060568A (ja) 半導体素子の製造装置及びこれを用いた半導体素子の製造方法
JP3771841B2 (ja) チタンハードマスクを用いて金の金属層をエッチングするための方法および装置
JPH08330424A (ja) 半導体集積回路装置およびその製造方法ならびにそれに用いる製造装置
TW201641743A (zh) 回蝕輪廓調整的方法
TWI251253B (en) Semiconductor device manufacturing method and plasma oxidation method