KR20010052609A - 저항율 감소를 위한 증착막 처리 방법 - Google Patents

저항율 감소를 위한 증착막 처리 방법 Download PDF

Info

Publication number
KR20010052609A
KR20010052609A KR1020007013810A KR20007013810A KR20010052609A KR 20010052609 A KR20010052609 A KR 20010052609A KR 1020007013810 A KR1020007013810 A KR 1020007013810A KR 20007013810 A KR20007013810 A KR 20007013810A KR 20010052609 A KR20010052609 A KR 20010052609A
Authority
KR
South Korea
Prior art keywords
approximately
copper
chamber
layer
plasma
Prior art date
Application number
KR1020007013810A
Other languages
English (en)
Inventor
모한 케이. 반
링 첸
보 젱
저스틴 존스
세샤드리 간구리
티모시 레바인
샤무엘 윌슨
메이 창
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010052609A publication Critical patent/KR20010052609A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

웨이퍼상의 구리층의 저항율을 감소시키는 방법에 관한 것이다. 수분을 함유한 시드 구리층이 웨이퍼상의 재료층위에 형성된다. 구리 시드층은 열 또는 플라즈마에 의한 이온중 어느 하나에 의해 어닐링되어 수분을 방출시키도록 처리되며, 이에 따라 구리 시드층의 저항율을 감소시키고 하부층에 대한 부착을 개선시킨다. 그 다음 수분을 함유하지 않은 수리층이 "청정한(clean)" 즉 처리된 구리층의 상부에 증착된다.

Description

저항율 감소를 위한 증착막 처리 방법{A METHOD FOR TREATING A DEPOSITED FILM FOR RESISTIVITY REDUCTION}
현재는, 알루미늄이 플러그 및 와이어와 같은 인터커넥트(interconnect)로서 집적 회로에 널리 이용되고 있다. 그러나 소자의 밀도가 높을수록, 작동 주파수가 빠를수록, 그리고 다이의 크기가 클수록 인터커넥트 구조체로 사용되는 알루미늄 보다 낮은 저항율을 가진 금속의 필요성이 요구되었다. 낮은 저항율을 가진 구리가 알루미늄을 대체할 수 있는 매력적인 금속이다. 알루미늄을 대신하여 구리를 이용할 때의 한가지 문제점은, 구리가 현재 구리 밑에서 확산장벽으로서 사용되고 있는 질화 티타늄과 같은 재료에 잘 부착되지 않는 것이다. 확산장벽은 상호오염을 억제하기 위해 소자층 사이에 증착된다. 예로, 전도층의 재료가 인접한 층으로 이동되어, 의도된 절연성이 손상될 수 있다. 이런 현상은 소자의 수명단축 및 성능저하를 야기할 수 있다. 확산장벽에 구리가 양호하게 부착되지 않으면, 폴리싱 단계에서 구리의 일부가 바람직하지 않게 박리된다. 또 이런 현상은 집적 회로에 결함을 일으킬 수 있다.
확산장벽 밑에 놓이는 구리의 부착을 개선하는 한가지 해결책은 구리를 부착하는 단계를 두 단계로 나누는 것이다. 제 1 단계에서, 물리 기상 증착법(PVD)이 구리의 시드층을 증착하기 위해 실행된다. PVD는 재료를 증착시켜 박막을 형성하는 잘 확립된 방법이다. 먼저 구리의 시드층이 PVD에 의해 증착되고, 구리의 벌크층(bulk layer)이 증착된다. 벌크층은 표준 화학 기상 증착법(CVD) 또는 전기도금에 의해 증착된다. 구리의 벌크층은 구리 시드층에 상대적으로 잘 부착된다.
불행하게도 PVD를 사용하면 커버리지가 양호하지 않게 되며, 이는 고 애스펙트 비(기판상의 단면 폭에 대한 피쳐의 깊이 비율)를 가지는 소자에는 수용될 수 없다. 게다가, PVD는 화학 기상 증착 또는 전기도금중 어는 하나와 동일한 챔버 내에서 실행될 수 없다. PVD 챔버 및 CVD 또는 전기도금 챔버 중 하나를 가질 필요성에 따라 집적 회로의 제조 가격이 높아지고 수율이 감소된다. 이와 달리, CVD 구리의 벌크 증착 전에 시드층을 이온으로 가격하는 처리에 의해 부착이 개선될 수 있다. 이런 박막은 원하지 않는 높은 전기 저항율을 가진다. 따라서, 시드층과 벌크층을 증착하기 위해 화학 기상 증착법의 채용이 요구되는데, 그 이유는 CVD가 보다 컨포한 구리층을 제공하기 때문이다.
구리의 화학 기상 증착법은 다른 문제점을 제시한다. 이는 구리의 증착 단계에서 생성된 부산물로부터 기인한다. 즉, 구리의 화학 기상 증착법은 화학식 Cu(hfac)L을 가진 큐프라설렉트(Cupraselect)로 알려진 선구물질을 이용하여 달성된다. L은, 비닐트라이메틸실란(vinyltrimethylsilane; VTMS)과 같은 루이스 염기 화합물(Lewis base compound)을 나타낸다. (hfac)는 헥사플루오르아세틸아세톤(hexafluoroacetylacetonato)을 나타내고, Cu는 구리를 나타낸다. 선구물질 Cu(hfac)L을 이용한 구리의 CVD단계 동안, 선구물질은 증발되어 기판(즉 반도체 웨이퍼)을 수용하는 증착 챔버 내로 흘러 들어간다. 챔버 내에서, 선구물질은 열에너지를 가지고 웨이퍼 표면에 부어지고, 이후의 반응은 아래 식에 나타난다:
2 Cu(hfac)L → Cu + Cu(hfac)2+ 2L (식 1)
결과물 구리(Cu)는 Cu(hfac)2부산물과 함께 웨이퍼의 상부면에 증착된다. 기체인 루이스 염기 부산물(2L)은 챔버로부터 제거된다. 웨이퍼 표면상에 있는 다른 오염물질 뿐만 아니라 부산물의 존재는 티타늄 또는 질화 탄탈과 같은 확산장벽에 대한 구리의 저항율을 증가시킨다. 마지막 구리층이 증착된 후 어닐링단계는 구리의 저항율을 낮추기는 하지만 부착을 저하시킨다. 따라서, 어닐링단계가 구리막을 형성하기 위해 전적으로 이로운 것은 아니다.
CVD를 이용한 시드층의 증착단계에서 수분(H2O)을 첨가하여 증착율을 증가시키는 것은 아주 바람직하다. 불행하게도, H20의 첨가는, 구리와 반응하여 산화 구리(CuO)를 생성하는 과도한 산소로부터 오염물질을 생성한다. 시드층에 포함된 CuO는 최종적인 막의 저항율을 바람직하지 않은 수준으로 증가시킨다. 게다가, 구리의 벌크층이 산화 구리의 계면에 잘 증착되지 않을 것이다.
따라서, 수분을 지닌 구리 CVD층의 처리방법을 제공하여 구리와 그 아래의 확산장벽사이의 부착을 견고하게 하고 전기적 저항율을 감소시키는 것이 요구된다. 또한 단 하나의 챔버 내에서 이런 증착이 실행되는 것이 요구된다(그 상태에서).
본 발명은 집적 회로 제조분야에 관한 것이다. 구체적으로, 본 발명은 전기적 특성을 개선하기 위하여 집적 회로를 형성하는 증착된 박막을 처리하는 방법에 관한 것이다.
도 1은 본 발명에 따른 구리층의 증착을 실행하는 일련의 작동단계를 도시하는 흐름도;
도 2a 내지 도 2d는 본 발명에 따른 구리층의 증착 및 처리를 도시하는 도면;
도 3은 본 발명에 따른 구리증착에 사용되는 증착챔버를 도시하는 도면;
도 4는 본 발명에 따라 구리를 증착하기 위해 사용되는 증착 챔버를 제어하기 위해 본 발명에 따라 채용된 제어 시스템을 도시하는 도면;
도 5는 본 발명의 방법과 다양한 상태 하에서 처리된 막의 저항율 및 부착을 비교하는 데이타 도표이다.
이해를 용이하게 하기 위해, 도면에서 공통적인 요소를 나타내기 위해 가능한 동일한 참조 번호가 사용되었다.
본 발명에 따라, 구리와 같은 재료층이 감소된 저항율을 가지고 웨이퍼의 표면상에 형성된다. 구리층의 형성에 있어, 수분(H2O)을 함유하는 구리 시드층은 웨이퍼의 표면상에 CVD에 의해 증착된다. 먼저 시드층이 증착되면, 구리(수분 함유)는 웨이퍼 표면상의 구리의 저항율을 감소시키기 위해 에너지에 의해 어닐링 처리된다. 어닐링을 위한 에너지는 열의 또는 이온의 충돌의 형태로 제공될 수 있다. 그 다음, 구리의 벌크층이 CVD에 의해 증착된다. 열 또는 이온 충돌에 의한 어떤 후 처리단계없이 구리 벌크 막의 저항율을 낮추기 위해, 수분이 없는 챔버 내에 벌크 구리층을 증착시킬 필요가 있다.
에너지가 열의 형태로 공급되면, 어떠한 종래의 열원도 사용될 수 있다. 예로, 열이 저항 가열 요소, 유도 코일, 방사열 램프 또는 이와 유사한 것에 의해 공급될 수 있다. 또한 수소 가스와 같은 감소제(reducing agent)가 열을 가지고 웨이퍼의 표면으로부터 오염물질을 제거한다. 수소는 뜨거운 표면상에 있는 오염물질과 반응하여 오염물질을 감소시킴으로써, 가스인 화합물이 챔버로부터 제거된다.
에너지가 이온충돌에 의해 공급되면, 구리 시드층은 플라즈마에 노출된다. 본 발명의 한 실시에에서 플라즈마는, 수소 및 질소와 같은 활성 가스와 함께 아르곤과 같은 불활성 가스를 이용하여 발생된다. 또는, 수소/질소 플라즈마는 오염물질을 제거하기 위해 제공될 뿐만아니라 구리에 충돌시키기 위해서도 채용될 수 있다. 수소의 첨가로 탄소, 산소, 불소 및 그와 유사한 원소 같은 구리의 증착 부산물이 제거되어, 구리 시드층의 저항율이 감소되고 구리 시드층의 증착이 개선된다.
본 발명의 추가적인 내용은 첨부된 도면을 참조하여 후술될 것이다.
도 1은 진공 챔버내 지지체에 장착된 웨이퍼 표면상에 구리를 증착하는 본 발명에 따라 단계 98에서 시작하는 일련의 작동단계(90)를 도시하고 있다. 수분을 함유한 구리의 시드층은 단계(100)에서 웨이퍼의 상부면에 증착된다. 구리의 시드층은 화학 기상 증착을 이용하여 증착되며 구리의 연속적인 층 또는 비연속적인 층으로 증착될 수 있다. 본 발명에 따라, 선구물질 Cu(hfac)L(큐프라설렉트)이 시드층 증착에 이용된다. 그러나, 감소제를 가지고 있는 구리 선구물질, 예를 들어 수소 감소제를 가진 선구물질 Cu+2(hfac)L이 시드층을 형성하기 위해 사용될 수도 있다. 또 수증기를 포함한 아르곤, 크세논, 크립톤 또는 헬륨과 같은 불활성 캐리어 가스가 수분을 구리층으로 삽입하기 위해 이용된다.
본 발명의 제 1 실시예에 따라, 시드층은 단계(101)에서 열 형태의 에너지로 처리된다. 열은 반도체 제조 분야에 알려진 다양한 방법으로 제공될 수 있으며, 예로는 웨이퍼 지지체에 내재되어 있는 저항 가열 요소 또는 웨이퍼 지지체의 외부의 가열 램프가 있다.
본 발명의 제 2 실시예에 따라, 수분을 포함한 구리의 시드층은 플라즈마 형태의 에너지에 의해 처리된다. 플라즈마는 하나 또는 그 이상의 가스에 에너지를 인가함으로써 발생된다. 본 발명의 바람직한 실시예에서, RF 에너지가 아르곤, 수소 및 질소로 구성된 플라즈마 가스를 생성한다. 또한, 크립톤 및 크세논과 같은 다른 불활성 가스가 아르곤 가스를 대신할 수 있다.
플라즈마 처리단계에서, 구리가 증착된 기판은 전자 충돌의 결과로 음 바이어스를 지니게 된다. 바이어스는 플라즈마내의 양이온이 기판쪽으로 가속되도록 한다. 이런 이온들은 구리 시드층에 충돌하게 되고, 이것은 구리가 기판의 표면에 부착되어, 즉 구리 그레인이 기판상에 "장착"되고 또한 하전 이동성(charge mobility)을 개선하기 위해 그레인을 평평하게 한다. 이것은 기판에 구리 시드층의 부착을 강화시킨다. 또한, 플라즈마내에 존재하는 수소 이온은 선구물질 오염물질 부산물과 결합하여 챔버로부터 제거된다.
단계(101)에서 열 또는 플라즈마 처리가 끝나면, 구리의 벌크 증착이 단계 (102)에서 실행된다. 벌크 증착단계에서 증착된 구리는, 처리된 구리 시드층 위에 증착되어 원하는 두께를 가지며 웨이퍼 표면에 대한 증착이 개선된 구리층을 형성한다. 구리 벌크 증착은 큐프라설렉트의 화학 기상 증착 또는 어떤 다른 구리 벌크 증착 공정을 이용하여 달성된다. 게다가, 구리 벌크 증착은 수분이 없는 환경에서 실행되는 것이 중요하다. 최종적으로, 단계(104)에서 공정은 마무리된다.
본 발명의 실시예에 따라, 시드층 및 벌크층 증착과, 열 또는 플라즈마 처리는 다른 챔버내에서 실행된다. 시드층을 처리하는 방법과 무관하게 구리 격자의 과도한 수분이 어닐링에 의해 배출되고, 산소가 순수한 구리와 반응하여 생길 수 있는 산화물이 감소되고 수소에 의해 챔버로부터 배출된다. 이로써, 시드층의 벌크층의 저항율 및 증착에 영향을 미치는 오염물질은 미량이 남는다. 대안적으로, 구리 시드층 증착, 플라즈마 처리, 및 벌크 증착은 화학 기상 증착과 플라즈마 처리를 모두 함께 실행할 수 있는 단 하나의 챔버 내에서 실행되며 더 상세한 내용은 후술된다. 챔버내 모든 수분이 벌크증착 전에 챔버를 가열경화(baking)시킴으로써 제거될 수 있다. 따라서, 구리층은 이 상태에서 완전히 형성된다.
도 2a 내지 도 2d는 본 발명에 따른 집적 회로에 구리층의 형성과 처리를 도시하고 있다. 도 2a는 이산화규소 같은 절연재료층(111)에 형성된 즉 에칭된 비아(116)를 도시하고 있다. 절연재료층(111)은 집적 회로에 다른 요소들과 전기적으로 연결된 기판(110)을 덮고 있다. 기판(110)은 비아(116)내에 형성될 인터커넥트에 의해 다른 요소들과 연결된다.
비아(116)의 둘레에 있는 절연층(111)의 상부면 및 기판(110)의 상부면은 확산장벽(112)에 의해 덮혀진다. 확산장벽(112)은 기판(110)에 인터커넥트 금속이 기판으로 확산되는 것을 억제하기 위해 이용된다. 본 발명의 바람직한 한 실시예에서, 인터커넥트 금속은 구리이고, 확산장벽은 고융점 금속 또는 고융점 금속 질화물이다. 예로, 고융점 금속 질화물은 질화 탄탈이 바람직하지만, 질화 티타늄, 탄탈, 질화 텅스텐 또는 금속(예를 들어, 구리)과 기판(110)사이에서 확산장벽으로 기능할 수 있는 다른 적절한 재료도 이용될 수 있다.
도 2b는 인터커넥트로 이용되는 구리 시드층(113)의 증착을 도시하고 있다. 구리 시드층(113)은 화학 기상 증착을 이용하여 확산장벽(112)의 상부면에 증착된다. 본 발명의 일 실시예에서, 구리 시드층(113)은 불연속적으로 증착된다. 즉, 증착부 사이에 틈이 존재한다. 대안적인 실시예(도시 안됨)에서, 구리 시드층(113)은 10Å 내지 300Å의 범위의 두께로 연속적으로 증착된다.
시드층(113)의 화학 기상 증착은 L이 VTMS인 선구물질 Cu(hfac)L을 이용하여 이루어지는 것이 바람직하다. 유체인 Cu(hfac)L은 증발되어 확산장벽(112)을 지닌 기판(110)을 수용하는 증착챔버 내로 유입된다. 선구물질의 증발은 질소, 헬륨, 수소 같은 캐리어 가스를 "발포"(bubbling)시켜 달성될 수 있다.
수분(H20)은 아르곤과 같은 불활성 캐리어 가스를 이용하여 첨가된다. 방정식 1에 나타난 바와 같이, 웨이퍼가 가열되어 선구물질이 반응되도록 하며, 그것에 의하여 확산장벽(112)상에 구리가 증착된다. 시드층(113)은 수소 감소제와 Cu+2(hfac)2와 같은 다른 구리 선구물질을 이용하여 화학적으로 수소 같은 수 있다. 넓게 보면, 수분을 함유한 어떤 형태의 구리 증착도 본 발명의 범위 내에 있는 것으로 간주된다. 증착 단계동안, 과도한 수분(H20 증기)이 시드층에 존재한다. 게다가, 어느 정도의 H20는 H2및 O(산소)로 분리된다. 그 다음, 자유로운 O는 시드층과 결합하여 구리산화물(CuO)을 형성한다. CuO는 시드층의 저항율을 감소시킬 뿐만아니라 시드층 위에 형성되는 구리 벌크층의 부착을 감소시킨다.
본 발명의 제 1 실시예에 따라, 구리 시드층(113)은, 증착된 후 수소 또는 아르곤 분위기에서 열에 의해 처리된다. 이런 열처리는 시드층에 존재하는 수분(H2O 증기)을 가열 냉각시켜 배출시킨다. 게다가, 시드층에 존재하는 구리 산화물은, 아래의 식에 따라 대기수소와 반응하여 감소된다:
CuO + H2→ Cu + H2O (식 2)
수증기의 형태로 있는 H2O는 챔버에서 배출된다.
본 발명의 제 2 실시예에 따라, 구리 시드층(113)이 증착된 후, 도 2c에 도시된 바와 같이 구리 시드층은 플라즈마(114)에 의해 처리된다. 본 발명에 따라, 플라즈마(114)는 하나 또는 그 이상의 가스, 즉 불활성 가스를 포함한 가스 혼합물에 에너지를 제공함으로써 생성된다. 이런 불활성 가스에는 헬륨, 아르곤, 크세논 및 크립톤이 포함된다. 본 발명의 한 실시예에서, 가스 혼합물은 아르곤, 수소 및 질소의 혼합물로 구성된다.
RF 신호로부터의 에너지에 의해 주입됨으로써 가스 혼합물은 플라즈마로 변형된다. 13.56MHz의 주파수가 양호한 처리 결과를 얻는 것으로 되어있다. 대안적으로, 다른 주파수들은 저 전력 적용에 대해 대략 350kHz부터 초고주파 적용에 대해 대략 2GHz까지의 범위를 포함한다.
구리 시드층(113)이 열 또는 플라즈마(114)에 의해 처리되면, 원하는 두께를 가진 최종 구리층(115)을 형성하기 위해 구리 벌크 증착이 실행된다. 도 2d에서 도시된 바와 같이, 새로이 증착된 구리는 화학 기상 증착을 이용하여 증착되고 구리 시드층(113)과 합쳐져서 최종 구리층(115)을 형성한다. 본 발명의 바람직한 실시예에서, 구리층(115)의 벌크 CVD는 선구물질 Cu(hfac)L을 이용한 도 2b를 참조로 하여 설명된 바에 의해 달성된다; 하지만, 수분은 유입되지 않으며 그래서 벌크 증착은 수분이 없는 환경 하에서 실행된다. 수분이 없는 벌크 증착 과정은 수소 감소제를 가진 Cu+2(hfac)2를 이용할 수 있다.
구리의 벌크 증착은 구리 최종층(115)이 1000Å 내지 1 미크론의 범위내 두께를 가질 때까지 이루어진다. 새로이 증착된 구리는 아래층의 확산장벽(112)과의 부착을 개선시킨 시드층(113) 위에 증착되므로, 확산장벽(112)과 최종 구리층(115)의 증착을 개선시킨다. 개선된 증착의 결과로써, 구리가 CMP폴리싱 단계에서 확산장벽(112)으로부터 바람직하지 않게 박리 되는 일이 적어진다. 본 발명의 대안적인 실시예에서, 기판(110)이 미리 증착된 구리층이 될 수 있다. 보통 비아(116)가 절연층(111)을 통해 아르곤 플라즈마에 의해 에칭된다. 이런 에칭 과정에서, CuO는 구리 기판의 상부에 형성될 수 있다. CuO 같은 산화물은 저항율을 증가시켜, 비아 충진 적용에 바람직하지 않다. 플라즈마 처리는 구리의 스퍼터링 및 비아(116)의 측벽으로의 확산없이 CuO를 감소시킨다. 본 발명의 바람직한 실시예에서, 질화 티타늄 확산장벽의 증착 전에, 수소/질소 플라즈마가 구리 기판을 처리하기 위해 사용된다.
본 발명의 방법 중 특히 바람직한 실시예가 이하 후술될 것이다. 두 개의 구리막이 분리된 질화 티타늄 확산장벽 상에 증착된다. 각각의 막에 대해, 100Å 내지 300Å의 두께의 수분을 가진 구리 시드층이 CVD챔버 내에서 증착된다. 시드층 증착 다음으로, 웨이퍼가 분리된 처리 챔버로 처리를 위해 이송된다. 그 다음, 웨이퍼는 벌크층 증착을 위해 CVD챔버로 다시 이송된다.
시드층의 증착을 위해, 아르곤은 대략 26sccm의 유동속도로 수분을 위한 캐리어 가스로써 이용되고 수소는 대략 100sccm의 속도로 유입된다. 아르곤은 또한 웨이퍼의 배면과 가장자리에 각각 대략 200sccm 및 300sccm의 속도로 향한다. 큐프라설렉트 선구물질은, 캐리어 가스로써 대략 200sccm의 속도의 헬륨을 이용하여 대략 0.35cc/min의 속도로 CVD 챔버로 수용된다. 샤워헤드(showerhead)와 웨이퍼 사이의 히터간격은 대략 400mils이다. CVD 챔버 압력은 대략 3sec의 증착시간에 대해 대략 0.5torr에서 유지된다. 시드층이 증착된 후, 한 웨이퍼는 처리챔버로 이송되어 열에 의해 처리되고 다른 웨이퍼는 처리 챔버로 이송되어 아르곤/질소/수소 플라즈마에 의해 처리된다.
플라즈마에 의해 처리된 웨이퍼에 있어, "자체-어닐링"(self-annealing)단계가 먼저 설정된다. 이 단계에서, 아르곤, 질소, 및 수소는 각각 대략 500sccm, 250sccm, 및 250sccm의 유동속도로 수용된다. 히터 간격은 400mils에서 유지된다. 처리 챔버 압력은 대략 450℃로 설정된다. 플라즈마 처리 전에 처리 챔버 상태가 안정됨에 따라 웨이퍼는 대략 48sec동안 "자체-어닐링"된다. 자체 어닐링 단계후에, 플라즈마 처리 상태가 설정된다. 특히, 플라즈마를 생성하기 위해 RF 신호가 대략 13.56MHz의 주파수와 450W의 전력으로 챔버에 인가된다. 플라즈마 처리 상태는 대략 60sec동안 유지된다.
열처리된 웨이퍼에 있어, 수소는 대략 1000sccm 의 속도로 처리 챔버에 수용된다. 처리 챔버 압력은 대략 5.0torr로 정해지고 처리챔버 온도는 450℃로 설정된다. 히터 간격은 대략 400mils이다. 열처리 조건은 대략 30sec동안 유지된다.
플라즈마 및 열처리된 웨이퍼에 있어, 구리 벌크는 CVD챔버 내에서 캐리어 가스로서 대략 200sccm의 헬륨을 이용하여, 대략 100sccm의 속도로 흐르는 수소와 대략 0.35cc/min의 속도의 선구물질 큐프라설렉트 유동을 이용하여 증착된다. 수분을 함유한 아르곤 캐리어 가스는 벌크 막을 증착하는 동안 제거된다. 아르곤은 웨이퍼의 배면과 가장자리로 각각 대략 200sccm와 300sccm의 속도로 향한다. 히터 간격은 대략 400mils이다. CVD챔버 압력은 약 0.5torr로 정해지고 증착시간은 약 180sec이다.
본 발명의 대안적인 실시예로서, 구리 막아 수소/질소 플라즈마에 의해 처리되어, 아래층의 구리에 영향을 미치지 않고 구리로 코팅된 웨이퍼로부터 CuO를 벗겨낸다. 이 과정은 캘리포니아 산타 클라라(California, Santa Clara)에 소재 하는 어플라이드 머티어리얼즈(Applied Materials)에 의해 제조된 TxZ 챔버 내에서 실행된다. 특히, 수소 및 질소는 각각 대략 500sccm 및 100sccm의 유동속도로 CVD챔버로 수용된다. 챔버 온도는 대략 350℃이고 히터 간격은 대략 400mils이다. 저주파수(대략 350kHz)의 RF 에너지가 대략 155W의 전력으로 인가되고 챔버 압력은 대략 2.5torr였다. 이런 처리 조건은 대략 300sec 동안 유지되었다. 이 과정은 소자의 다음 층, 예를 들어 비아를 충진하기 위해 티타늄 질화물의 확산장벽 또는 구리의 벌크 증착이 증착되는 거의 순수한 구리의 계면을 남긴다.
갖가지 웨이퍼가 상술한 방법을 포함한 여러 가지 조건하에서 프로세싱된다. 도 5에 도시된 표 1은 다른 조건에서 처리된 5 가지의 대표적인 웨이퍼의 결과를 나타내고 있다. 웨이퍼(각각 플라즈마 처리만 되고 열처리만 됨)는 양호한 부착, 증착된 층의 두께 및 낮은 저항율로 최적의 결합된 특성을 가지고 있다(1.7 μΩ·cm이 벌크 구리의 표준이고, 시드층이 보통 벌크 구리보다 조금 높다). 증착은 양질의 "스카치 테이프" 및 스크라이브 테스트(scribe test)에 의해 측정된다. 접착 테이프를 막에 붙이고나서 벗긴다. 1 점은 구리와 확산장벽 사이의 계면에서 막이 벗겨진 것을 의미한다. 2 점은 확산장벽과 그 아래의 산화물 사이의 계면에서 막이 벗겨진 것을 의미한다. 3 점은 테이프에 의해 막이 벗겨지지 않았음을 의미한다. 4 점은 테이프에 의해 또는 긋기에 의해서도 어떠한 막도 벗겨지지 않았음을 의미한다. 막에 대한 4개의 평점이 각 실험에 대하여 도시되어 있다.
도 3은 본 발명에 따라 구리층을 형성하기 위해 이용될 수 있는 CVD 시스템(120)을 도시하고 있다. 챔버는 캘리포니아 산타 클라라(California, Santa Clara)에 소재 하는 어플라이드 머티어리얼즈(Applied Materials)에 의해 제작된 WxZ 모델로, 본 발명에 따라 구리 증착을 실행하기 위해 변형되었다. 시스템(120)은 구리 증착이 실행되는 프로세스 챔버(137)를 포함한다. 프로세스 챔버는 웨이퍼를 지지하는 웨이퍼 지지체(130)와 프로세스 챔버내로 프로세스 가스를 흐르게 하는 샤워헤드(129)를 포함한다.
프로세스 챔버(137)는 고립기(isolator;132)에 의해 웨이퍼 지지체(130) 및 샤워헤드(129)로부터 전기적 및 열적으로 격리된 한 벌의 벽(131)에 의해 규정된다. 열 에너지를 제공하기 위해, 웨이퍼 지지체(130)는 웨이퍼에 열을 제공하는 저항율코일(도시 안됨)을 포함한다. 플라즈마를 형성하는 에너지를 제공하기 위해, 샤워헤드(129)는 100kHz 내지 20MHz의 범위의 주파수를 가지는 신호원(126)에 연결되어 있다. 프로세싱 챔버의 벽(131)과 웨이퍼 지지체(130)는 접지되어 있다.
압력 제어 장치(135), 예를 들어 진공펌프는, 프로세스 챔버(137)에 연결되어 프로세스 챔버(137) 내의 압력을 조절한다. 압력 제어 장치(135)는 또한 프로세스 챔버로부터 반응 부산물들을 제거한다.
프로세스 챔버(137)에 반응물을 제공하기 위해, 시스템(120)은 믹서블록(mixer block; 127), 증발기(128), 가스 패널(121), 및 액정 패널(122)을 포함한다. 가스 패널(121)은 캐리어 가스와 반응물을 제공하며 증발기(128) 및 믹서블록(127)에 연결되어 있다. 액정 패널(122)은 액체 반응물을 제공하며 증발기(128)에 연결되어 있다.
증발기(128)는 액체 반응물을 가스 반응물로 전환시킨다. 액체 반응물이 채용되면, 액정 패널(122)은 액체 반응물을 증발기(128)에 제공하고, 증발기(128)는 액체를 증발시켜 헬륨, 수소, 질소 또는 아르곤 같은 불활성 희석 가스를 캐리어 가스로써 이용한다. 대안적으로, 증발기는 증발작용을 통하여 가스 반응물을 생성할 수 있다. 가스 및 액체 반응물이 이용되면, 가스 패널(121)은 증발기(128)에 캐리어 가스 및 가스 반응물을 제공하고, 액정 패널(122)은 액체 반응물을 증발기(128)에 제공한다. 그 다음 증발기는 이런 반응물들의 화합과 증발을 제공한다. 믹서 블록(127)은 가스 패널(121) 및 증발기(128)로부터 샤워헤드(129)로 기체 반응물을 통과시킨다.
구리가 증착될 상부면을 가진 웨이퍼(140)는 프로세스 챔버(137)내의 웨이퍼 지지체(130)상에 배치된다. 본 발명의 일 실시예에서, 웨이퍼(10)의 상부면은 질화 티타늄, 질화 탄탈, 질화 텅스텐, 티타늄, 텅스텐 및 바람직한 탄탈과 같은 고융점 금속재료로 형성된 확산장벽이다. 전 증착 과정이 이런 상태에서 실행되지 않는다면(즉, 웨이퍼가 처리 챔버 같은 다른 챔버로 이송되지 않는다면), 처리 챔버는 CVD 챔버(137)의 구조와 동일할 수 있다. 특히, 플라즈마의 형성을 위한 에너지를 제공하기 위해, 샤워헤드(129)는 100kHz 내지 20MHz의 범위내의 주파수를 가진 신호원에 연결된다. 프로세싱 챔버 벽(131)과 웨이퍼 지지체(130)는 접지된다. 플라즈마 또는 열 처리 동안, CVD 챔버(137)는 챔버로부터 반응 부산물과 과다한 수분을 배출하기 위해 펌핑될 것이다. 그 다음 웨이퍼는 처리 챔버로부터 CVD 챔버로 재이송될 것이다.
구리층을 형성하기 위한 상술된 공정 단계(도 2a 내지 도 2d)는 프로세서 제어 장치에 의해 제어되는 시스템 내에서 실행될 수 있다. 도 4는 이런 용량(capacity)에서 이용될 수 있는 제어장치(200)를 도시하고 있다. 제어장치는 프로세서 장치(205), 기억장치(210), 대용량 기억장치(220), 입력 제어장치(270), 및 표시장치(250)를 포함하며, 이 모든 장치는 제어 장치 버스(225)에 연결되어 있다.
프로세서 장치(205)는 마이크로프로세서이거나 기억장치 내에 저장된 명령을 실행할 수 있는 다른 엔진이다. 기억장치(210)는 하드디스크 드라이브, 램("RAM"), 롬("ROM"), RAM 또는 ROM의 조합장치, 또는 프로세서가 판독할 수 있는 다른 장치로 구성되어 있다. 기억장치(210)는 프로세세 장치(205)가 상술된 프로세스 단계의 실행을 용이하도록 하는 명령들을 포함하고 있다. 기억장치(210)의 명령은 프로그램 코드의 형태로 존재한다. 프로그램 코드는 많은 다른 프로그램 언어중 어느 하나에 따른다. 예로, 프로그램 코드는 C+, C++, 베이직(BASIC), 파스칼(Pascal), 또는 많은 다른 언어로 쓰여질 수 있다.
대용량 기억장치(220)는 데이타 및 명령을 저장하고, 자기 디스크 또는 자기 테이프같이 프로세서로 판독할 수 있는 저장매체로부터 데이타 및 프로그램 코드 명령들을 검색한다. 예로, 대용량 기억장치(220)는 하드디스크 드라이브, 플로피 디스크, 테이프 구동 장치, 또는 광 디스크 드라이브가 될 수 있다. 대용량 기억장치(220)는 프로세서장치(205)로부터 수용하는 지시에 답하여 명령을 저장하고 검색한다. 대용량 기억장치(220)에 의해 저장되고 검색되는 데이타 및 프로그램 코드는 프로세서장치(205)에 의해 이용되어 상술한 프로세스 단계를 실행한다. 데이타 및 프로그램 코드의 명령은 먼저 기억매체로 부터 대용량 기억장치(220)에 의해 검색되고 프로세서장치(205)에 의해 기억장치(210)로 전달된다.
표시장치(250)는 챔버 사용자에게 프로세서장치(205)의 제어 하에서 그래픽 및 문자 숫자식의 정보를 제공한다. 입력 제어장치(270)는 키보드, 마우스, 또는 라이트 펜과 같은 데이타 입력 장치에 연결되어 챔버 사용자의 입력을 수용한다.
제어 장치 버스(225)는 그 제어 장치 버스(225)에 연결된 모든 장치간에 데이타 및 제어신호의 전달을 제공한다. 제어 장치 버스가, 제어장치(200)내 장치에 직접 연결하는 하나의 신호 버스로 표시되어 있지만, 버스의 콜렉션이 될 수 있다. 예로, 표시장치(220), 입력 제어 장치(270) 및 대용량 저장 장치(220)는 주변 버스(peripheral bus)에 연결되는 반면, 로컬 프로세서장치(205) 및 기억장치(210)는 로컬 프로세서 버스에 연결된다. 로컬 프로세서 버스 및 입출력 주변장치는 제어장치 버스(225)를 형성하기 위해 함께 연결된다.
제어장치(200)는 하나 또는 그 이상의 요소, 특히, 도 3에 도시된 본 발명에 따라 구리층을 형성하기 위해 이용되는 CVD 챔버 및 처리 챔버(도시 안됨)에 연결되어 있다. 이런 각각의 요소는 제어장치(200)와 요소간의 전송을 용이하기 위해 제어장치 버스(225)에 연결되어 있다. 이런 구성 요소들은: 가스 패널(121), 액정 패널(122), 웨이퍼 지지체 내에 있는 저항율코일 같은 가열 요소(230), 압력 제어장치(135), 신호원(126), 증발기(128) 및 믹서 블록(127)을 포함한다. 제어장치(200)는 신호를 챔버 구성 요소에 전송하여, 상기 구성 요소들이 구리층을 형성하는 프로세스 단계의 상술된 작동들을 실행하도록 한다.
작동시, 프로세서장치(205)는 기억장치(210)로부터 검색하라는 프로그램 명령에 답하여 챔버 구성 요소의 작동을 지시한다. 이 명령에 답하여, 챔버 구성 요소가 도 1을 참고로 하여 상술된 공정 단계를 실행하도록 지시 받는다.
웨이퍼가 프로세싱 챔버에 배치되면, 구리 시드층은 단계(100)에서 웨이퍼상에 증착된다(도 1). 단계(100)의 증착을 실행하기 위해, 프로세서 장치(205)는 기억장치(210)로부터 검색된 이런 명령을 실행한다. 이런 명령의 실행은, 도 2b를 참고로 하여 설명된 바와 같이, 기판상에 재료를 증착하기 위해 챔버 구성 요소가 작동되게 한다.
구리 시드층이 증착되면, 기억장치(210)로부터 검색된 명령이 프로세서 장치(205)에 지시하여 챔버(120)의 구성 요소가 단계(101)에서 에너지 처리를 실행되도록 한다. 이 명령에 따른 실행은, 웨이퍼(140)가, 특히 처리 공정을 위해 이용되는 CVD 챔버와 유사한 처리 챔버로 이송되도록 하고, 도 2c를 참고로 하여 상술된 바와 같이, 처리 챔버의 구성 요소가 플라즈마에 의해 증착된 구리를 처리하기 위해 작동되도록 한다.
플라즈마 처리가 완료되면, 기억장치(200)로부터 검색된 명령은 프로세서 장치(205)에 챔버(120)의 구성 요소가 단계(102)에서 구리의 벌크 증착을 실행하도록 지시한다. 이 명령에 따른 실행은 웨이퍼(140)가 처리 챔버로부터 챔버(120)로 재 이송되도록 하고, 도 2d를 참고로 하여 상술된 바와 같이, 챔버(120)의 구성 요소가 구리를 처리하는 벌크 증착을 작동하도록 한다.
본 발명이 특정 실시예들에 의하여 설명되었지만, 다양한 변형과 대안들이 다음의 청구항들에 의해 구체화되는 것과 같이 본 발명의 사상과 범위를 벗어나지 않고 이 분야의 당업자들에 의해 제조될 수 있다.

Claims (21)

  1. 기판 프로세싱 시스템에서 기판상에 증착된 구리의 저항율을 감소시키는 방법으로서,
    (a) 상기 기판에 수분을 함유한 구리를 증착하는 단계; 및
    (b) 구리의 저항율을 감소시키기 위해 에너지에 의해 구리를 처리하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 단계 (b)의 상기 에너지가 열의 형태인 방법.
  3. 제 2항에 있어서, 상기 열처리 단계 (b)가, 수소를 대략 1000sccm의 유동속도로 처리 챔버(treatment chamber)로 수소를 흐르게 하는 열처리 조건을 설정하는 단계, 처리 챔버 압력을 대략 5.0torr으로, 처리 챔버 온도를 대략 450℃로, 그리고 히터 간격을 대략 400mils로 설정하는 단계 및 상기 열처리 조건을 대략 300sec동안 유지하는 단계를 포함하는 방법.
  4. 제 1항에 있어서, 상기 단계 (b)에서의 상기 에너지가 플라즈마의 형태인 방법.
  5. 제 4항에 있어서, 상기 플라즈마 처리 단계(b)가 플라즈마 처리 전에 "자체-어닐링(self-annealing)"단계를 추가로 포함하는 방법.
  6. 제 5항에 있어서, 상기 "자체-어닐링"단계가 아르곤/질소/수소를 각각 대략 500sccm, 250sccm 및 250sccm의 유동 속도로 처리 챔버로 수용하는 단계, 처리 챔버의 압력을 대략 1.5torr로, 처리 챔버의 온도를 450℃로, 히터 간격을 대략 400mils로 설정하는 단계 및 상기 자체 어닐링단계를 대략 48sec동안 유지하는 단계를 추가로 포함하는 방법.
  7. 제 6항에 있어서, 자체-어닐링단계 후에 대략 60sec동안 RF 신호를 대략 13.56MHz의 주파수와 대략 450W의 전력으로 인가하는 플라즈마 처리 조건을 설정하는 단계를 추가로 포함하는 방법.
  8. 제 1항에 있어서,
    (c) 상기 단계 (a)에서 증착되고 단계 (b)에서 처리된 구리에, 수분이 함유되지 않은 구리를 증착하는 단계를 포함하는 방법.
  9. 제 1항에 있어서, 상기 증착 단계 (a)는 CVD 챔버내에서 실행되고 처리 단계 (b)는 처리 챔버내에서 실행되는 방법.
  10. 웨이퍼상의 재료층 위에 구리층을 형성하는 방법에 있어서,
    (a) 프로세싱 챔버내에 웨이퍼를 배치하는 단계;
    (b) 재료층상에 수분을 함유한 구리를 화학 기상 증착하는 단계;
    (c) 웨이퍼를 처리 챔버로 이송하는 단계; 및
    (d) 저항율을 감소시키기 위해 구리를 처리하는 단계를 포함하는 방법.
  11. 제 10항에 있어서, 상기 단계 (d)가 열에 의해 웨이퍼를 처리하는 단계를 포함하는 방법.
  12. 제 11항에 있어서, 상기 열처리 단계 (d)가, 수소를 대략 1000sccm의 유동 속도로 처리 챔버내로 수용하는 열처리 조건을 설정하는 단계, 처리 챔버 압력을 대략 5.0torr로, 처리 챔버 온도를 대략 450℃로, 그리고 히터 간격을 400mils로 설정하는 단계 및 상기 조건을 대략 300sec 동안 유지시키는 단계를 추가로 포함하는 방법.
  13. 제 10항에 있어서, 상기 단계 (c)가, 구리에 충돌하는 이온을 포함한 플라즈마를 형성하는 단계를 추가로 포함하는 방법.
  14. 제 13항에 있어서, 상기 플라즈마를 형성하는 단계가, 아르곤/질소/수소를 처리 챔버로 대략 500sccm, 250sccm 및 250sccm의 유동 속도로 각각 수용하는 단계, 대략 48sec동안, 처리 챔버 압력을 대략 1.5torr로, 처리 챔버 온도를 대략 450℃로, 그리고 히터 간격을 대략 400mils로 설정하는 단계 및 그 다음 대략 60sec동안 대략 13.56MHz의 주파수 및 대략 450W의 전력으로 RF 신호를 인가하는 단계를 추가로 포함하는 방법.
  15. 제 10항에 있어서,
    (e) 웨이퍼가 수분이 없는 조건에 있는 동안, 상기 단계 (b)에서 증착된 구리상에 구리를 증착하는 단계를 추가로 포함하는 방법.
  16. 화학 기상 증착을 실행하는 챔버 및 상기 챔버내에서 상기 화학 기상 증착을 제어하는 컴퓨터를 포함하고 있는 반도체 웨이퍼 프로세싱 시스템에서,
    상기 컴퓨터에 의해 실행될 때, 상기 반도체 웨이퍼 프로세싱 시스템이 수행하게 되는 프로그램을 포함하는 컴퓨터로 판독할 수 있는 매체(computer readable medium)로서:
    기판상에 수분을 함유한 구리 시드층을 증착하는 단계;
    상기 챔버로 처리 가스를 공급하는 단계;
    상기 구리 시드층에 에너지를 제공하는 단계를 포함하는 프로그램을 포함하는 컴퓨터로 판독할 수 있는 매체.
  17. 제 16항에 있어서, 상기 컴퓨터에 의해 실행될 때, 상기 반도체 웨이퍼 프로세싱 시스템이 구리 시드층상에 구리층을 증착하는 단계를 더 포함하는 프로그램을 포함하는 컴퓨터로 판독할 수 있는 매체.
  18. 하나 또는 그 이상의 챔버를 구비하고 있는 반도체 웨이퍼 프로세싱 시스템에서 프로세싱되는 반도체 웨이퍼상의 기존 재료층 아래의 구리층을 처리하는 방법에 있어서,
    (a) 상기 기존 재료층을 통해 비아를 에칭하며 상기 구리층 표면의 일부를 노출시키는 단계;
    (b) 수소의 존재 하에서 에너지에 의해 상기 표면을 처리하여, 상기 노출된 부분의 구리 산화물을 감소시키는 단계를 포함하는 방법.
  19. 제 18항에 있어서, 상기 에너지가 수소/질소 플라즈마에 의해 제공되는 방법.
  20. 제 19항에 있어서, 상기 플라즈마가
    상기 하나 또는 그 이상의 프로세스 챔버중 하나에 수소 및 질소를 대략 각각 500sccm 및 100sccm의 유동 속도로 유동하게 하는 단계;
    챔버 온도를 대략 350℃로 설정하는 단계;
    히터 간격을 대략 400mils로 설정하는 단계;
    챔버 압력을 대략 2.5torr로 설정하는 단계;
    RF 에너지를 대략 155W의 전력으로 인가하는 단계; 및
    상기 유동, 온도, 히터 간격, 압력 및 RF 에너지를 대략 300sec동안 유지하는 단계에 의해 제공되는 방법.
  21. 제 18항에 있어서,
    (c) 상기 기존층 및 상기 노출된 부분에 재료를 증착하는 단계를 추가로 포함하는 방법.
KR1020007013810A 1998-06-05 1999-05-21 저항율 감소를 위한 증착막 처리 방법 KR20010052609A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/092,477 1998-06-05
US09/092,477 US6319728B1 (en) 1998-06-05 1998-06-05 Method for treating a deposited film for resistivity reduction
PCT/US1999/011272 WO1999063590A1 (en) 1998-06-05 1999-05-21 A method for treating a deposited film for resistivity reduction

Publications (1)

Publication Number Publication Date
KR20010052609A true KR20010052609A (ko) 2001-06-25

Family

ID=22233414

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007013810A KR20010052609A (ko) 1998-06-05 1999-05-21 저항율 감소를 위한 증착막 처리 방법

Country Status (5)

Country Link
US (1) US6319728B1 (ko)
EP (1) EP1084513A1 (ko)
JP (1) JP2002517901A (ko)
KR (1) KR20010052609A (ko)
WO (1) WO1999063590A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012170511A2 (en) * 2011-06-10 2012-12-13 Applied Materials, Inc. Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6201671B1 (en) * 1998-12-04 2001-03-13 International Business Machines Corporation Seed layer for a nickel oxide pinning layer for increasing the magnetoresistance of a spin valve sensor
US6258717B1 (en) * 1999-07-30 2001-07-10 International Business Machines Corporation Method to produce high quality metal fill in deep submicron vias and lines
US6790757B1 (en) * 1999-12-20 2004-09-14 Agere Systems Inc. Wire bonding method for copper interconnects in semiconductor devices
US6656831B1 (en) * 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
JP2002060942A (ja) * 2000-06-07 2002-02-28 Anelva Corp 銅薄膜形成方法及び銅薄膜形成装置
KR100407682B1 (ko) * 2000-06-26 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
EP1180553A1 (en) * 2000-08-15 2002-02-20 Air Products And Chemicals, Inc. CVD process for depositing copper on a barrier layer
US7253124B2 (en) * 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
KR100671610B1 (ko) * 2000-10-26 2007-01-18 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US6576293B2 (en) * 2001-03-26 2003-06-10 Sharp Laboratories Of America, Inc. Method to improve copper thin film adhesion to metal nitride substrates by the addition of water
US6579793B2 (en) * 2001-03-27 2003-06-17 Sharp Laboratories Of America, Inc. Method of achieving high adhesion of CVD copper thin films on TaN Substrates
US6509266B1 (en) 2001-04-02 2003-01-21 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
US6596133B1 (en) * 2001-06-14 2003-07-22 Cvc Products, Inc. Method and system for physically-assisted chemical-vapor deposition
KR100413632B1 (ko) * 2001-07-23 2003-12-31 학교법인 인하학원 수소 플라즈마 및 급속 열처리의 이중 전처리 단계를포함하는 구리 전착방법
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
KR100425458B1 (ko) * 2001-08-21 2004-03-30 삼성전자주식회사 무전해 도금을 이용한 금속 배선 형성 방법
US6509268B1 (en) * 2001-08-27 2003-01-21 Sharp Laboratories Of America, Inc. Thermal densification in the early stages of copper MOCVD for depositing high quality Cu films with good adhesion and trench filling characteristics
KR100434946B1 (ko) * 2001-09-28 2004-06-10 학교법인 성균관대학 무전해도금방식을 이용한 반도체 소자의 구리배선형성방법
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US7270734B1 (en) * 2003-06-06 2007-09-18 Technic, Inc. Near neutral pH cleaning/activation process to reduce surface oxides on metal surfaces prior to electroplating
US20050173253A1 (en) * 2004-02-05 2005-08-11 Applied Materials, Inc. Method and apparatus for infilm defect reduction for electrochemical copper deposition
US7235487B2 (en) * 2004-05-13 2007-06-26 International Business Machines Corporation Metal seed layer deposition
US8764961B2 (en) * 2008-01-15 2014-07-01 Applied Materials, Inc. Cu surface plasma treatment to improve gapfill window
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US8659032B2 (en) * 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US20150340611A1 (en) * 2014-05-21 2015-11-26 Sony Corporation Method for a dry exhumation without oxidation of a cell and source line
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9779989B1 (en) * 2016-05-30 2017-10-03 United Microelectronics Corp. Method for manufacturing metal interconnects
US10157785B2 (en) 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1241922B (it) 1990-03-09 1994-02-01 Eniricerche Spa Procedimento per realizzare rivestimenti di carburo di silicio
KR100228259B1 (ko) 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
AU1745695A (en) 1994-06-03 1996-01-04 Materials Research Corporation A method of nitridization of titanium thin films
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5918150A (en) * 1996-10-11 1999-06-29 Sharp Microelectronics Technology, Inc. Method for a chemical vapor deposition of copper on an ion prepared conductive surface
US5744192A (en) * 1996-11-08 1998-04-28 Sharp Microelectronics Technology, Inc. Method of using water vapor to increase the conductivity of cooper desposited with cu(hfac)TMVS

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012170511A2 (en) * 2011-06-10 2012-12-13 Applied Materials, Inc. Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber
WO2012170511A3 (en) * 2011-06-10 2013-04-11 Applied Materials, Inc. Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber

Also Published As

Publication number Publication date
JP2002517901A (ja) 2002-06-18
EP1084513A1 (en) 2001-03-21
US6319728B1 (en) 2001-11-20
WO1999063590A9 (en) 2000-05-25
WO1999063590A1 (en) 1999-12-09

Similar Documents

Publication Publication Date Title
KR20010052609A (ko) 저항율 감소를 위한 증착막 처리 방법
US6355106B1 (en) Deposition of copper with increased adhesion
US9418858B2 (en) Selective etch of silicon by way of metastable hydrogen termination
US8268684B2 (en) Method and apparatus for trench and via profile modification
KR0172772B1 (ko) 반도체 장치의 확산장벽용 산화루테늄막 형성 방법
KR100355914B1 (ko) 저온플라즈마를이용한직접회로제조방법
JP4919871B2 (ja) エッチング方法、半導体装置の製造方法および記憶媒体
CN101558476B (zh) 互连结构和制造嵌入结构的方法
TWI396234B (zh) A plasma oxidation treatment method and a manufacturing method of a semiconductor device
US5175126A (en) Process of making titanium nitride barrier layer
US20040023502A1 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
JP2001200358A (ja) タングステン、アルミニウム、及び銅アプリケーション用ライナ、バリヤ及び/又はシード層としてのpvd−impタングステン及び窒化タングステン
JPH08250497A (ja) 半導体装置の金属配線層の形成方法
JPH10280154A (ja) プラズマ化学気相成長装置
KR20040108697A (ko) 전자 디바이스 재료의 제조 방법
JPH10313054A (ja) 集積回路用の相互結合構造
KR101179111B1 (ko) 에칭 방법 및 기억 매체
US8691709B2 (en) Method of forming metal carbide barrier layers for fluorocarbon films
KR100431306B1 (ko) 알루미늄산화막과 이트륨질산화막의 이중막으로 이루어진게이트산화막을 이용한 반도체 소자의 게이트 형성방법
JP3018602B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid