JPH10313054A - 集積回路用の相互結合構造 - Google Patents

集積回路用の相互結合構造

Info

Publication number
JPH10313054A
JPH10313054A JP10122624A JP12262498A JPH10313054A JP H10313054 A JPH10313054 A JP H10313054A JP 10122624 A JP10122624 A JP 10122624A JP 12262498 A JP12262498 A JP 12262498A JP H10313054 A JPH10313054 A JP H10313054A
Authority
JP
Japan
Prior art keywords
layer
metal
metal nitride
channel
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10122624A
Other languages
English (en)
Inventor
Marvin Liao
リャオ マーヴィン
Chyi Chern
チャーン チー
Jennifer Tseng
ツェング ジェニファー
Michael Danek
ダネック マイケル
Roderick C Mosely
シー. モーズリー ロデリック
Karl Littau
リタウ カール
Ivo Raaijmakers
ラーイジュメイカーズ イヴォ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10313054A publication Critical patent/JPH10313054A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 集積回路内の素子の結合を可能にするために
形成される集積回路内における構造の改良。 【解決手段】 構造は、導電面204から、その導電面
204の上に延在しているチャネル200内で延びる。
構造は耐熱金属の層205、金属窒化物の層206、及
び金属の層212を含む。耐熱金属の層を、導電面とチ
ャネルの内壁に堆積させる。金属窒化物の層を、耐熱金
属の層の上に形成する。金属窒化物の層は、耐熱金属の
層から延在する130オングストローム未満の厚さを持
つ。金属の層を、金属窒化物の層の上に堆積させる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は集積回路を製造する
分野に関する。
【0002】
【従来の技術】集積回路は、トランジスタ等の回路素子
を基板内に形成した後に他の回路素子と連結させる、一
連のプロセスステップによって製造される。回路素子は
メタライゼーションプロセスによって相互に連結され
る。すべての必要な連結に備えるために、多重メタライ
ゼーションステップを使用して、単一の集積回路内に電
気的相互結合のいくつもの層を作り出す。
【0003】集積回路の多重金属層に対するニーズは、
集積回路の寸法縮小の進展に伴って発生した。寸法の縮
小は、より小さい表面積に、より多くの回路素子を集積
させることを可能とする。回路素子数の増加と表面積の
減少の結果、相互結合を多数の集積回路層に分散しなけ
ればならない。
【0004】図1は、多重金属層107、109を含む
集積回路100の一部の断面図を示す。基板105に形
成されたソース102とドレイン103を有するトラン
ジスタが示されている。トランジスタのゲート104
は、基板105からゲートを分離するゲート酸化物11
0上に堆積、パターニングされる。二酸化珪素の絶縁材
料106がゲート104、基板105、及びフィールド
酸化物111の上面に堆積されている。絶縁材料106
はゲート104と基板105の領域を第1金属層107
から隔離している。絶縁材料108の別の層は第1金属
層107を第2金属層109から隔離している。
【0005】相互結合構造は、集積回路100内に導電
結合領域をもたらす。例えば、相互結合構造1011
1013はソース102、ゲート104、及びドレイン
103をそれぞれ第1金属層に連結し、相互結合構造1
014と1015は第1金属層107のメタルラインを第
2金属層109に連結する。各相互結合構造1011
1015が形成されるのは、材料の絶縁層を通してエッ
チングされて、ソース102、ドレイン103、ゲート
104、金属層107等の、下地導電領域を露出させる
チャネル内である。
【0006】集積回路の相互結合構造が効果的に機能す
るには、互いに連結する上下に重なる表面間に良好なオ
ームコンタクトを設けなければならない。さもないと、
集積回路内の回路素子間の電流が大幅に制限される。そ
の結果、集積回路の速度は大幅に削減される。最悪の場
合、集積回路が動作不能になる場合もある。相互結合構
造が0.3μm幅のコンタクトホール又はバイアホール
当り約3Ω以下の抵抗を持つことは許容されるが、3Ω
を超える抵抗値を持つ相互結合構造は一般に許容されな
い。
【0007】相互結合構造の形成では、材料の絶縁層に
形成されたチャネル内の導電バリヤ層の上面に金属コン
ポーネントを重ねることができる。チャネルはしばしば
コンタクトホール又はバイアホールと称される。バリヤ
層は、チャネルの内壁とチャネルによって囲まれた下地
面とに形成される。従来のバリヤ層の厚さは、400〜
500オングストロームの範囲である。
【0008】バリヤ層は金属コンポーネント内の金属の
拡散を抑制すると共に、相互結合構造の下にくる表面へ
の金属の堆積時に生じる副産物の拡散を抑制する。上記
の拡散が阻止されないと、相互結合構造の下地領域に抵
抗性の高いポケットが形成される。拡散の結果、形成さ
れる集積回路は不良品になるかもしれない。従来は厚さ
400〜500オングストロームのバリヤ層を使用して
いるが、その理由は、上記の厚さが、下地表面への相互
結合構造の金属の望ましくない拡散の抑制に有効である
と判明しているからである。
【0009】バリヤ層が形成されたならば、相互結合構
造に使用される金属材料を堆積させてバリヤ層の上面を
被覆する。理想としては、その金属が、バリヤ層のある
チャネルを充填する。次に、集積回路の上面が等方的に
エッチングされ、集積回路の上面に堆積した金属とバリ
ヤ層材料とをすべて取り除く。
【0010】相互結合構造のセットが絶縁材料の層内に
形成されると、絶縁材料の上面に金属層を堆積させるこ
とができる。金属は次にパターニングされて、金属層内
にメタルラインのセットを形成する。これらのメタルラ
インが、相互結合構造のセットを電気的に相互に結合さ
せる。
【0011】集積回路の寸法の削減は、許容可能な抵抗
値を持つ相互結合構造の製作に難題をもたらした。集積
回路のゲート長が縮小されると、集積回路のコンタクト
ホール又はバイアホールとして働くチャネルの幅も縮小
される。しかしながら、チャネルの高さは通常、縮小さ
れない。これは、集積回路の寸法が削減されたときに、
チャネル高さに対するチャネル幅の比を増大させる。こ
の比はアスペクト比と呼ばれている。
【0012】上記のチャネル形状の変化の結果、相互結
合構造は狭くなるが、寸法の大きな集積回路の場合とほ
ぼ同じ高さを保つ。従来、バリヤ層の厚さは、寸法を削
減した集積回路の場合でも、400〜500オングスト
ロームのままであった。他方、相互結合構造金属コンポ
ーネントの幅は、チャネルのアスペクト比の増加を考慮
して削減された。
【0013】アスペクト比の増加に対応して金属コンポ
ーネントの幅を狭くすると、金属コンポーネントの抵抗
を増加させる。更に、バリヤ層の上に形状に追従させて
金属を堆積させる難しさは、小さくなったチャネル開口
部と厚いバリヤ層の組合せによって、寸法の小さい集積
回路ほど大きくなる。これは、適合性の乏しい金属が相
互結合構造の抵抗を更に増加させるので、重大である。
【0014】集積回路を製造するコストを削減して集積
回路の速度を向上させる絶えざる要望が、ゲート長0.
25μm以下の集積回路に対する需要を生んでいる。上
記の集積回路では、コンタクトホールとバイアホールの
幅は、約3000オングストローム以下であろう。上記
のコンタクトホールとバイアホールに従来の400〜5
00オングストロームのバリヤ層を実施すれば、幅がき
わめて狭く、かつ形状追従性の乏しい相互結合構造の金
属コンポーネントになる。実際、幅1000オングスト
ロームのチャネル内に500オングストロームのバリヤ
層を形成すると、金属コンポーネントを収容するために
利用可能な相互結合構造の容積は存在しなくなるだろ
う。
【0015】ゲート長0.25μm以下の集積回路にお
いて従来の400〜500オングストロームのバリヤ層
を使って形成される相互結合構造の抵抗値は、4〜5Ω
の範囲であることが分かっている。このような抵抗値は
許容できない。それらの値は、寸法削減技術によって提
供される速度の向上を無効にすると共に、寸法を削減し
た集積回路の信頼性を低下させる。
【0016】
【発明が解決しようとする課題】従って、相互結合構造
の抵抗が許容できないほど高くならないように、アスペ
クト比の増加したコンタクトホールとバイアホールに使
用できる薄いバリヤ層を持つ相互結合構造の形成を可能
とすることが望ましい。
【0017】
【課題を解決するための手段】本発明によって形成され
る相互結合構造は、許容できない抵抗値を持つことな
く、アスペクト比の増加したコンタクトホールとバイア
ホールに使用される能力を有する。上記の相互結合構造
は、集積回路の導電面から、その表面の上を延びるチャ
ネルを介して延在するよう形成される。チャネルは通
常、二酸化珪素等の材料の絶縁層に形成される。
【0018】相互結合構造は、バリヤ層と金属コンポー
ネントとを含む。バリヤ層は導電面とチャネルの内壁を
被覆する。金属コンポーネントはバリヤ層の上面にあっ
て、集積回路内の金属層に連結されてもよい導電面を提
供する。
【0019】バリヤ層は耐熱金属の層と金属窒化物の層
の組合せによって形成される。耐熱金属の層は下地導電
面とチャネルの内壁に堆積する。耐熱金属は下地導電面
に対して高度に導電性のコンタクトとなる。
【0020】次に、金属窒化物の層が耐熱金属の層の上
に形成される。金属窒化物の層は、耐熱金属の層から延
びる130オングストローム未満の厚さを持つ。その結
果、チャネルには金属コンポーネントを形成するための
十分な幅が残っているので、相互結合構造は許容可能な
抵抗値を持つ。金属窒化物は、金属コンポーネントに対
する付着性の導電接続部ないしは導電結合部となって、
良好な電気的、構造的完全性を持つ相互結合構造を提供
する。金属窒化物の層は、金属窒化物を堆積させた後、
その金属窒化物をプラズマアニーリングしてその抵抗率
を減少させることによって形成できる。
【0021】バリヤ層が形成された後、金属の層をバリ
ヤ層の上に堆積させる。金属の層は、相互結合構造の金
属コンポーネントを形成する。その金属として、タング
ステンを使用してもよい。
【0022】本発明の更なる詳細を、添付図面を用いて
説明する。
【0023】
【発明の実施の形態】本発明によれば、相互結合構造
は、下地バリヤ層に適合する金属コンポーネントを持つ
ように形成される。金属コンポーネントの幅も充分大き
いので、相互結合構造は許容可能な抵抗値を持つ。この
ような相互結合構造は、集積回路の動作の速度を許容不
能に減少させたり有害な拡散の許容不能なリスクをもた
らすことなく、ゲート長0.25μm以下の集積回路に
使用できる。
【0024】図2の(a)〜(g)は、本発明による相
互結合構造の形成法を示す。図2の(a)は、集積回路
に形成された、コンタクトホールやバイアホール等のチ
ャネル200の断面図を示す。チャネル200は絶縁材
料201、例えば二酸化珪素又はその他のタイプの酸化
物の層内に形成される。絶縁材料201は、導電材料2
02の上面から上方に距離Hだけ延びている。
【0025】導電材料202はゲート、ソース、ドレイ
ン、メタルラインでもよいし、集積回路内の別のコンポ
ーネントと導電的に連結されるその他の導電コンポーネ
ントでもよい。導電材料は、珪素、ポリシリコン、ポリ
サイド(polyside)、珪化物、アルミニウム、銅、又は集
積回路内で用いられるその他の導電材料から構成でき
る。
【0026】チャネル200の内壁203は、導電材料
202の接触面204を取り囲む。チャネル200の幅
はWで、チャネル200の直径を跨いで測定されたもの
である。従って、チャネルのアスペクト比は高さHを幅
Wで除して計算される。例えば、0.25μm以下のゲ
ート長を用いて製造された集積回路では、幅Wが300
0オングストローム、高さHが10000オングストロ
ームとすると、そのチャネル200に対して、これは
3.33のアスペクト比となる。
【0027】チャネル200内に相互結合構造を形成す
るために、バリヤ層が絶縁材料201の上面と露出接触
面204に形成される。バリヤ層は、まず、露出導電材
料202と反応したときに良好な導電特性を持つ第1材
料の層を堆積させることによって、形成され得る。
【0028】次に、第2材料の層を第1材料の上に形成
する。第2材料は、相互結合構造の金属コンポーネント
に対する付着性導電コンタクトを作ることを可能とす
る。第2材料も、第1材料と下地導電材料への損傷材料
(damaging matarial) の拡散を抑制する。金属を堆積さ
せて金属コンポーネントを形成するとき、上記の損傷材
料は堆積する金属とその金属の副産物によってもたらさ
れる。第1材料と第2材料の組合せがバリヤ層を構成す
る。
【0029】図2の(b)は、バリヤ層における第1材
料205の層の堆積を示している。本発明によれば、バ
リヤ層の第1材料205は、チャネル200の内壁20
3と導電材料202の露出接触面204とを含む絶縁材
料201の表面に堆積する。一実施形態では、第1材料
205の堆積層の厚さは、チャネルの内壁203の上部
コーナーから測定して300オングストローム未満であ
る。別の実施形態では、材料の第1層は、使用する堆積
プロセスによって、チャネルの内壁203から25オン
グストローム〜100オングストロームの範囲で延在す
る厚さを持つ。
【0030】第1材料205はチタン、コバルト、タン
タリウム、モリブデン等の耐熱金属とすることができ
る。第1材料205が耐熱金属で、下地導電材料202
が珪素又は加熱されたポリシリコンのときは、導電材料
202の表面204で耐熱金属の珪化物が形成される。
第1材料205として使用するには耐熱金属が望ましい
が、その理由は、それらがシリコンベースの材料(下に
くる導電材料202を構成する場合が多い)に対する高
度に導電性のコンタクトを提供するからである。しかし
ながら、下地導電材料202がシリコンベースでないと
き、例えば導電材料202がアルミニウムか銅のメタル
ラインのときは、耐熱金属を使用してもよい。
【0031】バリヤ層の第1材料205は、従来の堆積
技術、例えば化学的気相堆積法(「CVD」)や物理的
気相堆積法(「PVD」)を使って堆積させることがで
きる。CVDプロセスでは、ウェーハを化学的気相堆積
チャンバ内に装填する。次に反応性ガスをウェーハ表面
に供給し、そこで熱誘導化学反応が起こって、処理され
るウェーハ表面に薄膜層を形成する。
【0032】PVDプロセスでは、ウェーハは物理的気
相堆積チャンバ内に置かれ、チャンバはアルゴン等の気
体で充満される。チャンバ内に電場を作ることによっ
て、その気体から正に帯電したイオンを含むプラズマが
発生する。正に帯電したイオンは加速して、チャンバ内
に取り付けられたターゲット材料に衝突する。ターゲッ
ト材料の原子はその結果、ターゲットから飛び出されて
ウェーハ上に堆積して、ウェーハの表面にターゲット材
料の層を形成する。
【0033】正に帯電したイオンを高密度プラズマPV
Dチャンバ内で発生させるために、独立したRF信号を
チャンバに誘導的に結合してもよい。高密度プラズマP
VDチャンバは、ウェーハへのターゲット材料の誘引を
改善するために、ウェーハサポートに結合された更に別
のRF信号を含んでもよい。
【0034】本発明によるバリヤ層に対して耐熱金属2
05を堆積させるために使用される商業的に利用可能な
2つのPVDプロセスはCoherentプロセスとVectra I
MPプロセスで、ここにIMPはイオン化メタリックプ
ラズマ(Ionized Mettalic Process)を表す。Coherentプ
ロセスとVectra IMPプロセスはいずれも、これらの
プロセスを実行するPVDチャンバと共に、カリフォル
ニア州サンタクララのアプライド・マテリアルズ・イン
コーポレイテッドによって提供される。
【0035】Coherentプロセスを実行するためのチャン
バは、DCバイアスされたターゲットと、接地されたウ
ェーハサポートとを含む。上記のように、アルゴンガス
がチャンバに供給され、ターゲットとウェーハサポート
間に電圧を加えてプラズマを形成する。Coherent堆積プ
ロセスでは、DCエネルギーが8000〜20000W
の範囲の電力でターゲットに供給される。ウェーハの温
度は200〜300℃の範囲にセットされ、チャンバの
圧力は3〜10mTorrの範囲にセットされる。
【0036】ターゲットから飛び出されたターゲット材
料の経路を案内するために、Coherentプロセスチャンバ
はコリメータを備えている。コリメータは、ウェーハサ
ポートの上面に実質的に平行になるように、チャンバ内
のターゲットとウェーハサポート間で支持された金属デ
ィスクである。中空のコラムがそのディスクの中を延
び、ウェーハサポートの上面に実質的に垂直をなしてい
る。中空のコラムは、堆積するターゲット材料用のガイ
ドとして働く。
【0037】飛び出たターゲット材料がコリメータに達
すると、ウェーハサポートに実質的に垂直な軌跡を辿る
ターゲット材料が中空コラムを通過する。それ以外のタ
ーゲット材料は阻止される。これは、ウェーハ表面のコ
ンタクトホールとバイアホールの開口部におけるターゲ
ット材料の過度な蓄積を抑制する。垂直方向のターゲッ
ト材料だけをウェーハに通すことによって、より均一で
形状追従性のあるターゲット材料の層が堆積する。
【0038】Vectra IMPプロセスを実行するための
チャンバは、DCバイアスされたターゲットと、RF信
号発生器に連結されたウェーハとを含む。チャンバは更
に、チャンバの内側に設けられたコイルを含む。コイル
は別のRF発生器に連結されている。
【0039】アルゴンガスがチャンバに供給され、エネ
ルギーが注入されてプラズマを形成する。Vectra IM
P堆積プロセスでは、ウェーハサポートに連結されたR
F信号ソースとコイルに連結されたRF信号ソースとに
よってRFエネルギーが提供される。ウェーハサポート
に提供されるRF信号は、300〜450KHzの範囲
の周波数と、100〜1000Wの範囲の電力を持つ。
コイルに提供されるRF信号は、1〜5MHzの範囲の
周波数と、1000〜4000Wの範囲の電力を持つ。
ウェーハの温度は、100〜300℃の範囲にセットさ
れ、チャンバの圧力は、10〜40mTorrの範囲に
セットされる。ウェーハは50〜200Vの範囲のDC
バイアス電圧を獲得する。
【0040】コイルに提供されるRF信号は、飛び出し
たターゲット材料をイオン化する電場をチャンバ内に提
供する。イオン化したターゲット材料とウェーハとの間
の電位によってターゲット材料がウェーハ表面に誘引さ
れる。その結果、ターゲット材料はウェーハに対して比
較的垂直な軌跡を描いてウェーハの表面に衝突する傾向
がある。これが第1材料205の形状適合層の堆積をも
たらす。
【0041】第1材料205が堆積した後、バリヤ層の
第2材料の層がその第1材料に重なるように形成され
る。第2材料206の層は、図2の(c)に示すよう
に、従来の物理的気相堆積法や化学的気相堆積法を使っ
て堆積させることができる。化学的気相堆積法を使用す
るときは、第2材料206の層の形成は、第2材料20
6を処理してその抵抗率を減少させるステップを含んで
もよい。図2の(d)に示すように、プラズマアニーリ
ングを用いてこの抵抗率の削減を達成してもよい。
【0042】第2材料206の層は、チャネル200内
で第1材料205の上面207から延びる130オング
ストローム未満の厚さを持つ。別の実施形態では、第2
材料の厚さは25〜75オングストロームの範囲にあ
る。第1材料205と第2材料206の合計の厚さはチ
ャネル内壁203から400オングストローム未満であ
る。第1材料205と第2材料206の合計の厚さはチ
ャネル内壁203から75〜175オングストロームの
範囲内とすることが望ましい。
【0043】上述のように、第2材料206は、相互結
合構造の金属コンポーネントに対して付着性のある導電
コンタクトを形成する能力を持つ導電材料である。従っ
て、金属窒化物を第2材料206として使用できる。二
元金属窒化物のMxyと三元金属シリコン窒化物Mx
yz(ここにMはチタン、ジルコニウム、ハフニウ
ム、タンタリウム、モリブデン、タングステンその他の
金属で、x、y、zは使用される金属、珪素、及び窒素
の別の定量的コンビネーションを示す)の両者をバリヤ
層の金属窒化物206として使用してもよい。
【0044】タングステンを相互結合構造の金属コンポ
ーネントとして使用するときは、窒化チタンが第2材料
206用の良好な選択物となる。窒化チタンはタングス
テンに対する優れた付着性を持ち、タングステン及びタ
ングステンの堆積中に生じた副産物の拡散に対する良好
なバリヤとして役立つ。タングステンを堆積させて金属
コンポーネントを形成するときは、バリヤ層は六弗化タ
ングステン(WF6)に曝される。タングステンの堆積
中、弗素はタングステンから分離してバリヤ層内に拡散
しようとする。弗素は高度の腐食性があり、バリヤ層の
第1材料、及び下地導電材料202の中に抵抗性の高い
領域を形成する場合がある。
【0045】窒化チタンは弗素の拡散を抑制するのに好
適で、弗素は第1材料205やその下にくる導電材料の
いずれにも到達しない。従来は、拡散防止のために、1
50オングストロームを超える窒化チタンの厚い層が相
互結合構造に使用されていた。本発明によれば、プラズ
マアニーリングされた堆積窒化チタンは、150オング
ストローム以下の、25〜75オングストロームの範囲
の厚さで使用できる。
【0046】第2材料206は、化学的気相堆積を実行
できるチャンバ内で堆積される。窒化チタン材料の化学
的気相堆積は、金属有機(metallo-organic) チタン化合
物の使用によって達成してもよい。上記の化合物の一つ
はテトラキス(ジアルキルアミド)チタン(Ti(N
2)4)で、ここにRは各発生時点で独立に、例えば1
〜5個の炭素原子から成るアルキル基である。Ti(N
(CH3)2)4の化学式を持つテトラキス(ジメチルアミ
ド)チタン(TDMAT)の使用は一般的である。キャ
リヤガス、例えばヘリウム、アルゴン、窒素、又は水素
はその化合物をCVDチャンバに運び込み、エネルギー
が注入される。エネルギーは熱CVDの場合は加熱ソー
スによって発生し、プラズマ強化CVDの場合はRF信
号ソースによって発生する。活性化された化学蒸気はウ
ェーハ表面と反応して、材料の薄層をウェーハ上に形成
する。
【0047】TDMAT化学蒸気を使用するときは、窒
化チタン膜がウェーハ表面に堆積する。第2材料206
としての窒化チタンの堆積を促進するために、ウェーハ
温度は340〜390℃の範囲にセットされ、処理チャ
ンバ圧力は0.5〜2.0Torrの範囲にセットされ
る。本発明の実施形態に使用可能な窒化チタン堆積用の
従来のCVDプロセスはSandhu他に付与された、米国特
許第5,246,881号に開示されている。
【0048】しかしながら、窒化チタン等の第2材料2
06のCVD堆積層は、かなりの炭素量を含む。これが
第2材料206の堆積層を化学的に反応性にする。その
結果、膜が空気その他の酸素含有ガスに曝されると、酸
素が膜の中に吸収される。この酸素吸収は制御不能なの
で、第2材料206の安定性が損なわれ、第2材料20
6の抵抗率は不利な方向に増加する。これによって、集
積回路に形成されるデバイスの信頼性が劣ったものにな
るかもしれない。
【0049】空気に露出されると、CVD堆積窒化チタ
ン膜のシート抵抗率は、約10000μΩ−cm/sq
から最大約100000μΩ−cm/sqまでの値に増
加する場合がある。これは、相互結合構造のバリヤ層の
第2材料206として堆積窒化チタンを使用するときに
は極めて望ましくない。約600μΩ−cm以下のオー
ダーの抵抗率が望ましい。
【0050】図2の(d)に示すように、CVD堆積第
2材料206は、その抵抗率を削減するためにプラズマ
アニーリングしてもよい。単一のプラズマアニーリング
か逐次的なプラズマアニーリングのいずれかを使って膜
206の抵抗率を削減する。「半導体ウェーハ上の膜の
構造(Construction of a Film on a SemiconductorWaf
er)」と題する、1997年2月28日出願のChern他
による米国特許出願は、第2材料206の抵抗率の削減
のために使用可能な単一及び逐次プラズマアニーリング
の双方を開示している。
【0051】本発明によれば、窒化チタン等のCVD堆
積第2材料206は不活性のプラズマ含有高エネルギー
イオンを使ってプラズマアニーリングされ得る。RFプ
ラズマアニーリング時のウェーハに対する誘起バイアス
電圧が、ウェーハのイオンボンバードを可能にする。本
発明によってプラズマアニーリングされた窒化チタンが
空気や酸素や水蒸気に曝されると、酸素は吸収されない
か、或いは、バイアス電圧をウェーハに印加しなかった
場合と比べて、吸収の程度がはるかに小さくなる。
【0052】本発明によって堆積、アニーリングされる
窒化チタンも、従来の金属有機チタン化合物の熱CVD
で生産された窒化チタンに比べて、結晶性が高く、より
多くの窒素を含み、酸素と炭素の含有量が少なくなる。
本発明によってアニーリングされた堆積窒化チタン膜
も、低い安定したシート抵抗率を持つ。
【0053】本発明の正確な物理メカニズムは分かって
いない。しかしながら、バイアスされた基板上の堆積材
料の高エネルギーイオンボンバードが膜の密度を高める
と考えられる。この結果、膜の抵抗が削減され、拡散に
対するバリヤとして働く膜の能力が増大する。第2材料
206の抵抗の削減は、許容可能な抵抗値を持つ相互結
合構造の形成を補助する。第2材料206のバリヤ特性
の向上が第2材料206の薄層化を可能にするので、本
発明による薄いバリヤ層をもたらす。
【0054】本発明の一実施形態では、CVD堆積され
た第2材料206のアニーリング用のプラズマの形成に
用いられるガスは任意のガスでよいが、窒素、アンモニ
ア、アルゴン等の非酸素及び炭素含有ガスであることが
望ましい。窒素は窒化チタン材料のパッシベーション(p
assivation)に効果がある。別法として、堆積材料は、
イオンソース等の非気体種から発生するイオンによって
ボンバードできる。堆積した第2材料206のプラズマ
処理は、その粒子性能、ステップカバレッジ、堆積速
度、又はバリヤ性能に不利な影響を与えない。
【0055】第2材料206の上記の堆積とプラズマア
ニーリングは、化学的気相堆積とプラズマアニーリング
の両者に配慮した任意のチャンバ、又はチャンバのセッ
トで実行できる。しかしながら、第2材料206の堆積
とプラズマアニーリングの両者が同一チャンバで行なわ
れるならば有益である。これは、堆積チャンバからアニ
ーリングチャンバまでの移動時の、酸素等の汚染物質に
対する第2材料206の露出を排除する。上記の露出
は、第2材料206の抵抗率が許容不能なレベルに増加
するような欠陥をもたらす可能性がある。
【0056】従って、1996年7月12日出願のZhao
他による米国特許出願第08/680,724号、発明の名称「化
学蒸着チャンバ内のガス流路におけるペデスタル周辺の
コンポーネント」に記載されているCVDチャンバを使
用してもよい。この出願に記載されているチャンバ13
0を図3に概略的に示す。チャンバ130は、ガスを処
理チャンバ135に供給するためのシャワーヘッド13
4と、処理されるウェーハを支持するためのウェーハサ
ポート132とを含む。ウェーハサポート132は抵抗
性のコイル(図示せず)によって加熱され、熱的に活性
化された反応、例えば堆積中に行なわれる反応のための
ウェーハ温度をセットする。
【0057】ウェーハサポート132は接地され、シャ
ワーヘッド134は整合回路網252を介して高周波
(RF)信号ソース136に接続されている。プラズマ
アニーリングの間、ガスがチャンバに供給されて、RF
信号ソース136によってシャワーヘッド134に提供
されるRF信号からエネルギーが注入される。その結
果、ガスはプラズマ254に変換され、そのプラズマ
が、ウェーハサポート132によって支持されるウェー
ハをボンバードするイオンを提供する。
【0058】第2材料206の堆積とプラズマアニーリ
ングの両者を実行するには、カリフォルニア州サンタク
ララのアプライド・マテリアルズ・インコーポレイテッ
ドによって「TxZ Chamber」の商標で提供さ
れるチャンバを使用できる。
【0059】本発明によるプラズマアニーリングを実行
するために使用可能な別のチャンバは、発明の名称「半
導体ウェーハ上の膜の構造」と題する1997年2月2
8日申請のChern他による米国特許出願に開示されてい
る。一つ以上のチャンバを使ってCVD堆積とプラズマ
アニーリングを実行するときは、ウェーハをCVDチャ
ンバからアニーリングチャンバまで移動する間、真空を
維持することが望ましい。
【0060】図3に示すチャンバ130内で窒素を使っ
てCVD堆積された窒化チタンの単一プラズマアニーリ
ングを実行するには次の手順に従う。プラズマアニーリ
ングプロセスを図3に示すチャンバ130に関して説明
するが、当業者であれば、プラズマアニーリングが、上
述のように、多数の異なるチャンバで実行できることを
充分理解されるであろう。
【0061】相互結合構造が形成されるウェーハは、ウ
ェーハサポート132の上に、シャワーヘッド134か
ら約0.3〜0.8インチ、好ましくは0.6〜0.7
インチの間隔をあけて配置される。シャワーヘッド13
4を介して処理チャンバ135に導入される窒素ガスに
RFエネルギーを加えることによって、活性イオンが得
られる。RFエネルギーは、シャワーヘッド134に連
結されたRF信号ソースから供給される。700〜10
00Wの電力を持つ約350KHzのRF信号が供給さ
れる。
【0062】シャワーヘッド134にRF電力を供給し
てウェーハサポート132と処理チャンバ135の壁を
接地した状態で、−100〜−200Vの間のDC自己
バイアス電圧がウェーハ上に誘導される。DC自己バイ
アス電圧は、ウェーハとアースの間で−100〜−20
0Vの範囲であることが望ましい。これは、ウェーハ表
面の第2材料206に高エネルギーで衝突するようにイ
オンを誘引するのに充分である。プラズマアニーリング
の間、処理チャンバの圧力は0.5〜2.0Torrの
範囲にセットされる。アニーリングの結果、堆積窒化チ
タンはパッシベートされて密度を高められるので、長期
間安定性を維持する。プラズマアニーリングは20〜4
0秒間、実行される。
【0063】本発明の代替実施形態では、バリヤ層の第
2材料206のプラズマアニーリング時に、窒素と水素
の混合体を窒素の代わりに使用している。図3に示すチ
ャンバ130を使用するときは、ウェーハをウェーハサ
ポート132の上に、シャワーヘッドから約0.3〜
0.8インチ、好ましくは0.6〜0.7インチの間隔
をあけて配置する。
【0064】窒素と水素の3:1の混合体から構成され
るガスが、シャワーヘッド134を介して処理チャンバ
135に導入される。窒素と水素の混合体は約300s
ccmの窒素流量で導入される。次に、RFソース13
6が整合回路網252を介して350KHzで750W
のRF電力を供給して、シャワーヘッド134にRF信
号を発生させる。
【0065】上記のガス混合体は窒素対水素比3:1を
持つが、3:1と1:2の間の任意の比率を使用でき
る。一般に、混合体の水素の割合が大きいほど長期的に
安定した膜になる。しかしながら、プラズマ中の水素が
多すぎると、膜の中の水素と炭素の間で結合してポリマ
ーを形成し、膜の抵抗率を増加させる。
【0066】正に帯電した窒素と水素イオンを含むプラ
ズマは、シャワーヘッド134に供給されたRF電力の
影響下で形成される。プラズマは通常、10〜35秒間
維持される。上記のように、処理チャンバ壁とウェーハ
サポート132とは接地されている。シャワーヘッド1
34は−150〜−450Vの間、通常は−400Vの
負のバイアスを獲得する。ウェーハは、−100〜−2
00Vの間、通常は−150Vの負のバイアスを得るた
めに自己バイアスがかけられる。この負のバイアス電圧
はボンバードの期間中ほぼ一定を保つ。
【0067】ボンバードの期間中、プラズマからの正に
帯電したイオンは電圧勾配によってウェーハの表面へと
加速される。これによってイオンがウェーハ表面をボン
バードして、50〜100オングストロームの深さに貫
入(penetrating)する。プラズマからの活力ある中性原
子粒子もウェーハをボンバードするだろう。
【0068】イオンボンバードの結果、堆積した材料の
圧縮が起こり、厚さが20〜50%縮小する。縮小はウ
ェーハの温度、プラズマ処理時間とエネルギーに依存す
る。要望に応じて、窒化チタンの更なる層が継続的に堆
積、アニーリングされる。
【0069】アニーリングが完了した後、得られたアニ
ーリング済みの窒化チタン膜は多くの特性が改善され
る。酸素含有量が20〜25%削減されるので、酸素の
構成は堆積したアニーリング済材料の1%未満になる。
膜の密度は3.1g/cm3から約3.9g/cm3に増
加する。堆積膜に取り込まれる炭素の割合は25%以上
削減されて、炭素の構成は堆積材料の3%になる。
【0070】膜の構造に変化が起こり、膜の抵抗率は約
10000μΩ−cmの前処理レベルから150μΩ−
cmの低い値まで低下する。アニーリングされた膜が酸
素や空気や水蒸気に曝されると、酸素の吸収の程度は、
堆積膜がアニーリングされなかった場合よりもはるかに
少なくなる。プラズマアニーリングによって、堆積時の
膜の炭素と窒素がプラズマからの窒素で置換される。
【0071】窒素と水素の混合体を使ってプラズマを形
成すると、第2材料206の厚さの範囲が下記の場合、
次の抵抗率となる。すなわち厚さ50オングストローム
以下では180〜210μΩ−cmの範囲の抵抗率、7
0〜80オングストロームでは320〜370μΩ−c
mの範囲の抵抗率、90〜110オングストロームでは
430〜550μΩ−cmの範囲の抵抗率になる。
【0072】本発明の更に別の実施形態では、アニーリ
ング用のプラズマを形成するために使用される窒素と水
素ガスの混合体は、他のガス、例えばアルゴン、ヘリウ
ム及びアンモニアを含んでもよい。希ガスの追加もイオ
ンボンバード処理を改善する。アルゴン原子はヘリウム
原子よりも重いので、アルゴン原子の方が優れたボンバ
ード能力を提供するだろう。
【0073】堆積した第2材料206の抵抗率を更に削
減するために、プラズマアニーリングプロセスを本発明
に従って変更して、2つの逐次プラズマアニーリングス
テップを含むようにしてもよい。第1アニーリングステ
ップは、上記のように、窒素と水素を含むガス混合体か
ら発生したプラズマを使って実行される。第2プラズマ
アニーリングステップは、酸素に対する水素の親和性が
抵抗率の増加をもたらすので、アニーリング済み材料か
ら水素を取り除くために実行される。
【0074】第2プラズマ内に形成されたイオンが、堆
積したアニーリング済みの材料をボンバードすることに
よって、材料の表面の水素を廃棄副産物として膜から放
出させる。水素の削減は、酸素に対する材料の親和性を
削減し、膜の抵抗率の低下と安定性の改善を可能にす
る。
【0075】第2逐次アニーリングステップでプラズマ
を形成するために使用されるガスは、窒素、又は、窒素
とヘリウム、アルゴン若しくはネオンとの混合体から構
成できる。ヘリウムが望ましいが、それは、ヘリウムが
窒素分子のイオン化を促進して、N+、N2+、N3+及
びN4+イオンの再結合の確率を減少させるからであ
る。窒素とヘリウムの混合体は窒素単体の使用より望ま
しい。というのは、ヘリウムベースのプラズマイオンは
イオン化効率を高めることができるので、イオンの反応
性を促進すると共に、より大きな貫入深さを達成するか
らである。貫入深さの増大は、より大量の水素の排斥(d
isplacement)をもたらすので、堆積材料の抵抗率の削減
を最大にするだろう。更に、ヘリウムの小さな質量は、
水素原子の脱出によって堆積材料内に残された、窒素イ
オンで充填するには小さすぎる空孔(vacancies)の充填
を可能にする。
【0076】本発明によれば、ウェーハは、チャンバ、
例えば図3のチャンバ130内に置かれ、第2材料20
6の層は、上記のように、第1材料205の上にCVD
蒸着される。堆積する第2材料206は窒化チタンでよ
い。
【0077】第2材料206の層は次に、同一チャンバ
130内で第1プラズマアニーリングプロセスを受け
る。ウェーハ114はウェーハサポート132の上にあ
るが、シャワーヘッド134から約0.3〜0.8イン
チ離れている。ウェーハはシャワーヘッド134から
0.6インチと0.7インチの間にあることが望まし
い。
【0078】イオンボンバードは、まずガスをシャワー
ヘッド134を介して処理チャンバ135内に移送する
ことによって達成される。本発明の一実施形態では、ガ
スは2:3の窒素対水素比率を持つ窒素と水素の混合体
で、約600sccmの窒素流量で処理チャンバ135
に導入される。処理チャンバ135の圧力はぼぼ1.0
Torrにセットされ、ウェーハ温度は350〜450
℃の間にセットされる。本発明の別の実施形態では、ガ
スは3:1と1:2の間の窒素対水素比率を持つ混合体
で構成してもよい。
【0079】次に、第1アニーリングプロセスでは、R
Fソース136がシャワーヘッド134にRF信号を供
給する。これによって、ガスは正に帯電したイオンを含
むプラズマを形成する。RFソース136は例えば35
0KHzで750WのRF電力を供給する。通常、プラ
ズマは20秒〜40秒の期間、維持される。RFソース
136は、この他に、1MHz以下の周波数で750W
のRF電力を供給してもよい。
【0080】RFソース136からの電圧の反復サイク
ルは、ウェーハに負のバイアスを生じるウェーハ周辺の
電子の過剰をもたらす。シャワーヘッド134は−20
0〜−450Vの間、通常は−400Vの負のバイアス
を獲得する。処理チャンバ135とウェーハサポート1
32は接地され、ウェーハの負のバイアスは−100〜
−250Vの間、通常は−200Vで、イオンボンバー
ドの期間中ほぼ一定に保たれる。
【0081】イオンボンバードの間、プラズマからの正
に帯電したイオンは電圧勾配によってウェーハ114の
表面へと加速されて、ウェーハの表面を50〜100オ
ングストロームの間の深さまで貫入する。プラズマから
の活力ある中性原子粒子もウェーハをボンバードするで
あろう。第1アニーリングが完了した後、処理チャンバ
135がパージされる。
【0082】次に、第2アニーリングプロセスが同一チ
ャンバ130で開始される。本発明の一実施形態では、
プラズマ発生ガスは窒素だけである。ガスはほぼ500
〜1000sccmの窒素流量で処理チャンバに導入さ
れる。処理チャンバ135の圧力はほぼ1.0Torr
にセットされ、ウェーハ温度は350〜450℃の間に
セットされる。
【0083】本発明の別の実施形態では、ガスは0.2
〜1.0の間の窒素対ヘリウム比率を持つ窒素とヘリウ
ムの混合体とすることができる。その他の、窒素と、ア
ルゴンかネオンかヘリウムかそれらの組合せのいずれか
との組合せを含むガスも使用することができる。
【0084】次に第2アニーリングプロセスでは、RF
ソース136がシャワーヘッド134にRF信号を供給
する。これによって、ガスは正に帯電したイオンを含む
プラズマを形成する。RFソース136は、シャワーヘ
ッド134にRF信号を発生させるために、マッチング
ネットワーク252を介して300〜400KHzで3
00〜1500WのRF電力を供給してもよい。通常、
プラズマは20〜40秒の期間、維持される。RFソー
ス136は、その他に、13.56MHz以下の異なる
周波数で300〜1500WのRF電力を供給してもよ
い。ソース136の電力は、異なるサイズのウェーハを
処理する必要に基づいて増減される。
【0085】第1アニーリングの場合と同様、RFソー
ス136からの電圧の反復サイクルは、ウェーハに負の
バイアスを生じるウェーハ周辺の電子の過剰をもたら
す。シャワーヘッド134は−200〜−450Vの
間、通常は−400Vの負のバイアスを獲得する。処理
チャンバ135とウェーハサポート132は接地され、
ウェーハの負のバイアスは−100〜−250Vの間、
通常は−200Vで、イオンボンバードの期間中ほぼ一
定に保たれる。
【0086】第2イオンボンバードの間、プラズマから
の正に帯電したイオンは電圧勾配によってウェーハの表
面へと加速される。イオンは第2材料の表面を貫入し
て、堆積したアニーリング済の第2材料206の中の水
素分子を排斥する。プラズマからの活力ある中性原子粒
子もウェーハをボンバードするであろう。第2アニーリ
ングが完了した後、処理チャンバがパージされる。
【0087】窒素ガスが第2プラズマアニーリングに使
用されるときは、イオンは35〜50オングストローム
の間の深さまで貫入する。ガスが窒素とヘリウムの混合
体のときは、イオンは50〜100オングストロームの
間の深さまで貫入する。従って、窒素とヘリウムの混合
体によるアニーリングは、窒素のみを使用したアニーリ
ングより多数の水素分子を排斥することができる。
【0088】図3に示すチャンバ130内で逐次アニー
リングを実行するときは、堆積、第1アニーリング、及
び第2アニーリングをすべて同一チャンバ内で実行する
ことができる。従って、堆積と逐次アニーリングは原位
置で実行される。しかしながら、堆積と逐次アニーリン
グのプロセスステップは必ずしも原位置で実行する必要
はなく、別のチャンバを使用してもよい。
【0089】上記の単一及び逐次プラズマアニーリング
プロセスの処理時間を削減するために、RFソース13
6によって提供される信号の周波数と電力を増加しても
よい。プラズマアニーリングの処理時間の減少は、時間
当りのウェーハの処理数の増加をもたらす。これが各ウ
ェーの製造コストの削減となる。
【0090】例えば、窒素と水素を含むプラズマによる
上記の単一アニーリングを使用することによって、一チ
ャンバ当り1時間毎に約22.5枚のウェーハのスルー
プットになる。このスループットを増加させるために、
RF信号ソース136によって提供される信号の周波数
を300KHz〜2MHzの範囲になるように増加して
もよい。代替として、RF信号の周波数を300〜45
0KHzの範囲に維持する一方、電力を750〜120
0Wの範囲になるように増加してもよい。上記の周波数
と電力の調節を使用することによって、一チャンバ当り
1時間毎に25枚のウェーハスループットをもたらすだ
け充分にプラズマアニーリング時間を削減できると考え
られる。
【0091】図2の(e)は、相互結合構造の金属コン
ポーネントとして働く導電材料208の堆積を示す。タ
ングステン等の多数の異種金属を導電材料208として
使用してもよい。導電材料208は、バリヤ層の第2材
料206の上面209に堆積させる。相互結合構造にお
ける導電材料208の堆積には、PVDやCVD等の多
くの従来の堆積技術を使用できる。
【0092】導電材料208は、第2材料206で囲ま
れたチャネル200内の残りの領域を充填するのが理想
である。しかしながら、許容可能な軽微な欠陥(図示せ
ず)が発生する場合があるので、第2材料206によっ
て囲まれた領域は必ずしも完全に充填されない。これら
の欠陥は、導電材料208の上面からチャネルの上部の
開口の下のごく浅い所まで延びるスパイク(spikes)を含
む。
【0093】図2の(f)は、相互結合構造を形成する
その次のステップを示す。図2の(f)に示すように、
等方性のエッチングを行なって、チャネル内壁203を
形成しない絶縁層201の表面からバリヤ層と導電材料
208とを除去する。第1材料205、第2材料20
6、及びチャネル200内に残る金属208が組み合わ
されて、相互結合構造300を形成する。第1材料20
5と第2材料206の組合せはバリヤ層301を形成す
る。
【0094】図2の(g)は、相互結合構造を収容する
材料201の絶縁層を覆う金属層211の形成を示す。
図2の(g)に示すように、材料、例えばポリシリコ
ン、アルミニウム、銅その他の材料の導電層が堆積及び
パターニングされて金属層211を形成する。堆積はP
VD、CVDその他の従来の手段によって達成可能で、
パターニングは従来のフォトリソグラフィ技術によって
達成できる。図2の(g)に示すように、相互結合構造
300は金属層211内のメタルライン212に導電的
に連結される。
【0095】図2の(f)と図2の(g)の双方の、得
られた相互結合構造300は、第1材料205と第2材
料206とから成るバリヤ層301と、金属コンポーネ
ント208を形成する導電材料とを含む。第1材料20
5は接触面204に対する高度に導電性の結合部を提供
する。第2材料206は第1材料205に導電的に連結
されて、金属コンポーネント208に対する付着性の導
電性結合部を提供する。金属コンポーネント208は、
メタルラインに連結するための導電リードを提供して、
接触面204を集積回路内の素子に連結する。
【0096】バリヤ層301の厚さの削減の結果、相互
結合構造300に使用される金属コンポーネント208
の幅は、0.25μm及びサブ0.25μmの集積回路
で従来の金属コンポーネントよりも増加する。相互結合
構造300の抵抗は、これによって、厚さ400〜50
0オングストロームのバリヤ層を持つ従来の相互結合構
造の抵抗に比べて削減される。例えば、チャネル200
の幅Wが1000オングストロームでバリヤ層301が
75〜175オングストロームの間のときは、金属コン
ポーネント208は650〜850オングストローム範
囲の幅を持つ。これは、1000オングストローム幅の
チャネルの、従来の金属コンポーネントの幅、0〜20
0オングストロームよりもかなり広い。
【0097】下表は、本発明によって形成された各種相
互結合構造の抵抗値を示す。表では、各行は、本発明に
よる相互結合構造を形成する処方(レシピ)に対応す
る。各相互結合構造は、0.40〜0.25μmの範囲
の幅と、3.5〜4.5の範囲のアスペクト比を持つチ
ャネル内に形成された。
【0098】
【表1】 第1材料の欄は、各相互結合構造のバリヤ層301の第
1材料205の層を堆積させるために使用されたプロセ
スを示す。この欄は、第1材料205に使用された材料
と第1材料205の厚さも示す。
【0099】同様に、第2材料の欄は、バリヤ層301
の第2材料206の層の形成に使用された堆積プロセス
とアニーリングプロセスを示す。この欄は、第2材料2
06に使用された材料と第2材料206の厚さも示す。
抵抗の欄は、各処方によって形成された相互結合構造の
抵抗値を示す。
【0100】例えば、第1行に記載された処方によって
形成される相互結合構造は第1材料205にチタンを使
用し、第2材料206に窒化チタンを使用する。チタン
の層は、Coherentプロセスを実行するチャンバを用い
て、厚さが100オングストロームになるようにPVD
堆積する。窒化チタンの層は、窒化チタンをCVD堆積
させた後、窒素と水素の混合体から成るプラズマ中で、
窒化チタンを単一プラズマアニーリングすることによっ
て形成される。堆積とプラズマアニーリングの後の窒化
チタンの厚さは50オングストロームである。
【0101】第1行の処方によって形成される相互結合
構造の抵抗は1.92Ωで、これは最大許容値3.0Ω
よりも充分に低い。実際、第1〜第8行に示す処方は、
すべて3.0Ω未満の抵抗値を持つ相互結合構造の形成
をもたらす。これは、第1材料205と第2材料206
によって形成される薄いバリヤ層の結果である。
【0102】第1〜第8行に示す処方によってもたらさ
れるバリヤ層は、すべて75〜175オングストローム
の範囲内に入る。これらの厚さを持つバリヤを、従来の
400〜500オングストロームの厚さを持つバリヤ層
の代わりに使用すると、より幅広の、より抵抗の低い金
属コンポーネントが形成できる。その結果、本発明によ
る相互結合構造は、従来方法で形成された相互結合構造
より低い抵抗値を持つ。
【0103】一般に、本発明によって形成される相互結
合構造は、0.25μm以下のゲート長を持つ集積回路
で、許容可能な抵抗値を持つことが判明している。特
に、0.25μm〜0.18μmのゲート長を持つ集積
回路で、許容可能な相互結合構造が、下記の第1材料2
05を使用して本発明によって形成された。すなわち、
50〜100オングストロームの範囲の厚さを持つ Coh
erent 堆積のチタン、40〜60オングストロームの範
囲の厚さを持つVectra IMP堆積のチタン、又は40
〜60オングストロームの範囲の厚さを持つCVD堆積
のチタンである。25〜100オングストロームの範囲
の厚さを持つプラズマアニーリングされた堆積窒化チタ
ンが、0.25μmと0.18μmのゲート長を使った
集積回路用のバリヤ層の許容可能な第2材料206とし
て役立った。上記の第1材料と第2材料の寸法は、シリ
サイド表面とメタルラインとの導電的な連結に使用され
た場合に特に有効であることが判明した。
【0104】本発明を、0.25μm及びサブ0.25
μmテクノロジーを使って製造された集積回路における
その効用に関して説明したが、本発明は上記の集積回路
の実施に限定されるものではない。本発明による相互結
合構造は、接触抵抗とバイア抵抗を削減するために、よ
り大寸のテクノロジーで製造される集積回路にも形成で
きる。更に、本発明によって形成されるバリヤ層の厚さ
の削減は、バリヤ層の形成時に堆積する材料の量を少な
くする。各バリヤ層に対する堆積容積の削減は、チャン
バ洗浄の間に、単一チャンバでの、より多くのバリヤ層
の形成を可能にする。これがチャンバの保全コストを削
減し、従ってチャンバにおけるウェーハの処理コストを
削減する。
【0105】本発明を特定の典型的な実施形態に関して
説明したが、特許請求の範囲の請求項に規定される発明
の精神と範囲から逸脱することなく当該技術に精通する
者によって様々な修正と変更が実行できることは、充分
理解されるだろう。
【図面の簡単な説明】
【図1】多重金属層を含む集積回路の一部の断面図を示
す。
【図2】(a)〜(g)は、本発明による相互結合構造
の形成法を示す集積回路の一部の部分断面図である。
【図3】図2の(c)と図2の(d)に示す相互結合構
造のバリヤ層の金属窒化物の層を形成するために使用さ
れるチャンバを示す。
【符号の説明】
200…チャネル、201…絶縁材料、202…導電材
料、203…チャネルの内壁、204…導電材料の接触
面(導電面)、205…第1材料、206…第2材料、
207…第1材料の上面、208…導電材料、211…
金属層、212…メタルライン、300…相互結合構
造。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チー チャーン アメリカ合衆国, カリフォルニア州, サラトガ, ノールウッド ドライヴ 20110 (72)発明者 ジェニファー ツェング アメリカ合衆国, カリフォルニア州, サラトガ, デサンカー アヴェニュー 12471 (72)発明者 マイケル ダネック アメリカ合衆国, カリフォルニア州, サニーヴェイル, ブラックホーク ドラ イヴ 1538 (72)発明者 ロデリック シー. モーズリー アメリカ合衆国, カリフォルニア州, プレザントン, ディアヴィラ アヴェニ ュー 4337 (72)発明者 カール リタウ アメリカ合衆国, カリフォルニア州, パロ アルト, ブライアント ストリー ト 3278 (72)発明者 イヴォ ラーイジュメイカーズ アメリカ合衆国, アリゾナ州, フィニ ックス, イースト ビッグホーン アヴ ェニュー 2741

Claims (53)

    【特許請求の範囲】
  1. 【請求項1】 導電面の上方に延びている内壁を有する
    チャネルを通して前記導電面から延びる、集積回路内の
    構造であって、 前記導電面上及び前記チャネルの前記内壁上にある耐熱
    金属の層と、 前記耐熱金属の前記層上にある金属窒化物の層とを備
    え、前記金属窒化物の前記層は、前記耐熱金属の前記層
    からの厚さが130オングストローム未満である構造。
  2. 【請求項2】 前記金属窒化物の前記層は25〜75オ
    ングストロームの範囲の厚さを有する、請求項1に記載
    の構造。
  3. 【請求項3】 前記耐熱金属の前記層と前記金属窒化物
    の前記層の、前記チャネルの前記内壁からの合計の厚さ
    は、200オングストローム未満である、請求項1に記
    載の構造。
  4. 【請求項4】 前記構造が3000オングストローム以
    下の幅を有する、請求項1に記載の構造。
  5. 【請求項5】 前記構造の高さ対前記構造の幅の比が
    3.33以上である、請求項1に記載の構造。
  6. 【請求項6】 前記耐熱金属の前記層は、前記チャネル
    の前記内壁からの厚さが25〜100オングストローム
    の範囲にある、請求項1に記載の構造。
  7. 【請求項7】 前記耐熱金属は、チタン、タンタリウ
    ム、コバルト及びモリブデンから成るグループから選択
    された金属である、請求項1に記載の構造。
  8. 【請求項8】 前記金属窒化物は600μΩ−cm未満
    の抵抗率を有する、請求項1に記載の構造。
  9. 【請求項9】 前記金属窒化物は、チタン、ジルコニウ
    ム、ハフニウム、タンタリウム、モリブデン及びタング
    ステンから成るグループから選択された金属を含む、請
    求項1に記載の構造。
  10. 【請求項10】 前記金属窒化物の前記層上にある金属
    の層を更に含む、請求項1に記載の構造。
  11. 【請求項11】 前記金属窒化物は、前記金属に対して
    付着性のあるものである、請求項10に記載の構造。
  12. 【請求項12】 前記金属がタングステンである、請求
    項10に記載の構造。
  13. 【請求項13】 前記構造は3.0Ω以下の抵抗を有す
    る、請求項10に記載の構造。
  14. 【請求項14】 前記チャネルは3.33以上のアスペ
    クト比を有する、請求項13に記載の構造。
  15. 【請求項15】 導電面から延びている内壁を有するチ
    ャネルによって囲まれ、前記導電面から延びる、集積回
    路内の構造であって、 前記導電面上及び前記チャネルの前記内壁上にある約2
    5〜100オングストロームの範囲の厚さを有する耐熱
    金属の層と、 前記耐熱金属の前記層上にある金属窒化物の層とを備
    え、前記金属窒化物の前記層は、前記耐熱金属の前記層
    からの厚さが130オングストローム未満である構造。
  16. 【請求項16】 前記金属窒化物の前記層は25〜75
    オングストロームの範囲の厚さを有する、請求項15に
    記載の構造。
  17. 【請求項17】 前記耐熱金属の前記層と前記金属窒化
    物の前記層の、前記チャネルの前記内壁からの合計の厚
    さは、175オングストローム未満である、請求項15
    に記載の構造。
  18. 【請求項18】 前記チャネルは3.33以上のアスペ
    クト比を有する、請求項15に記載の構造。
  19. 【請求項19】 前記耐熱金属は、チタン、タンタリウ
    ム、コバルト及びモリブデンから成るグループから選択
    された金属である、請求項15に記載の構造。
  20. 【請求項20】 前記金属窒化物は、チタン、ジルコニ
    ウム、ハフニウム、タンタリウム、モリブデン及びタン
    グステンから成るグループから選択された金属を含む、
    請求項15に記載の構造。
  21. 【請求項21】 導電面の上方に延びている内壁を有す
    るチャネルを通して前記導電面から延びる集積回路内の
    構造を形成するための方法であって、(a)前記導電面
    上及び前記チャネルの前記内壁上に耐熱金属の層を堆積
    させるステップと、(b)前記耐熱金属の前記層上に金
    属窒化物の層を形成するステップとを有し、前記金属窒
    化物の前記層は、前記耐熱金属の前記層からの厚さが1
    30オングストローム未満である、方法。
  22. 【請求項22】 前記金属窒化物の前記層は25〜75
    オングストロームの範囲の厚さを有する、請求項21に
    記載の方法。
  23. 【請求項23】 前記耐熱金属の前記層と前記金属窒化
    物の前記層の、前記チャネルの前記内壁からの合計の厚
    さは、200オングストローム未満である、請求項21
    に記載の方法。
  24. 【請求項24】 前記ステップ(b)が、 前記耐熱金属の前記層上に前記金属窒化物を堆積させる
    ステップと、 前記金属窒化物をプラズマアニーリングするステップと
    を含む、請求項21に記載の方法。
  25. 【請求項25】 前記プラズマアニーリングのステップ
    が、 前記金属窒化物を、イオンを含む環境に曝すステップ
    と、 前記金属窒化物の前記層を電気的にバイアスして、前記
    環境からの前記イオンを前記金属窒化物に衝突させるス
    テップとを含む、請求項24に記載の方法。
  26. 【請求項26】 前記金属窒化物を、イオンを含む前記
    環境に曝す前記ステップが、 ガスを提供するステップと、 前記構造が形成されるウェーハの第1サイドの第1電極
    に第1RF信号を提供して、前記ガスにエネルギーを提
    供するステップとを含む、請求項25に記載の方法。
  27. 【請求項27】 前記ガスが、窒素、水素、アルゴン、
    ヘリウム及びアンモニアから成るグループから選択され
    た少なくとも一つのガスを含む、請求項26に記載の方
    法。
  28. 【請求項28】 前記金属窒化物は、チタン、タンタリ
    ウム、タングステン、ハフニウム、モリブデン及びジル
    コニウムから成るグループから選択された少なくとも一
    つの材料を含む、請求項26の方法。
  29. 【請求項29】 前記ガスは希ガスを含む、請求項26
    に記載の方法。
  30. 【請求項30】 前記金属窒化物を堆積させる前記ステ
    ップとプラズマアニーリングの前記ステップとは共に、
    単一チャンバ内で、前記金属窒化物を堆積させる前記ス
    テップの開始とプラズマアニーリングの前記ステップの
    完了の間、前記構造が形成されるウェーハを前記チャン
    バから移動することなく実行される、請求項24に記載
    の方法。
  31. 【請求項31】 前記金属窒化物を堆積させる前記ステ
    ップが化学的気相堆積法を用いて実行される、請求項2
    4に記載の方法。
  32. 【請求項32】 前記プラズマアニーリングのステップ
    が、 前記金属窒化物の第1プラズマアニーリングを実行する
    ステップと、 前記第1プラズマアニーリングを実行した後、前記金属
    窒化物の第2プラズマアニーリングを実行するステップ
    とを含む、請求項24に記載の方法。
  33. 【請求項33】 前記第1プラズマアニーリングを実行
    する前記ステップが、 前記金属窒化物を、イオンを含む第1環境に曝すステッ
    プと、 前記金属窒化物を電気的にバイアスして、前記第1環境
    からの前記イオンを前記金属窒化物に衝突させるステッ
    プとを含む、請求項32に記載の方法。
  34. 【請求項34】 前記第2プラズマアニーリングを実行
    する前記ステップが、 前記金属窒化物を、イオンを含む第2環境に曝すステッ
    プと、 前記金属窒化物を電気的にバイアスして、前記第2環境
    からの前記イオンを前記金属窒化物の前記層に衝突させ
    るステップとを含む、請求項33に記載の方法。
  35. 【請求項35】 前記金属窒化物を、イオンを含む第1
    環境に曝す前記ステップが、 第1ガスを提供するステップと、 前記第1ガスにエネルギーを提供して第1プラズマを発
    生させるステップとを含み、 前記金属窒化物を、イオンを含む第2環境に曝す前記ス
    テップが、 第2ガスを提供するステップと、 前記第2ガスにエネルギーを提供して第2プラズマを発
    生させるステップとを含む、請求項34に記載の方法。
  36. 【請求項36】 前記第1ガスは、窒素、水素、アルゴ
    ン、ヘリウム及びアンモニアから成るグループから選択
    された少なくとも一つのガスを含む、請求項35に記載
    の方法。
  37. 【請求項37】 前記第2ガスは、窒素、ヘリウム、ネ
    オン及びアルゴンから成るグループから選択された少な
    くとも一つのガスを含む、請求項35に記載の方法。
  38. 【請求項38】 前記金属窒化物を堆積させる前記ステ
    ップが化学的気相堆積法を用いて実行される、請求項3
    2に記載の方法。
  39. 【請求項39】 前記金属窒化物を堆積させる前記ステ
    ップとプラズマアニーリングの前記ステップとは共に、
    単一チャンバ内で、前記金属窒化物を堆積させる前記ス
    テップの開始とプラズマアニーリングの前記ステップの
    完了の間、前記構造が形成されるウェーハを前記チャン
    バから移動することなく実行される、請求項32に記載
    の方法。
  40. 【請求項40】 前記チャネルが3000オングストロ
    ーム以下の幅を有する、請求項21に記載の方法。
  41. 【請求項41】 前記チャネルが3.33以上のアスペ
    クト比を有する、請求項21に記載の方法。
  42. 【請求項42】 前記耐熱金属を前記ステップ(a)で
    物理的気相堆積法によって堆積させる、請求項21に記
    載の方法。
  43. 【請求項43】 前記耐熱金属を前記ステップ(a)で
    化学的気相堆積法によって堆積させる、請求項21に記
    載の方法。
  44. 【請求項44】 前記耐熱金属は、チタン、タンタリウ
    ム、コバルト及びモリブデンから成るグループから選択
    された金属である、請求項43に記載の方法。
  45. 【請求項45】 前記ステップ(b)に続いて、(c)
    前記金属窒化物の前記層上に金属の層を堆積させるステ
    ップを更に含む、請求項21に記載の方法。
  46. 【請求項46】 前記金属がタングステンである、請求
    項45に記載の方法。
  47. 【請求項47】 前記ステップ(c)に続いて、(d)
    前記耐熱金属の前記層、前記金属窒化物の前記層及び前
    記金属の前記層をエッチングして、前記耐熱金属の前記
    層、前記金属窒化物の前記層及び前記金属の前記層の、
    前記チャネルの外側にある部分を分解するステップを更
    に含む、請求項46に記載の方法。
  48. 【請求項48】 導電面の上方に延びている内壁を有す
    るチャネルで囲まれたバリヤ層を前記導電面上に形成す
    るための方法であって、(a)前記導電面上及び前記チ
    ャネルの前記内壁上に耐熱金属の層を約25〜100オ
    ングストロームの範囲の厚さに堆積させるステップと、
    (b)前記耐熱金属の前記層上に金属窒化物の層を堆積
    させるステップと、(c)前記金属窒化物の前記層をプ
    ラズマアニーリングするステップとを有し、前記金属窒
    化物の前記層は、前記ステップ(c)の完了後に、前記
    耐熱金属の前記層から延びる130オングストローム未
    満の厚さを有する、方法。
  49. 【請求項49】 前記ステップ(c)が、 ガスを提供するステップと、 前記ガスにエネルギーを提供して、イオンを含む環境を
    発生させるステップと、 前記金属窒化物を電気的にバイアスして、前記環境から
    の前記イオンを前記金属窒化物に衝突させるステップと
    を含む、請求項48に記載の方法。
  50. 【請求項50】 前記金属窒化物は、チタン、タンタリ
    ウム、タングステン、ハフニウム、モリブデン及びジル
    コニウムから成るグループから選択された少なくとも一
    つの材料を含む、請求項49の方法。
  51. 【請求項51】 前記ステップ(c)が、前記金属窒化
    物の第1プラズマアニーリングを実行するステップと、 前記第1プラズマアニーリングを実行した後、前記金属
    窒化物の第2プラズマアニーリングを実行するステップ
    とを含む、請求項48に記載の方法。
  52. 【請求項52】 前記チャネルが3000オングストロ
    ーム以下の幅を有する、請求項48に記載の方法。
  53. 【請求項53】 前記チャネルが3.33以上のアスペ
    クト比を有する、請求項52に記載の方法。
JP10122624A 1997-03-28 1998-03-27 集積回路用の相互結合構造 Pending JPH10313054A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/825,360 US20020033533A1 (en) 1994-11-14 1997-03-28 Interconnect structure for use in an integrated circuit
US08/825360 1997-03-28

Publications (1)

Publication Number Publication Date
JPH10313054A true JPH10313054A (ja) 1998-11-24

Family

ID=25243820

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10122624A Pending JPH10313054A (ja) 1997-03-28 1998-03-27 集積回路用の相互結合構造

Country Status (5)

Country Link
US (1) US20020033533A1 (ja)
EP (1) EP0867941A3 (ja)
JP (1) JPH10313054A (ja)
KR (1) KR19980080770A (ja)
TW (1) TW434824B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001257177A (ja) * 2000-02-01 2001-09-21 Applied Materials Inc 金属窒化物/金属スタックの処理
JP2002134436A (ja) * 2000-10-16 2002-05-10 Applied Materials Inc プラズマ処理装置及び方法並びに基板生産物
JP2004300579A (ja) * 2004-05-14 2004-10-28 Tri Chemical Laboratory Inc 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法、並びにulsi
US6909191B2 (en) 2000-03-27 2005-06-21 Kabushiki Kaisha Toshiba Semiconductor device
JP2011089207A (ja) * 2010-12-08 2011-05-06 Tri Chemical Laboratory Inc 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
JP2012074714A (ja) * 2011-11-14 2012-04-12 Toshiba Corp 半導体装置の製造方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100564417B1 (ko) * 1998-12-30 2006-06-07 주식회사 하이닉스반도체 반도체소자의 비트라인 형성방법
US6627542B1 (en) * 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
DE19958200B4 (de) * 1999-12-02 2006-07-06 Infineon Technologies Ag Mikroelektronische Struktur und Verfahren zu deren Herstellung
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7464145B2 (en) * 2002-07-11 2008-12-09 Intelliden, Inc. Repository-independent system and method for asset management and reconciliation
DE102005030466B4 (de) * 2005-06-28 2012-10-25 Infineon Technologies Ag Halbleiterwafer mit Verdrahtungsstrukturen und Halbleiterbauelement sowie Verfahren zur Herstellung desselben
US20070298600A1 (en) * 2006-06-22 2007-12-27 Suh Bong-Seok Method of Fabricating Semiconductor Device and Semiconductor Device Fabricated Thereby
US10553481B2 (en) 2017-08-31 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vias for cobalt-based interconnects and methods of fabrication thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4897282A (en) * 1986-09-08 1990-01-30 Iowa State University Reserach Foundation, Inc. Thin film coating process using an inductively coupled plasma
EP0545602A1 (en) * 1991-11-26 1993-06-09 STMicroelectronics, Inc. Method for forming barrier metal layers
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US5712193A (en) * 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
US5605724A (en) * 1995-03-20 1997-02-25 Texas Instruments Incorporated Method of forming a metal conductor and diffusion layer
US5612558A (en) * 1995-11-15 1997-03-18 Micron Technology, Inc. Hemispherical grained silicon on refractory metal nitride

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001257177A (ja) * 2000-02-01 2001-09-21 Applied Materials Inc 金属窒化物/金属スタックの処理
US6909191B2 (en) 2000-03-27 2005-06-21 Kabushiki Kaisha Toshiba Semiconductor device
JP2002134436A (ja) * 2000-10-16 2002-05-10 Applied Materials Inc プラズマ処理装置及び方法並びに基板生産物
JP2004300579A (ja) * 2004-05-14 2004-10-28 Tri Chemical Laboratory Inc 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法、並びにulsi
JP2011089207A (ja) * 2010-12-08 2011-05-06 Tri Chemical Laboratory Inc 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
JP2012074714A (ja) * 2011-11-14 2012-04-12 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
EP0867941A2 (en) 1998-09-30
TW434824B (en) 2001-05-16
US20020033533A1 (en) 2002-03-21
EP0867941A3 (en) 2000-04-05
KR19980080770A (ko) 1998-11-25

Similar Documents

Publication Publication Date Title
US6217721B1 (en) Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US7026238B2 (en) Reliability barrier integration for Cu application
KR0172772B1 (ko) 반도체 장치의 확산장벽용 산화루테늄막 형성 방법
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6355106B1 (en) Deposition of copper with increased adhesion
JP5269826B2 (ja) プラズマ処理による酸化物又は他の還元可能な汚染物質の基板からの除去
US6319728B1 (en) Method for treating a deposited film for resistivity reduction
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US20070059502A1 (en) Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
JP2000174026A (ja) 半導体フィ―チャの低温銅リフロ―を改善する構造と方法
US7846835B2 (en) Contact barrier layer deposition process
JP2011139093A (ja) 密着性を改良するための基板のプラズマアニーリング
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
KR20010051101A (ko) 텅스텐, 알루미늄 및 구리 제공을 위한 라이너, 장벽,및/또는 씨드 층으로서의 pvd-imp 텅스텐 및 질화텅스텐
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
JPH10313054A (ja) 集積回路用の相互結合構造
JP3277855B2 (ja) 半導体装置の配線形成方法
US6573181B1 (en) Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6051492A (en) Method of manufacturing a wiring layer in semiconductor device
KR100504269B1 (ko) WNx 증착을 위한 개선된 PECVD 및 CVD 공정
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JP3288010B2 (ja) 半導体素子の金属配線形成方法
JPH09171976A (ja) 高アスペクト比フィーチャの側面と底部に膜厚制御可能な被膜を付着する方法および装置
KR100459235B1 (ko) 반도체소자에서금속배선의장벽금속층형성방법
JP3639142B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081021

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090121

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090126

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090421