KR100661194B1 - 플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법 - Google Patents

플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법 Download PDF

Info

Publication number
KR100661194B1
KR100661194B1 KR1020017006255A KR20017006255A KR100661194B1 KR 100661194 B1 KR100661194 B1 KR 100661194B1 KR 1020017006255 A KR1020017006255 A KR 1020017006255A KR 20017006255 A KR20017006255 A KR 20017006255A KR 100661194 B1 KR100661194 B1 KR 100661194B1
Authority
KR
South Korea
Prior art keywords
reducing agent
chamber
substrate
plasma
oxide
Prior art date
Application number
KR1020017006255A
Other languages
English (en)
Other versions
KR20010080483A (ko
Inventor
수다 라씨
핑 수
주디 후앙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010080483A publication Critical patent/KR20010080483A/ko
Application granted granted Critical
Publication of KR100661194B1 publication Critical patent/KR100661194B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing

Abstract

본 발명은 산화물이나 다른 오염물을 환원하도록, 질소와 수소의 화합물, 통상적으로 암모니아와 같은 화합물을 사용하여 상대적으로 낮은 온도에서 후속 층이 그 위에 증착되기 전에 인시츄 플라즈마 환원 프로세스를 제공한다. 층들의 부착 특성은 개선되며 산소의 존재는 산화물 층의 통상적인 물리적 스퍼터 세정 프로세스와 비교하여 상대적으로 감소하였다. 이러한 프로세스는 듀얼 다마신 구조, 특히 구리가 적용되는 복합적인 요구에 대하여 특별히 유용할 것이다.

Description

플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법{REMOVING OXIDES OR OTHER REDUCIBLE CONTAMINANTS FROM A SUBSTRATE BY PLASMA TREATMENT}
본 발명은 일반적으로 기판상에서의 집적 회로 제조에 관한 것이다. 특히, 본 발명은 제조 공정에서 기판상에 층을 증착하기 앞서 기판상의 산화물을 환원시키는 방법에 관한 것이다.
서브-하프(sub-half) 마이크론 및 소형의 피쳐(features)를 안정적으로 생산하는 것은 차세대 대규모 집적회로(VLSI) 및 초대규모 집적회로(ULSI)를 위한 중요한 기술중 하나이다. 그러나, 회로기술의 주변이 압박됨에 따라, VLSI와 ULSI기술에서의 축소된 상호접속부들(interconnects) 치수는 처리능력에 추가적인 요구사항을 만들게 되었다. 이러한 기술의 핵심에 놓여져 있는 다층 상호접속부는 비아(vias) 및 다른 상호접속부와 같은 높은 종횡비 피쳐를 조심스럽게 처리할 것을 요구한다. 이러한 상호접속부의 확실한 형성은 회로 밀도와 개별적인 기판 및 다이의 품질을 증대시키기 위해 계속된 노력과 VLSI와 ULSI의 성공에 있어서 매우 중요하다.
통상적인 화학 기상 증착(chemical vapor deposition;CVD) 및 물리 기상 증착(physical vapor deposition;PVD), 그리고 현재의 전기도금(electroplating) 기술들은 콘택(contacts), 비아(vias), 라인(lines) 또는 기판위에 형성된 다른 피쳐에 전기적으로 전도성이 있는 물질을 증착하기 위해 사용된다. 이와 같이 높은 종횡비를 갖고 더욱 작아진 상호접속부내로 물질을 확실하게 증착하는 것에 상당한 노력이 집중되었다.
피쳐의 크기가 축소됨에 따라 개선이 요구되는 한가지 중요한 사항은 이와 같이 매우 작은 피쳐에서 산화물을 환원시키는 것이다. 도 1은 전기적 절연층 또는 유전층(6)내에 형성된 비아(4)를 가진 기판(2)을 도시하고 있다. 현재의 기술에서, 종횡비는 높이에 대한 폭의 비율이 약 5:1로 증가되어 왔고, 도 1에서는 도면부호 (d)에 대한 (x)로서 나타내었다. 그 결과, 이후의 공정을 위한 작은 피쳐, 특히 상호접속부 영역(8,9)과 같은 하부 상호접속부 내에 표면을 적절히 형성하는 것이 더욱 어렵게 되었다.
부분적으로, 개선된 세정에 주목하는 것은 전도체 금속에서의 요구되는 변화에 기인한다. 예를 들어, 구리가 (3.1μΩ-㎝인 알루미늄에 비해 1.7 μΩ-㎝인) 낮은 고유저항과 높은 전류 수송 능력을 가지기 때문에, 구리는 현재 알루미늄을 대체할 상호접속부 재질로서 고려되어지고 있다. 그러나, 구리는 산화작용에 대단히 민감하다. 구리 증착에 있어서, 산화작용이 손실인 것으로 생각되며, 인접층상의 부착을 방해하고, 구리 피쳐의 전도성에 영향을 미치며, 회로 전반에 걸친 신뢰성을 감소시킨다. 또한 현재의 프로세스는 일부 경우에 다양한 이유로 산소를 사용하고, 다른 경우에서는 산소가 반응의 부산물이 된다. 따라서, 주의깊게 제어된 환경에서조차도 산소를 포함하게 되고, 구리 또는 알루미늄과 같은 다른 전도성 물질이 산화되어 회로를 손상시키게 된다.
구리는 다른 곤란성들을 갖는다. 구리가 정밀한 패턴으로 에칭되기 어렵기 때문에, 상호접속부를 형성하기 위한 통상적인 증착/에칭 프로세스들을 실행할 수 없게 되었고, 따라서, 구리 상호접속부를 위해 "듀얼 다마신(dual damascene)"구조가 사용되고 있다. 통상적인 듀얼 다마신 구조에서, 콘택/비아 및 상호접속부 라인(interconnect lines) 모두를 형성하도록 유전층이 에칭되어진다. 그 후, 형성된 패턴내에 금속이 놓여지며, 모든 잉여의 금속은 CMP 같은 평탄화 과정을 통해 구조체의 상부로부터 통상적으로 제거된다. 이러한 복잡한 방법은 상호접속부내에 적절하게 세정된 표면 취득의 중요성을 증가시킨다.
본 발명의 이전에는, 아르곤(Ar) 플라즈마와 같은 불활성 가스 플라즈마가 상호접속부와 알루미늄 및 구리와 같은 금속층의 표면을 물리적으로 세정하되, 기판 표면에 유도된 이온이 물리적으로 기판에 충돌하여 최상부 층의 표면을 제거하였다. 그러나, 플라즈마내의 아르곤 이온은 세정의 방향성에 의존하고, 상호접속부의 치수가 감소함에 따라, 종횡비가 증가함에 따라, 결과적으로 음영부(shading)가 발생할 수 있으며, 이러한 프로세스는 작은 피쳐에서 산화물을 제거하기에는 비효과적이다.
따라서, 기판 표면과 그 위에 증착된 물질상에 형성된 산화물을 환원시키기 위해 개선된 세정 프로세스가 필요하다.
본 발명은 산화물과 다른 오염물을 제거하기 위한 프로세스를 제공하는 것으로, 상기 프로세스는 챔버내에서 환원제를 포함하는 플라즈마를 발생시키는 단계; 및 상기 환원제에 대하여 환원가능한 오염물을 가진 기판 표면의 적어도 일부를 노출시키는 단계를 포함한다. 바람직한 실시예에서, 환원제는 질소와 수소를 함유한 화합물, 바람직하게는 암모니아를 포함한다. 일 실시예에서는 질소와 수소를 포함한 환원제를 챔버에 도입하는 단계; 챔버내에 플라즈마를 발생시키는 단계; 및 상기 환원제에 산화물을 노출시키는 단계를 포함한다. 암모니아를 사용하여 구리 산화물과 같은 산화물을 환원시키기 위한 플라즈마 처리 파라미터는, 약 1 내지 약 9 mTorr의 압력 범위, 약 1.43 내지 약 14.3 watts/㎠의 전력밀도를 가지는 200mm 웨이퍼에 대하여 약 100 내지 약 1000 watts의 RF 전력, 약 100℃ 내지 약 450℃의 기판 온도, 약 200 내지 약 600 mils의 기판에 대한 샤워헤드 간격, 그리고 약 100 내지 약 1000 sccm의 환원제의 유속을 포함한다.
듀얼 다마신 구조의 형성과 같은 본 발명의 예시적 프로세스 시퀀스는, 기판상에 유전체를 증착하는 단계; 에치 스톱을 증착하는 단계; 에치 스톱을 에칭하는 단계; 배리어 층을 증착하는 단계; 금속층을 증착하는 단계; 환원제 플라즈마를 발생시키는 단계; 암모니아와 같은 환원제로 금속 표면중 적어도 일부에 형성될 수 있는 산화물을 환원시키는 단계; 및 질화물 층과 같은 층을 환원된 표면 위에 인시츄(In-Situ) 증착하는 단계를 포함한다.
본 발명의 특징, 장점과 목적의 상세한 이해를 위하여, 첨부도면에 도시된 실시예를 참조하여 간략하게 요약하여 전술된 본 발명을 보다 상세하게 설명하기로 한다.
그러나, 첨부도면들은 단지 본 발명의 전형적인 실시예를 도시한 것이고, 따라서 이들이 본 발명의 범주를 제한하는 것으로 간주되지는 않으며, 동등한 효과를 갖는 다른 실시예들도 본 발명에 포함된다.
도 1은 상호접속부를 가진 다층 기판의 개략도를 도시한 도면.
도 2는 플라즈마 환원 프로세스를 이용한 증착/에칭 공정의 일 실시예의 플로우 차트.
도 3은 CMP공정 후의 구리 웨이퍼로부터 얻어진 산소 레벨을 도시한 유전체 적합성의 그래프.
도 4는 도 3과 비교되는 그래프로서, 감소된 산소 레벨을 갖는 본 발명의 플라즈마 환원 프로세스에 의한 개선사항을 도시한 그래프.
도 5는 본 발명에 의한 플라즈마 환원 프로세스가 내부에서 수행되어질 수 있는 상업적으로 이용가능한 하나의 CVD 플라즈마 반응기의 단면도.
도 6은 전도체상의 산화물 층을 도시한 듀얼 다마신 구조.
도 7은 세정된 전도체상에 증착된 층을 가진 듀얼 다마신 구조.
본 발명은 산화물 및 기타 오염물을 제거하기 위한 프로세스를 제공하는 것으로, 상기 프로세스는 환원제(reducing agent)를 포함하는 플라즈마를 발생시키는 단계; 및 상기 플라즈마와 상기 환원제에 대하여 환원가능한 오염물을 가진 기판 표면의 적어도 일부를 노출시키는 단계를 포함한다. 상기 환원 프로세스는 인접층의 부착력을 증가시킬 것이며, 산화된 층내의 산소 함유량을 감소시킴으로써 결합된 층들의 유전 저항을 감소시킬 것이라고 보여진다. 적어도 부분적으로, 산화물의 이와 같은 역효과는 예를 들어 구리(Cu)와 같은 금속의 이동성을 감소시키는, 예컨데 구리 산화물(Cu2O)과 같은 금속 산화물에 기인된 것이라고 보여진다. 바람직한 실시예에서, 상기 프로세스는 후속 층을 증착하기 전에 재오염을 최소화하도록 인시츄(in situ)에서 수행된다. 인시츄 프로세싱(in situ processing)은 구리에 있어서는 대단히 중요할 수 있는데, 이는 산화반응에 대한 구리의 빠른 민감성 때문이다.
집적회로(IC)의 제조에 있어서, 증착 공정중 일부 포인트에서 금속층이 증착되며, 상기 금속층은 통상적으로 알루미늄 또는 구리를 포함한다. 구리가 전도성 재료인 것으로 간주되기 때문에, 본원에서는 많은 논의가 구리와 연관된다. 그러나, 본 발명은 Ti, TiN, Ta, TaN, Al 등과 같은 임의의 산화 금속층에 사용될 수 있다. 본 발명은 또한 실리콘 산화물을 포함한 다른 층에도 사용될 수 있다. 본 발명은 암모니아를 포함하여 질소와 수소를 함유한 화합물과 같은 환원제의 화학반응 세정작용과 플라즈마로부터의 물리적 이온 충격을 조합한 것으로서, 산화물과 같은 오염물질을 환원시키기 위하여 다양한 재료에 대하여 사용될 수 있다. 본 명세서에서 산화물이 명시적으로 거론되지만, 다른 오염물도 본 발명의 범주내에 포함될 것이다. 이는, 수소와 결합한 질소가 감소된 에너지 수준이 수소 결합을 파괴시키도록 하고, 그렇지 않다면 분자를 분열시키도록 하며, 오염물을 세정하기 위해 환원제를 보다 효과적으로 사용할 수 있도록 하는 것으로 여겨진다.
질화물 같은 금속 위에 층이 증착되기 전에, 본 발명의 가르침에 따라 금속이 세정된다. "세정(cleaning)"이란 용어는 산화물이나 기타 오염물의 환원을 포함하는 의미로 사용된다. (공기, 2원자 산소, 또는 분자 화합물에 함유된 산소와 같은) 산소 소스에 대한 노출 때문에 세정이 필요할 것이다. 이러한 세정은, 원 위치 프로세스로서 후속 층이 증착되는 챔버와 동일한 CVD 챔버 또는 플라즈마 강화 화학적 기상 증착(Plasma Enhanced Chemical Vapor Deposition;"PECVD") 챔버에서 행해질 것이다. "인시츄(in situ)"란 용어는, 재료를 오염 환경에 노출시키지 않는, 예컨데 플라즈마 챔버에서와 같은 주어진 챔버 내부 또는 집적된 클러스터 툴 장치와 같은 하나의 시스템 내부를 포함하는 것을 의미한다. 인시츄 프로세스는 다른 처리 챔버 또는 영역에 기판을 재배치하는 것과 비교하여 통상적으로 처리 시간과 오염 가능성을 최소화한다.
일 실시예에서, 환원 프로세스는 통상적으로 암모니아 같은 환원제를 진공 챔버에 도입하는 단계; 및 플라즈마를 발생시키는 단계로서 플라즈마가 암모니아를 활성화된 이온의 상태로 여기시키는 단계;를 포함한다. 활성화된 이온은 산화물과 화학적으로 반응하며 산화물은 다음의 반응식에 따라 제거된다.
3Cu2O + 2NH3 -> 6Cu + 3H2O + N2
플라즈마는 암모니아를 분해하고 이온 충돌을 제공하는데 필요한 에너지를 제공한다. 이온화된 입자는 환원 프로세스에서 산화된 표면과 충돌하여 세정을 더욱 증대시킨다. 화학적 반응 및 이온의 물리적 충돌의 조합은 작은 피쳐의 모든 표면이 세정되거나 산화물이 감소될 가능성을 증대시킨다.
본 발명의 프로세스는 적어도 두가지 장점을 제공할 것이라 보여진다. 첫째, 인접층에 대한 증가된 부착력을 위해 세정된 표면이 보다 잘 준비된다. 산화물의 제거는 베이스 전도성 물질에 대한 향상된 접착을 가능하게 한다. 둘째로, 산화물은 단일층 또는 결합된 층들의 저항을 증가시키는 것으로 알려져 있다. 따라서, 산화물의 환원은 결합된 층들의 저항 또는 임피던스(impedance)를 감소시킨다.
구리 산화물을 환원시키기 위해 암모니아를 사용하는 적어도 하나의 실시예에서의 플라즈마 처리 파라미터는, 약 1 내지 약 9 mTorr의 압력 범위, 약 1.43 내지 약 14.3 watts/㎠의 전력 밀도를 가지는 플라즈마를 형성하기 위하여, 반응 영역을 가질 수 있는 챔버에 인가되는 약 100 내지 약 1000 watts의 RF 전력, 약 100℃ 내지 약 450℃의 기판 표면 온도 , 약 200 내지 약 600 mils의 기판에 대한 샤워헤드 간격, 그리고 약 100 내지 약 1000 sccm의 비율로 챔버속으로 흐르는 환원제를 포함한다. "샤워헤드(showerhead)"같은 가스 분산 요소는 본 기술분야의 당업자들에게 통상적으로 공지되어 있으며, 본원에서 교환가능하게 사용되고, 다른 가스 분산 요소를 포함한다. "반응 영역(reaction zone)"은 캘리포니아, 산타 클라라에 소재한 어플라이드 머티어리얼즈사에서 제조, 판매하는 CENTURA DxZTM CVD 반응기와 같은 챔버내에서 샤워헤드와 기판 표면 사이의 영역이다.
바람직한 프로세스 범위는, 약 3 내지 약 7 mTorr의 압력 범위, 약 1.43 내지 약 7.14 watts/㎠의 전력밀도를 가지는 200mm 웨이퍼에 대하여 약 100 내지 약 500 watts의 RF 전력, 약 200℃ 내지 약 400℃의 기판 온도, 약 200 내지 약 500 mils의 기판에 대한 샤워헤드 간격, 그리고 약 100 내지 약 500 sccm의 비율로 흐르는 환원제를 포함한다. 가장 바람직한 프로세스 범위는, 약 4 내지 약 6 mTorr의 압력범위, 약 2.86 내지 약 5.72 watts/㎠의 전력밀도를 가지는 약 200 내지 약 400 watts의 RF 전력, 약 300℃ 내지 약 400℃의 기판 온도, 약 300 내지 약 400 mils의 기판에 대한 샤워헤드 간격, 그리고 약 200 내지 약 300 sccm의 비율로 흐르는 환원제를 포함한다. 또한, 가스 흐름과 플라즈마 반응을 안정시키는데 보조하도록 전술한 프로세스 파라미터와 함께 캐리어 가스가 사용될 수 있다. 헬륨, 아르곤 및 질소 같은 캐리어 가스의 유속은 약 0 내지 2000 sccm가 될 수 있다.
플라즈마 환원 프로세스는 표면을 약 5초 내지 약 60초 내에 환원하고, 처리하며, 또는 그렇지 않으면 변경한다. 바람직하게, 암모니아 플라즈마는 하나 또는 그 이상의 처리 사이클내에서 발생되며 이 사이클들 사이에서 정화된다. 그러나, 대부분의 경우에 있어서, 10초간 지속하는 하나의 처리 사이클이 산화된 구리 표면으로부터 산소를 효과적으로 제거한다. 당연히, 파라미터는 구리이외의 다른 물질과, 산화물 이외의 다른 오염물에 대하여 조정될 수 있다.
도 2는 본 발명의 일 실시예의 증착/플라즈마 환원 시퀀스를 도시한 것이다. 이와 다른 시퀀스, 제조 기술, 그리고 공정이 사용될 수 있다. 통상적으로, 이산화 실리콘, 실리콘 질화물 또는 실리콘 카바이드와 같은 유전체가 기판상에 증착된다. 여기에서 "기판(substrate)"이란 용어는 문맥에 따라, IC 베이스 또는 상부에 증착되는 물질이나 레벨을 포함한 IC를 포함한다. 에치 스톱이 유전체 위에 증착되며 이를 관통하여 상호접속부가 에칭되어 패턴을 형성하게 된다. 수평적 상호접속부는 통상적으로 라인으로서 지칭되고, 수직적 상호접속부는 통상적으로 콘택(contacts) 또는 비아(vias)로서 지칭되어지되; 콘택은 하부 기판상의 소자로 연장되는 반면, 비아는 M1, M2 등과 같은 하부 금속층으로 연장된 것이다. 도 1에 도시된 바와 같이 라인과 콘택/비아가 일단 패턴화되면, TiN층과 같은 배리어층이 패턴위에 증착되어 전도체가 유전체층들로 확산되는 것을 제한하게 된다. 그 다음 전도성 재료가 배리어층 위에 증착될 수 있다. 부착력과 전도력을 저해하는 산화반응이 전도성 금속상에 형성될 수 있다. 플라즈마 세정을 위해 기판이 프로세싱 챔버내에 위치될 수 있으며, 이 플라즈마 세정은 사전 또는 후속의 프로세스들과 인시츄일 수 있다. 통상적으로, 시스템은 플라즈마를 발생시키고 환원제를 챔버 내측으로 도입할 것이며, 이 때 플라즈마 세정이 이루어지게 된다. 플라즈마는 환원제 분자의 활성을 보조하여 산화물을 세정하거나 환원시키게 된다.
전도체가 세정된 이후에, 질화물과 같은 다른 층이, 산소를 포함하는 환경과 같은 불리한 환경으로부터의 추가적 오염을 줄이기 위해, 인시츄에서 전도체상에 증착될 수 있다. 통상적으로, 이러한 층은 유전층이지만, 배리어층, 에치 스톱, 또는 패시베이션층과 같은 다른 형태의 층을 포함할 수 있다. 선택적으로, 환원된 기판은 후속의 공정을 위하여 다른 챔버로 이송될 수 있다. 세정은 전도체 층에 한정되지 않으며, 상기 전도체층 전후의 다른 층이 본 발명의 중요한 개념을 사용하여 플라즈마 세정될 수 있다.
실시예 1 - 암모니아 플라즈마 환원 프로세스 배제
도 3은 플라즈마 환원 프로세스가 배제된 CMP 처리 이후의 구리 표면 상에 증착된 500Å 질화물 층을 통해 검출된 산소를 나타낸다. x-축은 결합 에너지를 일렉트론 볼트(eV)로 나타내고, y-축은 신호 당 카운트(counts per signal;c/s)를 나타내며, z-축은 질화물 박막 층을 통한 상대적 깊이 프로파일(a relative depth profile)을 나타낸다. 결합 에너지를 나타내는 x-축은 요소 특성적이며, 기판 층은 산소의 존재를 검지하도록 산소 결합 에너지 수준에서 실험되었다. y-축은 산소-비결합 에너지에서 검출된 산소 수준을 나타낸다. z-축은 상대적이기 때문에, z-축을 따른 두개의 최대 피크 사이의 거리가 상기 500Å의 질화물 층의 근사 두께이다. 500Å의 질화물층을 지나면, 구리가 전도체이기 때문에, 신호 카운트가 거의 "영(0)"으로 떨어진다. 도 3은 z-축의 기점에 가장 근접한 ~11000c/s의 제 1 최고 피크를 나타낸다. 이러한 제 1 최고 피크는 질화물 층의 표면을 나타내며, 본 목적을 위해 무시될 수 있다. ~500Å의 깊이에서의 마지막 큰 피크는 질화물/구리 경계면에서 ~6000c/s의 산소 수준을 나타낸다. 이러한 경계면은 본 발명에 따라 환원되지 않은 많은 구리 산화물을 가지고 있다.
실시예 2 - 암모니아 플라즈마 환원 프로세스 사용
도 4는 도 3에 대응하는 그래프로서, 본 발명의 암모니아 플라즈마 환원 프로세스에 의해 처리된 예시적인 구리 표면 기판의 결과를 나타낸다. 도 4는 도 3과 대비될 수 있으며, 그 축들은 비슷한 스케일과 값을 나타낸다. 도 3의 기판 표면과 유사하게, 본 발명의 플라즈마 환원 프로세스가 적용된 후에 500Å의 질화물 층이 구리위에 증착되었다. 도 4는 초기 표면 피크를 지나서 전반적으로 낮은 산소 수준을 나타내고, 여기에서 초기 표면 피크는 본 발명의 목적을 위하여 또한 무시될 것이다. 현저하게, 약 500Å의 깊이에서 제 2 피크에 의해 나타나는 질화물/구리 경계층에서의 산소 수준은 구리 표면으로부터 산화물의 제거 또는 환원에 기인하여 ~3000c/s의 수준으로 낮아졌다.
도 5는 전술한 플라즈마 환원 프로세스가 수행될 수 있는, 위에서 언급한 CENTURA DxZTM 같은 CVD 플라즈마 반응기의 단면도이다. 본 발명은 램프 가열 반응기와 같은 다른 반응기에 사용될 수 있다. 반응기(10)는 전술한 샤워헤드일 수 있는 가스 분배 매니폴드(11)를 포함하며, 이는 프로세스 가스를 매니폴드내의 관통공(도시안됨)을 통해 기판 지지판 또는 서셉터(12)에 지지된 기판 또는 웨이퍼(16)로 분산시키기 위한 것이다. 서셉터(12)는 저항 가열되며 지지 스템(13)에 설치되므로, 세셉터(12) 및 서셉터(12)의 상부 표면 위에 지지된 웨이퍼(16)는 리프트 모터(14)에 의하여 하부의 로딩/오프-로딩 위치와, 매니폴드(11) 주변에 근접하여 이격된 상부의 프로세싱 위치 사이를 제어가능하게 움직일 수 있다. 서셉터(12)와 웨이퍼(16)가 프로세싱 위치에 놓이면, 절연 링(17)에 의하여 둘러싸여진다. 프로세싱하는 동안, 매니폴드(11)로 유입되는 가스는 기판 표면에 걸쳐 방사상으로 균일하게 분배된다. 가스는 진공 펌프 시스템(32)에 의하여 포트(24)를 통해 배출된다.
반응기(10)내에서 실행되는 증착 프로세스가 열 프로세스(thermal process) 또는 플라즈마 강화 프로세스(plasma enhanced process)일 수 있다. 플라즈마 처리에서는, 제어된 플라즈마가 접지된 서셉터(12)와 RF 전력 공급부(25)로부터 분배 매니폴드(11)에 인가되는 RF 에너지에 의해 웨이퍼에 인접하여 형성된다. 가스 분배 매니폴드(11) 역시 RF 전극이며, 반면에 서셉터(12)는 접지된다. RF 전력 공급부(25)는 챔버내로 도입된 모든 반응종(reactive species)들의 분해를 증진시키도록 단일 또는 혼합된 주파수의 RF 전력을 매니폴드(11)에 공급할 수 있다. 혼합된 주파수 RF 전력 공급부는 통상적으로 13.56 ㎒의 높은 RF 주파수(RF1)와 350㎑의 낮은 RF 주파수(RF2)에서 전력을 공급한다. 시스템 제어기(34)와 메모리(38)가 CVD 반응기의 활동을 제어한다. 이러한 CVD 반응기의 일례는 Wang 등에게 허여되고 본 발명의 양수인인 어플라이드 머티어리얼즈사에 양도된 미국 특허 제5,000,113호 "Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process"에 설명되어 있으며, 본원 발명을 위해 참조된다.
본 발명에 있어서, 전술한 챔버가 환원제를 사용하여 산화물을 플라즈마 환원시키도록 사용될 수 있으며, 특히 암모니아를 사용하여 구리 산화물을 환원시키는데 사용될 수 있다. 환원제는 매니폴드(11)를 통해 도입되고 전술한 방법으로 플라즈마 환원 프로세스를 위한 웨이퍼 표면에 걸쳐 방사상으로 균일하게 분배될 수 있으며, 그 후에 포트(24)를 통한 가스의 배출이 뒤따른다.
전술한 설명은 플라즈마 환원 프로세스에 의해 제공되는 개선에 일반적으로적용되며, 기판상의 복합적인 환경과 다양한 프로세스에 사용될 수 있다. 이러한 플라즈마 환원 프로세스는 듀얼 다마신 구조의 증가된 밀도와 복잡성에 특별한 적응성을 갖는다. 이하, 듀얼 다마신 구조의 관점에서 플라즈마 환원 프로세스가 어떻게 이용될 수 있는지에 대하여 설명한다.
도 6과 도 7은 본 발명의 기술을 사용하여 세척될 수 있는 듀얼 다마신 구조를 나타낸다. 한가지 형태의 듀얼 다마신 구조를 형성함에 있어서, 제 1 유전체 층(30)이 기판(32) 위에 증착되고, 에치 스톱(34) 증착이 뒤따른다. 에치 스톱은 콘택/비아 개구부(40)를 형성하며 콘택/비아가 형성될 영역에서 제 1 유전체 층이 노출되도록 패턴 에칭된다. 제 2 유전체 층(38)이 에치 스톱 위에 증착되며 상호접속부 라인을 형성하도록 패턴화되어지는데, 바람직하게는 본 기술분야의 당업자에게 주지된 바와 같이 포토레지스트 층을 사용하는 통상의 포토리소그라피(photolithography) 프로세스를 사용한다. 상호접속부(interconnects) 및 콘택/비아(contacts/vias)는 이후에 반응성 이온 에칭 또는 다른 이방성 에칭 기술을 사용하여 에칭되며, 상기 층들을 패턴화하기 위해 사용된 포토레지스트 또는 다른 물질은 산소 스트립 또는 다른 적절한 프로세스를 이용하여 제거된다. 이후에 주위의 실리콘 및/또는 유전체 재질로 금속이 이동하는 것을 방지하도록 배리어 층(44)이 금속화 패턴과 일치하여 바람직하게 증착된다.
본 발명에 있어서, 전술한 플라즈마 환원 프로세스는 금속층 이전 또는 이후에 증착되는 배리어 층 또는 다른 층 상에서 유용할 것이다. 전도체의 플라즈마 환원을 위해 위에서 논의된 체계와 파라미터들은 해당되는 특정 층에 대하여 조절될 수 있다.
금속층(47)이 비아 및 라인 내에 증착되며, 상기 금속층은 바람직하게는 알루미늄, 구리, 텅스텐 또는 그것들의 조합물과 같은 전도성 물질이며, 최근의 경향은 구리이다. 상기 금속층은 전도성 구조체를 형성하기 위해 CVD, PVD, 전기도금 또는 그것들의 조합을 이용하여 증착되어진다. 구조체가 구리 또는 다른 금속으로 채워지면, 금속 표면을 평탄화시키기 위해 CMP 프로세스가 사용된다. 다른 실시예에서, 소모층이 금속 증착 이전에 상호접속부 사이의 필드 영역위에 증착되고, 그 다음 상기 소모층은 금속층이 증착되어진 후 박리되어 증착 프로세스의 다음 단계를 위해 적합한 표면을 남기게 된다. 구조체 표면은 현단계 및/또는 다른 단계에서 CMP 프로세스를 이용하여 평탄화될 수 있다. 전술한 플라즈마 환원 프로세스는 상호접속부(46)를 포함하여 금속층(47)위에 형성된 구리 산화물 같은 오염층(48)을 제거하거나 환원시키기 위해 개시될 수 있다. 바람직한 실시예에서, 플라즈마 환원 프로세스는 도 7에 도시된 바와 같이 인접한 층(50)의 증착과 함께 인시츄로 적용된다. 이 층은 다른 유전층, 배리어 층, 패시베이션 층 또는 금속 층을 제외한 다른 층들일 수 있다. 특히, 프로세스 단계들은, 플루오르화된 실리케이트 글래스(fluorinated silicate glass;FSG)와 같은 제 1 유전층을 기판 위에 증착하는 단계; 제 1 유전층상에 k값이 낮은 유전체 에치 스톱을 증착하는 단계; 콘택/비아를 형성하기 위해 에치 스톱을 패터닝하는 단계; 포토레지스트 층과 같은 패터닝 매체를 스트리핑하는 단계; FSG 층과 같은 제 2 유전층을 증착하는 단계; 및 하나 또는 그 이상의 상호접속부를 형성하기 위해 제 2 유전층상에 레지스트 층의 패터닝하는 단계를 포함한다. 일단 듀얼 다마신 구조가 형성되면, 상기 프로세스는, 구조체 내에 배리어 층을 증착하는 단계; 구리와 같은 금속층을 증착하는 단계; 및 산화물을 환원시키기 위해 환원제와 함께 플라즈마에 금속층의 산화 표면을 노출시키는 단계를 포함할 수 있다. 그 다음, 질화물과 같은 다른 층이 환원 프로세스와 함께 인시츄에서 표면상에 증착될 수 있다.
전술한 설명은 본 발명의 바람직한 실시예에 관한 것이지만, 본 발명의 다른 실시예가 본래의 기본적인 범주로부터 벗어나지 않으며 창안될 수 있고, 그 범주는 하기된 청구범위에 의해 결정되어진다. 또한, 특허청구범위를 포함한 본 명세서에서 "구성요소" 또는 "상기 구성요소"와 그리고 그들의 변형과 함께 포함하는(comprising)"이란 용어를 사용하는 것은, 참조된 항목(들) 또는 리스트(들)을 포함하며, 나아가서 설명되지 않은 다수개의 열거 항목(들) 또는 리스트(들)을 포함할 수 있다는 것을 의미한다.
삭제

Claims (21)

  1. 기판상의 산화물들을 제거하기 위한 방법으로서,
    질소와 수소를 포함하는 환원제를 챔버로 도입시키는 단계;
    상기 챔버내에서 본질적으로 상기 환원제로 이루어진 플라즈마를 발생시키는 단계;
    상기 환원제의 플라즈마에 구리 산화물을 노출시키는 단계; 및
    상기 구리 산화물이 상기 환원제의 플라즈마에 노출된 이후 진공 상태를 중단함이 없이 상기 기판 상에 실리콘-기질의 유전체층을 증착하는 단계 - 상기 실리콘-기질의 유전체층은 실리콘 카바이드, 실리콘 질화물, 실리콘 산화물, 및 이들의 조합물들의 그룹으로부터 선택된 물질을 포함함 -
    를 포함하는 기판 상의 산화물 제거 방법.
  2. 제 1 항에 있어서, 상기 환원제는 암모니아를 포함하는 것을 특징으로 하는 기판 상의 산화물 제거 방법.
  3. 제 1 항에 있어서, 상기 챔버내의 압력은 약 1mTorr 내지 약 9 mTorr인 것을 특징으로 하는 기판 상의 산화물 제거 방법.
  4. 제 1 항에 있어서, 상기 플라즈마는 평방 센티미터당 약 1.4 와트 내지 14.3 와트의 전력 밀도를 상기 챔버에 공급함으로써 발생되는 것을 특징으로 하는 기판 상의 산화물 제거 방법.
  5. 제 1 항에 있어서, 상기 환원제에 상기 산화물을 노출시키는 동안 기판 온도는 약 100℃ 내지 약 450℃로 유지되는 것을 특징으로 하는 기판 상의 산화물 제거 방법.
  6. 제 1 항에 있어서, 약 100sccm 내지 약 1000sccm의 비율에서 암모니아가 상기 챔버로 도입되는 것을 특징으로 하는 기판 상의 산화물 제거 방법.
  7. 제 1 항에 있어서, 상기 챔버로 캐리어 가스를 도입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 상의 산화물 제거 방법.
  8. 제 1 항에 있어서, 상기 챔버내의 압력은 약 1mTorr 내지 약 9mTorr이고, RF 발생기는 평방 센티미터당 약 1.4 와트 내지 약 14.3 와트의 전력 밀도를 공급하며, 기판 온도는 약 100℃ 내지 약 450℃로 유지되고, 약 100sccm 내지 약 1000sccm의 유속에서 암모니아가 상기 챔버로 도입되는 것을 특징으로 하는 기판 상의 산화물 제거 방법.
  9. 제 8 항에 있어서, 상기 챔버에 캐리어 가스를 도입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 상의 산화물 제거 방법.
  10. 기판의 표면상의 오염물들을 제거하기 위한 방법으로서,
    질소와 수소를 포함하는 환원제로 본질적으로 이루어진 플라즈마를 챔버내에서 발생시키는 단계;
    구리 산화물 오염물을 가진 표면의 적어도 일부분을 상기 환원제로 본질적으로 이루어진 플라즈마에 노출시키는 단계; 및
    상기 구리 산화물이 상기 환원제의 플라즈마에 노출된 이후 진공 상태를 중단함이 없이 상기 기판 상에 실리콘-기질의 유전체층을 증착하는 단계 - 상기 실리콘-기질의 유전체층은 실리콘 카바이드, 실리콘 질화물, 실리콘 산화물, 및 이들의 조합물들의 그룹으로부터 선택된 물질을 포함함 -
    를 포함하는 기판 표면상의 오염물 제거 방법.
  11. 삭제
  12. 제 10 항에 있어서, 상기 환원제는 암모니아를 포함하는 것을 특징으로 하는 기판 표면상의 오염물 제거 방법.
  13. 삭제
  14. 제 10 항에 있어서, 상기 챔버내의 압력은 약 1mTorr 내지 약 9mTorr인 것을 특징으로 하는 기판 표면상의 오염물 제거 방법.
  15. 제 10 항에 있어서, 상기 플라즈마는 평방 센티미터당 약 1.4 와트 내지 약 14.3 와트의 전력 밀도를 상기 챔버에 공급함으로써 발생되는 것을 특징으로 하는 기판 표면상의 오염물 제거 방법.
  16. 제 10 항에 있어서, 상기 환원제에 상기 산화물을 노출시키는 동안 표면 온도는 약 100℃ 내지 약 450℃로 유지되는 것을 특징으로 하는 기판 표면상의 오염물 제거 방법.
  17. 제 10 항에 있어서, 약 100sccm 내지 약 1000sccm의 유속에서 암모니아가 상기 챔버에 도입되는 것을 특징으로 하는 기판 표면상의 오염물 제거 방법.
  18. 제 10 항에 있어서, 상기 구리 산화물이 상기 환원제에 노출된 이후 상기 유전체층은 상기 기판 상에 인-시튜로 증착되는 것을 특징으로 하는 기판 표면상의 오염물 제거 방법.
  19. 삭제
  20. 삭제
  21. 제 1 항에 있어서, 상기 유전체층은 상기 구리 산화물이 상기 환원제에 노출된 이후 상기 기판 상에 인-시튜로 증착되는 것을 특징으로 하는 기판 상의 산화물 제거 방법.
KR1020017006255A 1998-11-17 1999-11-15 플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법 KR100661194B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/193,920 1998-11-17
US09/193,920 US20010049181A1 (en) 1998-11-17 1998-11-17 Plasma treatment for cooper oxide reduction

Publications (2)

Publication Number Publication Date
KR20010080483A KR20010080483A (ko) 2001-08-22
KR100661194B1 true KR100661194B1 (ko) 2006-12-22

Family

ID=22715570

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017006255A KR100661194B1 (ko) 1998-11-17 1999-11-15 플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법

Country Status (7)

Country Link
US (2) US20010049181A1 (ko)
EP (1) EP1135545B1 (ko)
JP (3) JP4901004B2 (ko)
KR (1) KR100661194B1 (ko)
DE (1) DE69937807T2 (ko)
TW (1) TW589405B (ko)
WO (1) WO2000029642A1 (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US20030219816A1 (en) * 2001-07-02 2003-11-27 Keith Solomon Composite microarray slides
US6596631B1 (en) * 2000-07-26 2003-07-22 Advanced Micro Devices, Inc. Method of forming copper interconnect capping layers with improved interface and adhesion
US6309959B1 (en) * 2000-08-03 2001-10-30 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
DE10059143B4 (de) * 2000-11-29 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Oberflächenbehandlungs- und Deckschichtverfahren zur Herstellung einer Kupfergrenzfläche in einem Halbleiterbauteil
US6562416B2 (en) * 2001-05-02 2003-05-13 Advanced Micro Devices, Inc. Method of forming low resistance vias
US6528884B1 (en) * 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
DE10150822B4 (de) * 2001-10-15 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche
JP2003273212A (ja) * 2002-03-14 2003-09-26 Fujitsu Ltd 積層構造体およびその製造方法
US6720204B2 (en) * 2002-04-11 2004-04-13 Chartered Semiconductor Manufacturing Ltd. Method of using hydrogen plasma to pre-clean copper surfaces during Cu/Cu or Cu/metal bonding
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
KR100452273B1 (ko) * 2002-10-22 2004-10-08 삼성전자주식회사 챔버의 클리닝 방법 및 반도체 소자 제조 방법
US6790777B2 (en) 2002-11-06 2004-09-14 Texas Instruments Incorporated Method for reducing contamination, copper reduction, and depositing a dielectric layer on a semiconductor device
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US8361340B2 (en) * 2003-04-28 2013-01-29 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US7387738B2 (en) 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US7897029B2 (en) * 2008-03-04 2011-03-01 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
DE10320472A1 (de) * 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
US6806103B1 (en) * 2003-06-10 2004-10-19 Texas Instruments Incorporated Method for fabricating semiconductor devices that uses efficient plasmas
US7319071B2 (en) * 2004-01-29 2008-01-15 Micron Technology, Inc. Methods for forming a metallic damascene structure
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099417A1 (en) * 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US20070218697A1 (en) * 2006-03-15 2007-09-20 Chung-Chih Chen Method for removing polymer from wafer and method for removing polymer in interconnect process
KR101506352B1 (ko) * 2006-08-30 2015-03-26 램 리써치 코포레이션 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
KR20100099094A (ko) * 2007-12-27 2010-09-10 램 리써치 코포레이션 베벨 에칭 프로세스에 후속하는 구리 변색 방지
US7737029B2 (en) * 2008-03-18 2010-06-15 Samsung Electronics Co., Ltd. Methods of forming metal interconnect structures on semiconductor substrates using oxygen-removing plasmas and interconnect structures formed thereby
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
US8609526B2 (en) * 2009-10-20 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing UBM oxidation in bump formation processes
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US9103012B2 (en) 2011-02-11 2015-08-11 Headway Technologies, Inc. Copper plating method
US8758638B2 (en) 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US8980740B2 (en) 2013-03-06 2015-03-17 Globalfoundries Inc. Barrier layer conformality in copper interconnects
WO2014150234A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
CN104425289B (zh) * 2013-09-11 2017-12-15 先进科技新加坡有限公司 利用激发的混合气体的晶粒安装装置和方法
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
JP2017520109A (ja) * 2014-06-16 2017-07-20 インテル・コーポレーション 集積回路デバイスの金属間の選択的な拡散障壁
US9614045B2 (en) 2014-09-17 2017-04-04 Infineon Technologies Ag Method of processing a semiconductor device and chip package
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US20220205080A1 (en) * 2020-12-31 2022-06-30 Hutchinson Technology Incorporated Surface Treatment Producing High Conductivity Vias With Simultaneous Polymer Adhesion
DE102022107650A1 (de) 2022-03-31 2023-10-05 Plasmatreat Gmbh Vorrichtung und verfahren zur reduktion von oxiden an werkstückoberflächen
DE102023106618A1 (de) 2022-09-29 2024-04-04 Plasmatreat Gmbh Plasmabehandlung mit Flüssigkeitskühlung
WO2024068623A1 (de) 2022-09-29 2024-04-04 Plasmatreat Gmbh Plasmabehandlung mit flüssigkeitskühlung

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04273442A (ja) * 1991-02-28 1992-09-29 Sony Corp 配線形成方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
EP0154483B1 (en) 1984-03-03 1989-12-27 Stc Plc Improved pulsed plasma process
JPS61579A (ja) * 1984-06-14 1986-01-06 Ricoh Co Ltd 薄膜製造方法
JPS619579A (ja) 1984-06-25 1986-01-17 Nippon Steel Corp 鉄鋼構造物の防食工法
JPS62158859A (ja) * 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5232872A (en) 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
DE69025244T2 (de) * 1989-08-25 1996-06-27 Applied Materials Inc Reinigungsverfahren für eine Anlage zur Behandlung von Halbleiterscheiben
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
JPH0445534A (ja) * 1990-06-12 1992-02-14 Sony Corp 銅薄膜の選択的エッチング方法
JP2646811B2 (ja) * 1990-07-13 1997-08-27 ソニー株式会社 ドライエッチング方法
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JPH05308107A (ja) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
JPH05144811A (ja) * 1991-11-22 1993-06-11 Hitachi Ltd 薄膜半導体装置及びその製造方法
WO1993017453A2 (en) * 1992-02-26 1993-09-02 Materials Research Corporation Ammonia plasma treatment of silicide contact surfaces in semiconductor devices
JPH0697111A (ja) 1992-09-11 1994-04-08 Sony Corp バリアメタルの形成方法
JPH06204191A (ja) * 1992-11-10 1994-07-22 Sony Corp 金属プラグ形成後の表面処理方法
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
JP3399583B2 (ja) * 1993-04-06 2003-04-21 東京エレクトロン株式会社 半導体装置の製造方法
KR100326488B1 (ko) 1993-06-21 2002-06-20 조셉 제이. 스위니 플라즈마화학기상증착법
JPH0793276B2 (ja) * 1993-12-14 1995-10-09 アプライド マテリアルズ インコーポレイテッド 薄膜形成前処理方法および薄膜形成方法
JP2837087B2 (ja) * 1993-12-28 1998-12-14 アプライド マテリアルズ インコーポレイテッド 薄膜形成方法
US5451263A (en) * 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
IT1271636B (it) * 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
KR0144956B1 (ko) 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
DE69531980T2 (de) 1994-08-23 2004-07-29 At & T Corp. Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht
JP2809113B2 (ja) 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
BE1009839A3 (fr) * 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
GB2319533B (en) 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
GB2319532B (en) 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
DE19702124A1 (de) * 1997-01-22 1998-07-23 Linde Ag Verfahren und Vorrichtung zum Reinigen, Aktivieren Benetzen und/oder Beschichten der Oberflächen von Werkstücken
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
DE19717698A1 (de) * 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
JPH1116912A (ja) 1997-06-25 1999-01-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
FR2775986B1 (fr) * 1998-03-10 2000-05-05 Air Liquide Procede et installation de traitement de surface d'une piece metallique
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6251775B1 (en) 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04273442A (ja) * 1991-02-28 1992-09-29 Sony Corp 配線形成方法

Also Published As

Publication number Publication date
EP1135545B1 (en) 2007-12-19
EP1135545A1 (en) 2001-09-26
JP2002530845A (ja) 2002-09-17
US20030022509A1 (en) 2003-01-30
US20010049181A1 (en) 2001-12-06
JP2013058799A (ja) 2013-03-28
WO2000029642A1 (en) 2000-05-25
DE69937807D1 (de) 2008-01-31
US6734102B2 (en) 2004-05-11
DE69937807T2 (de) 2008-12-04
JP5269826B2 (ja) 2013-08-21
JP2010212694A (ja) 2010-09-24
JP4901004B2 (ja) 2012-03-21
KR20010080483A (ko) 2001-08-22
TW589405B (en) 2004-06-01

Similar Documents

Publication Publication Date Title
KR100661194B1 (ko) 플라즈마 처리에 의한 기판으로부터의 산화물 또는 다른 환원가능한 오염물의 제거 방법
US6946401B2 (en) Plasma treatment for copper oxide reduction
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
US10049891B1 (en) Selective in situ cobalt residue removal
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7186648B1 (en) Barrier first method for single damascene trench applications
US6352081B1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
US6319842B1 (en) Method of cleansing vias in semiconductor wafer having metal conductive layer
KR100842463B1 (ko) 기판의 유전체층을 사전 세정하기 위한 방법
KR20000035640A (ko) 반도체 구조물에서의 저온 구리 리플로우를 개선하기 위한구조물 및 방법
JP5184644B2 (ja) 湿式エッジ洗浄を強化するためのベベルプラズマ処理
KR100670618B1 (ko) 비아 및 컨택트의 순차적인 스퍼터 및 반응적 예비세정
US7037832B1 (en) Method of forming a conductive pattern by removing a compound with heat in a substantially inert atmosphere
US8414790B2 (en) Bevel plasma treatment to enhance wet edge clean
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR20070042887A (ko) 피쳐 제한부들을 형성하는 방법
US20050189075A1 (en) Pre-clean chamber with wafer heating apparatus and method of use
JP3780204B2 (ja) バリアメタル膜又は密着層形成方法及び配線形成方法
JP2003179133A5 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 12