KR0144956B1 - 반도체 장치의 배선 구조 및 그 형성방법 - Google Patents

반도체 장치의 배선 구조 및 그 형성방법

Info

Publication number
KR0144956B1
KR0144956B1 KR1019940013121A KR19940013121A KR0144956B1 KR 0144956 B1 KR0144956 B1 KR 0144956B1 KR 1019940013121 A KR1019940013121 A KR 1019940013121A KR 19940013121 A KR19940013121 A KR 19940013121A KR 0144956 B1 KR0144956 B1 KR 0144956B1
Authority
KR
South Korea
Prior art keywords
layer
forming
diffusion barrier
opening
metal layer
Prior art date
Application number
KR1019940013121A
Other languages
English (en)
Other versions
KR960002480A (ko
Inventor
이상인
하선호
Original Assignee
김광호
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김광호, 삼성전자주식회사 filed Critical 김광호
Priority to KR1019940013121A priority Critical patent/KR0144956B1/ko
Priority to GB9511567A priority patent/GB2290166B/en
Priority to CN95107381A priority patent/CN1061782C/zh
Priority to DE19521150A priority patent/DE19521150B4/de
Priority to JP16832995A priority patent/JP3963494B2/ja
Publication of KR960002480A publication Critical patent/KR960002480A/ko
Priority to US08/873,869 priority patent/US5998870A/en
Application granted granted Critical
Publication of KR0144956B1 publication Critical patent/KR0144956B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region

Abstract

콘택홀(Contact hole)이나 비아홀(Via hole)과 같은 개구부를 매몰하는 반도체 장치의 배선구조 및 그 형성방법에 관하여 개시한다. 본 발명은 반도체 기판, 상기 반도체 기판 상에 형성되고, 그 내부에 형성된 개구부를 포함하는 절연층, 스퍼터 식각에 의해, 상기 개구부의 양측벽에 평활한 표면을 갖는 확산 방지막, 및 상기 확산 방지막상에 형성되어 있는 금속층을 포함한다. 상기 확산방지막은 내화금속 또는 내화금속 화합물로 구성하며, 본 발명에 의하면, 매끈한 확산방지막의 측벽에 형성되는 금속층이 알루미늄 원자의 초기 증착특성이 양호함으로 인하여, 알루미늄막의 단차도포성이 양호하고, 균일하고 연속적인 막으로 증착된다. 따라서, 고단차의 접촉구를 보이드 없이 효과적으로 매몰할 수 있어, 소자의 신뢰성을 향상시킬 수 있다.

Description

반도체 장치의 배선구조 및 그 형성방법
제1a도 내지 제1c도는 종래의 개구부의 매몰 배선구조를 나타내는 단면도들이다.
제2a도 및 제2b도는 상기 제1a도 내지 제1c도의 확산 방지막상에 알루미늄을 증착할때, 알루미늄막의 초기 핵생성을 설명하기 위하여 도시한 도면이다.
제3a도는 본 발명에 의한 반도체 장치의 배선층의 구조를 설명하기 위한 도면이고, 제3b도는 개구부 측벽에 형성된 금속층의 초기 핵생성을 설명하기 위한 도면이다.
제4a도 내지 제4d도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제1 실시예를 나타내는 단면도들이고, 제4e도 및 제4f도는 상기 제4b도 및 제4c도의 개구부 측벽에 형성된 확산방지막의 표면을 설명하기 위하여 확대하여 도시한 도면이다.
제5a도 내지 제5c도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제2 실시예를 나타내는 단면도들이다.
제6a도 내지 제6c도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제3 실시예를 나타내는 단면도들이다.
제7a도 내지 제7c도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제5 실시예를 나타내는 단면도들이고, 제7d도는 상기 제7b도의 개구부 측벽에 형성된 확산방지막의 표면을 설명하기 위하여 확대하여 도시한 도면이다.
제8a도 내지 제8c도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제6 실시예를 나타내는 단면도들이다.
제9a도 및 제9b도는 본 발명에 의하여 스퍼터 식각된 TiN의 표면과 종래기술에 의하여 식각되지 않은 상태의 TiN 표면을 촬영한 사진이다.
본 발명은 반도체 장치의 제조방법에 관한 것으로, 특히 콘택홀(Contact hole)이나 비아홀(Via hole)과 같은 개구부를 매몰하는 반도체 장치의 배선구조 및 그 형성방법에 관한 것이다.
반도체 장치의 배선 방법은 반도체 장치의 속도, 수율 및 신뢰성을 결정하는 요인이 되기 때문에, 반도체 제조공정중 가장 중요한 위치를 점유하고 있다. 종래 집적도가 낮은 반도체 장치에 있어서, 금속의 장치의 고집적화에 따라서 접촉구의 직경은 하프미크론 정도로 매우 작아졌고 반도체 기판에 형성된 불순물 주입영역은 훨씬 얕아졌다. 따라서 종래의 알루미늄을 이용하여 배선을 형성하는 방법은, 1㎛ 이하의 접촉구를 매립하는데 어려우며, 보이드(void)가 형성되어 금속배선층의 신뢰성을 저하시키기 때문에 개선할 필요성이 있다.
반도체 초기 단계에서는 순수 알루미늄을 사용하여 금속배선층을 형성하였으나, 알루미늄층은 신터링단계에서 온도가 올라감에 따라 실리콘 기판으로부터 실리콘 원자를 흡수하여 접합 스파이킹을 발생시키기 때문에, 알루미늄을 실리콘으로 과포화시킨 A1-1%Si가 금속배선층의 재료로 널리 사용되어 왔다.
그렇지만 이와 같은 A1-1%Si를 사용하여 반도체 장치의 배선을 형성하는 경우, 약 450℃ 이상의 온도에서 열처리할때 A1 막중의 실리콘이 석출되어 Si 잔사를 형성하고, 접촉구에서는 실리콘입자 고상 에피텍시얼 성장하여 Si-노둘(Si-nodule)이 형성되어 배선의 저항이나 접촉저항을 증가시킨다. 금속배선층과 실리콘 기판간의 상기와 같은 반응에 의한 A1 스파이킹이나 Si 잔사 또는 Si 노둘의 형성을 방지하기 위하여, 배선층과 실리콘 기판 또는 절연층 사이에 확산방지막을 형성하는 것이 공지되어 있다. 예를 들면, 미합중국 특허 제4,897,709호(요꼬야마등)에는 확산방지막으로서 질화 티타늄막을 접촉구의 내벽에 형성하는 방법이 기재되어 있다. 또한 일본국 특허 공개공보 제 61-183942호에는 장벽층으로 내화금속막과 질화티타늄막으로 구성된 이중막을 형성하고 열처리하여, 반도체기판과 접속하는 접촉구의 저부에서 Ti층은 반도체기판과 반응하여 열적으로 안정한 화합물로 구성된 내화금속 실리사이드층을 형성시킴으로써 장벽 효과를 향상시키는 것이 개시되어 있다. 통상적으로, 이러한 확산방지막은 질소분위기에서 아닐링하는 공정을 하게 된다. 확산방지막을 아닐링하지 않는 경우에는 450℃이상의 온도에서 알루미늄이나 알루미늄 합금을 스퍼터링하든가 이후에 신터링하는 경우 접합 스파이킹 현상이 발생하여 바람직하지 않다.
상기 확산방지막으로서 통상 질화티타늄(TiN)막이나 TiW(N)막이 사용되고 있다. 상기 TiN막이나 TiW막(또는 TiW(N)막)등은 박막형성시 입자경계에서 알루미늄이나 실리콘의 확산을 완벽하게 방지할 수 없는 미세조직상의 결함이 존재하거나 입자경계가 존재한다.
또한, 스팀멜 제이.비와 메모트라 비.엔은 산소 스터핑(Oxygen Stuffing) 방법에 의해 입자경계에서의 확산경로를 차단시키는 방법을 제시하였다(참조문헌: Eflects of Oxygen on Reactively Sputtered TiN Films Stimmel J.B and Mehrotra B.N. in Tungsten and other Refractory Metals for VLSI Application III., V.A.Wells. ed, pp.375~382, Materials Research Society, 1988). 일반적으로 TiN을 증착한 후 대기에서 노출시키면 대기중의 산소에 의해 소량의 산소가 혼입되어 확산장벽 효과가 증진된다. 이를 스터핑(stuffing) 효과라 한다. 스티멜과 메모트라가 그의 논문에서 산소는 입자경계뿐만 아니라 장벽금속의 표면에서 산화물 형태로 존재한다라고 교시하고 있어 스터핑효과를 예시하고 있음을 알 수 있다. 또한 히가따의 특허도 확산방지막의 표면을 산소처리화(Oxygenation)시켜 장벽금속의 특성을 향상시키는 것이다.
그렇지만, Ti 또는 TiN을 증착시켜 장벽층을 형성시킨 후 대기에 노출시키거나, 산소를 혼입시켜 TiN을 증착하거나, 산소가 혼입된 질소분위기에서 아닐링하는 경우 접촉저항이 증가할 수 있다.
따라서, 대기노출시간, 증착시의 산소혼입량, 아닐링시의 산소혼입량 및 온도등의 조건에 따라 TiN의 장벽특성이 변화한다. 장벽금속의 아닐링은 450℃~550℃의 온도에서 N2분위기하에서 30~60분간 수행하는 것이 최적이라고 알려져 있다.
그러나 확산 방지막을 어닐시킬때 유도되는 산소 스터핑 효과는 확산 방지막의 표면이 산화되어 후공정에서 알루미늄을 사용하여 접촉구를 매몰하고져 할때 접촉성등의 문제를 야기하여 반도체 장치의 신뢰성에 저해요인으로 작용한다. 이러한 저해요인을 억제하기 위하여 금속막의 습윤성(wettablity)를 향상시키는 습윤층의 삽입 또는 실릴화층, 수소화처리후에 접촉구를 매몰시키는 방법이 제안된 바 있다.
또한, 히가따 마사후미는 장벽금속과 알루미늄 배선과의 습윤성(wettability)을 향상시키고 배선의 질과 수율을 향상시키기 위하여, 장벽층인 TiN층을 열처리한 후 Si나 O2를 이온주입하는 방법을 제시한(일본국 특허원 제 88-176035호)바 있으며, 히로시등(VMIC 170-176, 191)은 알루미늄 스터터전에 Ti를 증착한 후 연속적으로 고온 알루미늄을 증착하여 0.5㎛, 어스펙트비 1.6인 비아홀을 매립하였으나 Ti의 두께가 얇을 경우 매립효과가 적어진다고 발표하였다.
그러나 접촉구가 0.5㎛이하로 작아짐에 따라서 종래의 기술로는 접촉구가 완전히 매몰되지 않거나, 접촉구내에 빈 공간을 만들어 신뢰성이 저하될 수 있다.
제1a도 내지 제1c도는 종래의 개구부(콘택홀) 매몰 배선구조를 나타내는 단면도들이다.
먼저, 제1a도 내지 제1c도를 참조하여 종래의 콘택홀 매몰 배선구조를 설명하기로 한다.
반도체 기판(2)상에 소오스/드레인 영역이 되는 불순물 확산영역(4)이 형성되어 있고, 상기 결과물 상에 불순물 확산영역(4)의 표면 일부를 노출시키는 콘택홀(Contact Hole, 개구부)을 갖는 절연층(8)이 형성되어 있다. 여기서, MOS구조에서 게이트 전극의 구조에 대해서는 설명의 편의상 도시하지 아니하였다. 상기 콘택홀의 측면, 콘택홀에 의해 노출된 상기 불순물 확산영역(4) 및 상기 절연층(8)상에 오믹콘택층(Ohmic Contact Layer)인 Ti층(도시하지 않음)과 확산 방지막(Barrier Layer)인 TiN층(10)이 차례로 형성되어 있다. 그리고, 상기 TiN층(10)상에는 콘택홀을 매몰하면서 A1층(12)이 형성되어 있다.
한편, 상기 종래의 콘택홀 매몰 배선 구조는 다음과 같이 제조한다.
먼저, 반도체 기판(2) 상에 통상적인 LOCOS(Local Oxidation of Silicon)방법에 의해 필드산화막(도시되지 않음)을 형성한 후, 상기 필드산화막 사이의 반도체 기판(2)에 불순물을 주입하여 소오스/드레인 영역을 형성하기 위한 불순물 확산영역(4)을 형성한다.
다음에, 상기 결과물상에 통상적인 CVD(Chemical Vapor Deposition)법에 의해 산화실리콘(SiO2)과 같은 절연물질을 증착하여 절연층(8)을 형성한다. 이어서, 상기 절연층(8)상에 콘택홀 형성을 위한 포토레지스트 패턴(도시되지 않음)을 형성하고, 상기 포토레지스트 패턴을 식각 마스크로 하여 상기 불순물 확산영역(4)이 노출될 때까지 상기 절연층(8)을 식각하여 개구부를 형성한다.
다음에, 상기 개구부의 측면, 개구부에 의해 노출된 상기 불순물 확산영역(4) 및 상기 절연층(8) 상에 스퍼터링(Supttering) 방법에 의해 300Å-900Å의 두께로 Ti를 증착하여 오믹 콘택층(Ohmic Contact Layer)인 Ti층(도시되지 않음)을 형성한 후, 상기 Ti층 상에 스퍼터링 방법에 의해 600Å-2000Å인 두께로 확산 방지막인 TiN층(10)을 형성한다.
다음에, 상기 결과물 상에 스퍼터링 방법에 의해 A1을 증착하여 개구부(6)를 매몰하는 A1층(12)을 형성한다.
이상의 종래의 콘택홀 매몰 배선 구조 및 그 형성 방법에서, 오믹콘택층인 Ti층, 확산방지막인 TiN층(10) 및 A1층(12)은 주로 스퍼터링 방법에 의해 형성되는데, 기존의 스퍼터링 방법에 의해 증착은 콘택홀의 어스펙트 비가 증대함에 따라, 제1a도 및 제1b도에 도시된 바와 같이, 보이드(Void:14)를 형성하게 되어 소자의 신뢰성을 저하시키게 된다.
또한, 종래기술에 의하면 A1층의 단차도포성의 불량하게 되어 배선의 단락을 유발시킴으로써 소자의 신뢰성을 저하시키게 된다.
제2a도 및 제2b도는 상기 제1a도 내지 제1c도의 확산 방지막상에 알루미늄을 증착할때, 알루미늄막의 초기 핵생성을 설명하기 위하여 도시한 도면이다. 또한, 제2b도는 상기 제2a도에 점선으로 한정되고 측벽부분에 형성되는 알루미늄막의 초기 핵생성을 설명하기 위하여, 측벽부분을 상세하게 확대하여 도시한 도면이다.
참조 부호 10은 TiN층을 나타내며, 참조 부호 10a는 TiN 그레인을 나타내며, 참조 부호 12은 A1층을 나타내며, 참조 부호 12a는 A1 그레인을 나타낸다.
제2a도 및 제2b도를 참조하여 개구부의 측벽에 형성되는 TiN층(10)의 성질 및 알루미늄층(12)의 표면 모폴로지를 설명한다.
먼저, 개구부의 측벽 부위에 형성된 TiN층의 표면 모폴로지는 증착시 타게트(target)와 통상 수직상태에 놓여 있기 때문에, 스퍼터된 원자들의 수가 상대적으로 적개 증착되게 된다. 특히, 이러한 경향은 콜리메이션 기술을 사용했을때 현저하게 나타난다. 또한, TiN은 (111)방향으로 성장하려는 경향이 있기 때문에, 제2b도에 도시된 바와 같이 주상 형태의 그레인(grain)을 갖는다.
한편, 스퍼터링 방법이나 CVD 방법으로 얻어지는 TiN층(10)은 통상 비정질로 얻어지지 않기 때문에, 특히 스퍼터된 원자들의 수가 상대적으로 적게 형성되는 접촉구의 측벽부분에서는 거친 표면을 갖게 된다. 따라서, 거친 확산방지막의 측벽에 형성되는 알루미늄 원자의 초기 증착특성이 불량하여진다. 다시 말하면, 상대적으로 큰 TiN 그레인의 주변에서는 알루미늄의 핵생성이 고르게 일어나지 않고 제2b도에 도시된 바와 같이 알루미늄이 불균일하고 불연속으로 증착되어진다.
그러므로, 후공정의 알루미늄을 증착하여 열처리를 하더라도 접촉구에서는 상기 제1b에 도시된 바와 같이 보이드(14)가 발생하게 된다. 이러한 접촉구 내에서의 보이드(14) 형성은 높은 단차를 갖는 접촉구에서는 더욱 심해지며 상술한 바와 같이 반도체 장치의 신뢰성을 저하시키게 된다.
따라서, 본 발명의 목적은, 확산방지막의 표면을 평활하게(매끈하게) 형성시켜 고단차의 접촉구를 효과적으로 매몰할 수 있는 반도체 장치의 배선 구조를 제공하는 데 있다.
또한, 본 발명의 다른 목적은, 상기 확산 방지막의 표면이 매끄러운 반도체 장치의 배선구조를 제조하는 데 적합한 형성 방법을 제공하는 데 있다.
본 발명의 목적을 달성하기 위하여, 본 발명은,
반도체 기판;
상기 반도체 기판 상에 형성되고, 그 내부에 형성된 개구부를 포함하는 절연층;
스퍼터 식각에 의해, 상기 개구부의 양측벽에 평활한 표면을 갖는 확산 방지막; 및
상기 확산 방지막상에 형성되어 있는 금속층을 포함하는 것을 특징으로 한다.
상기 확산방지막은 내화금속 또는 내화금속 화합물로 구성되며, 특히 상기 내화 금속은 Ti로, 내화금속 화합물은 TiN으로 구성된다. 또한, 상기 개구부는 상기 반도체 기판의 불순물 확산영역을 노출시키는 콘택홀 또는 상기 반도체 기판 상에 형성된 하부도전층을 노출하는 비아홀이다.
또한, 본 발명은,
반도체 기판;
상기 반도체기판 상에 형성되고, 그 내부에 형성된 개구부를 포함하는 절연층;
스퍼터 식각에 의해, 상기 개구부의 양측벽에 평활한 표면을 갖는 확산 방지막; 및
상기 확산 방지막이 형성되어 있는 상기 개구부상에 매몰된 금속층을 포함하는 것을 특징으로 한다.
본 발명의 다른 목적을 달성하기 위하여, 본 발명은,
반도체 기판상에 절연층을 형성하는 단계;
상기 절연층에 개구부를 형성하는 단계;
상기 절연층, 상기 개구부에 노출된 기판 및 상기 개구부의 측벽에 확산 방지막을 형성하는 단계;
상기 개구부의 양측벽에 형성된 확산방지막의 표면을 스퍼터 식각하여 매끈하게 하는 단계; 및
상기 식각된 확산 방지막상에 금속층을 형성하는 단계를 포함하는 것을 특징으로 한다.
본 발명은 상기 확산 방지막의 표면을 평활(매끈)(하게 변형시키는 위하여 플라즈마를 이용하여, 상기 플라즈마는 불활성가스를 이용한 ECR 플라즈마, RF 플라즈마 또는 Magnetron-enhanced 플라즈마 장치를 이용하여 형성한다. 특히, 본 발명은 상기 불활성가스에 수소를 첨가하여 플라즈마 처리 효과를 증대시킬 수도 있다.
또한, 상기 금속층을 CVD 방법으로 형성할 수 있으며, 상기 확산방지막을 형성하는 단계후에 열처리하는 단계를 더 포함할 수도 있다. 또한, 상기 금속층을 형성하는 단계후에 열처리하여 상기 개구부를 매몰시키는 단계를 더 포함할 수도 있다.
또한, 본 발명은 상기 개구부를 매몰시키는 단계후에 제2의 금속층을 더 형성할 수 있으며, 상기 금속층을 제1의 온도에서 1차 증착한 후, 제2의 온도에서 제2차 증착시킬 수도 있으며, 상기 제1의 온도는 상기 제2의 온도보다 낮은 온도를 사용한다.
또한, 본 발명의 다른 실시예에 의하며,
반도체 기판상에 절연층을 형성하는 단계;
상기 절연층에 개구부를 형성하는 단계;
상기 절연층, 상기 개구부에 노출된 기판 및 상기 개구부의 측벽에 제1 확산방지막을 형성하는 단계;
상기 개구부의 양측벽에 형성된 제1 확산방지막의 표면을 스퍼터 식각하는 단계;
상기 식각된 제1 확산 방지막상에 제2 확산방지막을 형성하는 단계;
상기 제2 확산방지막이 형성된 기판의 전면에 금속층을 형성하는 단계; 및
상기 금속층을 열처리하여 상기 개구부를 매몰하는 단계를 포함하는 것을 특징으로 한다.
상기 제2 확산방지막을 형성하는 단계후에 연속으로 진공을 깨지 않고 상기 금속층을 형성할 수도 있으며, 상기 금속층을 형성하는 단계후에 제2의 금속층을 더 형성하는 단계를 포함할 수도 있다.
또한, 상기 제2 확산방지막을 형성하는 단계후에 열처리를 실시할 수도 있다.
또한, 본 발명은
반도체 기판상에 절연층을 형성하는 단계;
상기 절연층에 개구부를 형성하는 단계;
상기 절연층, 상기 개구부에 노출된 기판 및 상기 개구부의 측벽에 제1 확산방지막을 형성하는 단계;
상기 개구부의 양측벽에 형성된 제1 확산방지막의 표면을 스퍼터 식각하는 단계;
상기 식각된 제1 확산 방지막상에 제2 확산방지막을 형성하는 단계; 및
상기 제2 확산방지막이 형성된 기판에 스퍼터링하여 상기 개구부를 매몰하는 단계를 포함하는 것을 특징으로 한다.
이상의 본 발명은, 매끈한 확산방지막의 측벽에 형성되는 금속층이 알루미늄 원자의 초기 증착특성이 양호함으로 인하여, 알루미늄막의 단차도포성이 양호하고, 균일하고 연속적인 막으로 증착된다. 따라서, 고단차의 접촉구를 보이드없이 효과적으로 매몰할 수 있어, 소자의 신뢰성을 향상시킬 수 있다.
이하, 도면을 참조하여 본 발명의 실시예를 보다 상세히 설명하기로 한다.
먼저, 제3a도 및 제3b도를 참조하여 본 발명에 따른 반도체 장치의 배선층의 구조를 설명한다.
제3a도는 본 발명에 의한 반도체 장치의 배선층의 구조를 설명하기 위한 도면이다.
제3a도를 참조하면, 반도체 기판(31)에 소오스/드레인 영역이 되는 불순물 확산영역(33)이 형성되어 있다. 여기서, 본 발명의 설명을 보다 명료히 하기 위하여 MOS 구조에서의 케이트 영역에 대한 도시와 설명은 생략하기로 한다. 상기 불순물은 N+혹은 P+어느 것일 수도 있다. 상기 불순물 확산 영역(33)의 좌우에는 필드산화막(도시 안됨)이 더 형성되어 있을 수도 있다. 여기서, 상기 반도체 기판(31)에 형성된 불순물 확산영역(33)은, 콘택홀이나 비아홀 같은 개구부 하부의 실리콘층에 대한 상부 도전층을 매몰하는 콘택홀 매몰 구조를 제공하고자 하는 본 발명의 목적을 달성하기에 적절한 실리콘층의 예시에 불과하다. 일예로, 상기 반도체 기판에 형성된 불순물 확산영역(33)은, 반도체 기판 상의 임의의 하부구조물 상에 형성된 폴리실리콘층과 같은 하부도전층(도시되지 않음)으로 대체될 수도 있다. 이때는 후술하는 본 발명의 콘택홀 매몰 구조에 관한 실시예는 상기 하부도전층 상에 형성된 비아홀의 매몰 구조에 그대로 적용될 수 있다.
상기 결과물 상에 상기 불순물 확산영역(33)을 노출시키는 개구부(접촉구)를 갖는 절연층(35)이 형성되어 있다. 상기 절연층(35)은 산화실리콘 같은 절연물질로 이루어져 있다. 상기 개구부는 반도체 기판의 불순물 확산영역(33)을 노출하는 콘택홀뿐 아니라, 반도체 기판상에 형성된 폴리실리콘층과 같은 하부 도전층을 노출하는 비아홀일 수도 있다.
다음에, 상기 개구부의 측면, 개구부에 의해 노출된 상기 불순물 확산영역(33) 및 상기 절연층(35) 상에 Ti층(도시되지 않음)을 형성한 후, 상기 Ti층 상에 확산 방지막인 TiN층(37)을 형성한다. 이어서, 상기 결과물 상에 스퍼터링 방법에 의해 A1을 증착하여 개구부를 매몰하는 금속층(41)을 형성한다.
제3b도의 상기 제3a에 점선으로 한정되고 개구부 측벽에 형성된 확산방지막 및 금속층을 확대하여 도시한 도면으로서, 특히 금속층의 초기 핵생성을 설명하기 위한 도면이다.
제3b도를 참조하면, 본 발명의 특징요소로서 개구부 내부의 측벽에 매끈한(평활한) 확산 방지막(37)이 형성되어 있다. 이렇게 매끈한 확산방지막(37)의 측벽에 형성되는 알루미늄 원자의 초기 증착 특성이 양호하다. 다시 말하면, 균일한 TiN 그레인으로 인하여 알루미늄막의 핵생성이 고르게 일어나며, 따라서 알루미늄막이 종래 기술과는 달리 균일하고 연속적(continuous)으로 증착되어 있다.
[실시예 1]
제4a도 내지 제4d도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제1 실시예를 나타내는 단면도들이고, 제4e도 및 제4f도는 상기 제4b도 및 제4c도의 점선으로 한정되고 개구부 측벽에 형성된 확산방지막의 표면을 설명하기 위하여 확대하여 도시한 도면이고, 상기 제4b도 및 제4c도의 참조부호와 동일한 부호는 동일한 부재를 나타낸다.
제4a도는 반도체 기판(31) 상에 개구부를 갖는 절연막(35)을 형성하는 단계를 나타낸다.
반도체 기판(31)상에 통상적인 LOCOS(Local Oxidation of Silicon)방법에 의해 필드산화막(도시하지 않음)을 형성한 후, 상기 필드산화막 사이의 반도체 기판(31)에 N+또는 P+불순물을 주입하여 소오스/드레인 영역을 형성하기 위한 불순물 확산영역(33)을 형성한다. 여기서 상기 필드산화막의 형성공정은 임의적이며, 전술한 바와 같이, 상기 불순물 확산영역(33)은 본 발명의 목적에 따라 폴리실리콘층과 같은 임의의 실리콘층으로 대체될 수 있다.
다음에, 상기 결과물상에 통상적인 CVD 법에 의해 산화실리콘(SiO2)과 같은 절연물질을 증착하여 절연물질층을 형성한다. 상기 절연물질층은 보로포스포실리케이트글래스(BPSG)를 사용하여 형성하며 약 0.8㎛~1.6㎛의 두께로 형성한다. 이어서, 상기 절연물질층상에 개구부의 형성을 위한 포토레지스 패턴(도시되지 않음)을 형성하고, 상기 포토레지스트 패턴을 식각 마스크로 하여 상기 불순물 확산영역(33)이 노출될 때까지 상기 절연물질층을 식각하여 개구부를 갖는 절연층(35)을 형성한다.
제4b도는 상기 개구부가 형성된 기판의 전면에 확산 방지막(37)을 형성하는 단계를 나타낸다.
절연층(35)의 전표면, 개구부의 내면 및 반도체기판(31)의 노출된 표면상에 확산방지막(37)을 형성한다. 보다 상세하게는, 2mTorr의 아르곤 분위기에서 스퍼터링 방법에 의해 티타늄(Ti)을 약 200~300Å의 두께로 증착하여 Ti층을 형성하거나, 아르곤의 가스량(가스 유속)이 30~50sccm, 질소의 가스량(가스 유속)이 50-85sccm의 조건하에서 스퍼터링 방법에 의해 티타늄 나이트라이드를 약 300Å~500Å의 두께로 증착하여 확산방지막(37)을 형성한다. 상기 Ti층을 증착할 때의 증착속도는 약 500Å/min으로 한다. 또한, 증착시 기판의 온도는 Ti를 증착할 때나 TiN을 증착할 때 모두 200℃이다.
특히, 상기 Ti층이나 TiN층을 증착할 때 콜리메이터 기술을 사용할 수도 있으며, 콜리메이터의 크기는 5/8인치, 어스펙트 비는 1.5 대 1이다. 또한 개구부의 측벽에 형성된 TiN층의 표면상태는 제4e도에 도시한 바와 같이, 특히 스퍼터된 원자들의 수가 상대적으로 적개 형성되는 접촉구의 측벽에서는 거친 표면을 갖게 된다.
제4c도는 확산방지막(37)의 표면을 스퍼터 식각하는 단계를 나타낸다.
상기 확산방지막(37)의 표면을 아르곤 플라즈마를 이용하여 스퍼터 식각하는데, 상기 스퍼터 식각은 ECR(electron cyclotron resonance)플라즈마를 이용하거나 RF 플라즈마 또는 Magnetron-enhanced 플라즈마를 이용하여 수행한다.
구체적으로, 상기 아르곤 플라즈마를 이용한 식각은 측벽에 형성된 확산방지막(37)과 아르곤 이온(Ar+)의 충돌 또는 확산방지막(37)에서 아르곤 이온의 운동량(momentum)을 흡수를 통하여 확산방지막(37)이 깍여 나가게 되어, 표면이 매끈한 확산방지막(39b)와 매끈하지 않은 확산방지막(39a)을 형성하게 된다.
먼저, ECR(electron cyclotron resonance) 플라즈마를 이용하여 스퍼터 식각하는 방법을 설명한다.
ECR 플라즈마를 이용하여 80watt(약 -50V)의 RF 바이어스를 인가한 상태에서 확산방지막(37)의 표면을 스퍼터 식각한다. 보다 상세하게, ECR 플라즈마 장치는 마이크로 웨이프 파워 1kw(마그네트론 주파수 2.45GHz), 아르곤 압력 5mtorr, 기판의 온도는 상온의 조건에서 공정을 수행하였다. 상기 스퍼터 식각은 산화막(SiO2) 100Å을 기준으로 식각했을 때 확산방지막(37)을 약 30Å식각된다. 특히, ECR 플라즈마 장치를 사용할 경우 기판에 바이어스를 작게 인가할 수 있다는 장점이 있으며, 수소 첨가에 의한 표면처리 효과도 증가될 수 있다.
다음에, RF 플라즈마 또는 Magnetron-enhanced 플라즈마 장치를 이용하며 스퍼터 식각하는 방법을 설명한다. 상기 스퍼터링 장치는 13.56MHz의 주파수, 960V의 바이어스 전압, 7mtorr의 아르곤 분위기 및 기판의 온도는 200℃의 조건하에서 스퍼터 식각을 하였다. 이때, 스퍼터 식각은 산화막(SiO2) 100Å을 기준으로 하여 식각하며, 또 100Å 이상으로 식각해도 그 효과는 동일하다.
그 결과 제4f도에 도시한 바와 같이 Ti 또는 TiN 그레인의 거친 표면이 식각되어 매끈한(평활한) 표면을 갖는 확산방지막(39b)이 형성된다.
제4d도는 개구부를 매몰하는 금속층(41)을 형성하는 단계를 나타낸다.
구체적으로, 상기 개구부의 측벽, 개구부에 의해 노출된 상기 불순물 확산영역(33)상에 스퍼터링 방법에 의해 A1을 증착하여 개구부를 매몰하는 금속층(41)을 형성한다. 이때, 상기 금속층(41)의 증착은 증착 온도 200℃, 아르곤 압력 4m torr 에서 수행하여 증착속도 50~150Å/초으로 조절하였다. 더욱 바람직하게는 증착 속도를 125Å/초으로 조절한다. 상술한 바와 같이, 평활한 확산방지막(39b)위에서 형성되는 금속층(41)은 균일한 핵생성이 이루어지기 때문에 증착특성 및 단차피복성이 향상된다.
한편, 보다 우수한 단차 피복성을 얻기 위하여 상기 금속층(41)을 100℃이하의 온도에서 50~150Å/초의 증착속도로 알루미늄을 1차 증착한 후, 200℃이상의 온도에서 100~150Å/초의 높은 증착속도로 증착시킬 수 있다. 이 경우, 저온에서의 우수한 단차 피복성과 고온에서의 유동도(moblity) 향상 효과를 동시에 얻을 수 있다.
[실시예 2]
제5a도 내지 제5c도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제2 실시예를 나타내는 단면도들이다.
제2 실시예는 금속층을 저온에서 형성하고 진공 열처리하는 단계를 제외하고는 상기 제1 실시예와 동일하다. 상기 제1 실시예와 동일한 부재는 동일한 부호를 나타낸다.
먼저, 제1 실시예의 제4a도 내지 제4c도의 단계는 동일한 순서로 실시한다.
제5a도는 제1 금속층(43a)을 형성하는 단계를 나타낸다. 구체적으로, 개구부의 측벽, 개구부에 의해 노출된 기판, 및 확산 방지막상에 A1 또는 알루미늄 합금(예컨대, A1-Si-Cu)으로 이루어진 제1 금속층(43a)을 형성한다. 상기 제1 금속층(43a)은 DC 마그네트론 장치를 이용하여 200℃, 바람직하게는 25℃의 낮은 온도, 진공도 5.0E-7 Torr 이하, 바람직하게는 5.0E-8Torr이하에서 100Å/초의 증착속도로 형성한다.
또한, 상기 제1 금속층(43a)을 형성할때, 개구부의 입구에서 오버행(overhang) 현상을 줄이기 위하여 1m Torr이하의 진공도에서 저압 스퍼터링이나 콜리메이션 공정을 사용할 수 있다.
제5b도는 상기 형성된 제1 금속층(43a)을 열처리하는 단계를 나타낸다.
구체적으로, 상기 개구부에 형성된 제1 금속층(43a)을 상기 DC 마그네트론 장치의 온도를 올리거나 다른 고온의 챔버로 이송시켜 진공 열처리한다. 보다 상세하게는, 상기 진공열처리는 상기 제1금속층(43a)를 형성시킨 후 진공 브레이크 없이 상기 알루미늄 합금의 용융점의 0.5이상~0.9 이하의 온도, 예컨대 450℃이상의 고온에서 10mTorr이하의 아르곤 분위기, 질소 분위기 혹은 환원성 분위기로 2분간 가열함으로써 저온 스퍼터링된 알루미늄 합금의 원자를 이동시켜 상기 제5b도에 도시한 바와 같이 개구부를 매몰하게 되어 매몰된 제1 금속층(43b)을 형성한다. 이때, 증착시나 진공 열처리의 진공도가 향상됨에 따라 열처리의 온도가 낮아질 수 있다.
제5c도는 상기 매몰된 제1 금속층(43b) 상에 제2 금속층(47)을 형성하는 단계를 나타낸다. 구체적으로, 상기 매몰된 제1 금속층(43b)상에 상기 매몰된 제1 금속층(43b)과 동일한 물질로 제2 금속층(47)을 형성한다. 그러나, 상기 제2 금속층(47)의 형성은 상술한 오버행 현상이 적게 발생하는 경우에는 상기 제1 금속층(43a)을 원하는 두께로 형성한 후 열처리하여 개구부를 매몰할 수 있으므로 상기 제2 금속층(47)을 형성하는 단계는 불필요 할 수도 있다.
[실시예 3]
제6a도 내지 제6c도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제3 실시예를 나타내는 단면도들이다.
제3 실시예는 제1 금속층(43c, 43d)을 형성할 때, 200℃ 이하의 낮은 온도에서 금속물질을 1차로 증착한 후, 400℃이상의 고온에서 2차로 증착하는 것을 제외하고는 상기 제2 실시예와 동일하다. 상기 제2 실시예와 동일한 부호는 동일한 부재를 나타낸다.
먼저, 제1 실시예의 제4a도 내지 제4c도의 단계는 동일한 순서로 실시한다.
제6a도는 제1 금속층(43c, 43d)을 형성하는 단계를 나타낸다.
개구부의 측벽, 개구부에 의해 노출된 기판, 및 확산 방지막상에 A1-Si-Cu로 이루어진 제1 금속층(43c, 43d)을 형성한다. 구체적으로, 상기 제1 금속층(43c. 43d)은 200℃이하의 낮은 온도에서 원하는 두께(총두께)의 1/2 이하를 상기 1차로 A1 또는 A1 합금(예컨대, A1-Si-Cu)를 증착한 후, 400℃이상의 고온, 바람직하게는 450℃의 온도에서 2차로 A1-Si-Cu를 증착하여 제1 금속층(43c, 43d)을 형성한다. 이때, 증착속도는 200℃이하의 낮은 온도에서는 100Å/초 이하, 400℃이상의 고온에서는 150Å/초의 속도로 한다.
제6b도는 상기 형성된 제1 금속층(43c, 43d)을 열처리하는 단계를 나타낸다.
구체적으로, 상기 개구부에 형성된 제1 금속층(43c, 43d)을 DC 마그네트론 장치의 온도를 올리거나 다른 고온의 챔버로 이송시켜 진공 열처리 한다. 이러한 진공 열처리는 알루미늄막 표면의 원자들이 이동하여 제6b도에 도시한 바와 같이 개구부를 매몰하게 되어 매몰된 제1 금속층(43e)를 형성한다. 이때, 증착시나 진공 열처리의 진공도가 향상됨에 따라 열처리의 온도가 낮아질 수 있다.
제6c도는 상기 매몰된 제1 금속층(43e)상에 제2 금속층(49)을 형성하는 단계를 나타낸다. 구체적으로, 상기 매몰된 제1 금속층(43e)상에 상기 제1 금속층(43c, 43d)과 동일한 물질로 제2 금속층(49)을 형성한다.
상기 제2 금속층(49)의 형성은 상술한 오버행 현상이 적게 발생하는 경우에는 상기 제1 금속층(43c, 43d)을 원하는 두께로 형성한 후 열처리하여 개구부를 매몰할 수 있으므로 상기 제2 금속층(49)을 형성하는 단계는 불필요할 수도 있다.
[실시예 4]
제4 실시예는 상기 제3 실시예의 제2 금속층의 형성후에 다시 2차 진공 열처리하는 단계를 제외하고는 상기 제3 실시예와 동일하다.
구체적으로, 상기 제3 실시예의 공정을 공정 순서대로 진행한 후 2차 진공열처리를 실시한다. 상기 2차 진공열처리는 상기 1차 진공열처리의 온도, 예컨대 450℃와 같거나 낮은 온도에서 실시한다.
[실시예 5]
제7a도 내지 제7c도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제5 실시예를 나타내는 단면도들이고, 제7d도는 상기 제7a도의 개구부 측벽에 형성된 확산방지막의 표면을 설명하기 위하여 확대하여 도시한 도면이다.
제5 실시예는 제1 금속층을 형성하기 전에 제2 확산방지막(42)을 형성하는 것을 제외하고는 상기 제3 실시예 및 제4 실시예와 동일하다. 또한 제5 실시예에서 상기 제4 실시예와 동일한 부재는 동일한 부호로 나타낸다.
먼저, 제1 실시예의 제4a도 내지 제4c도의 단계는 동일한 순서로 실시한다.
제7a도는 제2 확산 방지막(42) 및 제1 금속층(43f)을 형성하는 단계를 나타낸다.
먼저, 제4c도의 단계후 제1 확산방지막(39a, 39b)을 450℃의 질소분위기에서 열처리를 실시하여 확산 방지막의 내열성을 향상시킨다. 상기 내열성 향상은 산소 스터핑 효과와 하부에 형성된 Ti층을 실리사이드화 시키기 때문으로 이해할 수 있다. 다시 말하면, TiN층 하부의 Ti가 후에 형성되는 알루미늄과 반응할 경우 A13Ti가 형성되어, 약 450℃에서 실리콘과 약 15%정도의 고용도를 갖는 A13Ti로 인하여 접합 스파이킹 현상을 일으키기 쉽다. 따라서, Ti와 Si와의 반응을 유도하여 TiSi2/TiN의 상태로 만들면 A1과의 내열성이 향상된다. 또한, 열처리후에도 개구부의 측벽에서의 제1 확산방지막인 TiN의 표면은 평활한 상태를 유지한다.
다음에, 개구부의 측벽, 개구부에 의해 노출된 기판, 및 제1 확산방지막(39a, 39b)상에 습윤성을 향상시키기 위하여 Ti 또는 TiN을 100-200Å의 두께로 제2 확산방지막(42)을 형성한다. 특히, 개구부의 측벽의 평활한 표면위에 형성되는 제2 확산방지막(42)인 TiN은 아주 작고 일정한 크기로 형성되며, 이를 제7d도에 확대하여 도시하였다. 제7d도의 참조 부호는 상기 제7a도의 동일 부호와 동일한 부재를 나타낸다.
다음에 A1 또는 알루미늄 합금, 예컨대, A1-Si-Cu로 이루어진 제1 금속층(43f)을 형성한다. 상기 제1 금속층(43f)은 개구부의 측벽의 평활한 표면위에 형성되는 TiN이 아주 작고 일정한 크기로 형성되기 때문에, 제1 금속층의 형성초기의 균일한 핵생성을 얻을 수 있고 이에 따라 우수한 피복성을 갖는다. 상기 제1 금속층(43f)은 상기 제3 실시예 및 제4 실시예와 동일하게 2단계로 금속층을 형성시킬 수도 있다.
제7b도는 상기 형성된 제1 금속층(43f)을 열처리하는 단계를 나타낸다.
구체적으로, 상기 개구부에 형성된 제1 금속층(43f)을 진공 열처리한다. 이러한 진공 열처리는 알루미늄막 표면의 원자들이 이동하여 제7b도에 도시한 바와 같이 개구부를 매몰하게 되어 매몰된 제1 금속층(43g)를 형성한다. 상기 매몰된 제1 금속층은 알루미늄의 증착 초기의 균일한 핵생성 및 우수한 피복성을 갖게 되어, 열처리시 매몰특성이 향상되어 반도체 장치의 신뢰성을 향상시킬 수 있다.
또한, 상기 제1 금속층의 형성후 열처리에 의한 인한 매몰방법 이외에 400℃이상의 고온 스퍼터링에 의한 매몰된 제1 금속층의 형성도 가능하다.
제7c도는 상기 매몰된 제1 금속층(43g)상에 제2 금속층(51)을 형성하는 단계를 나타낸다. 구체적으로, 상기 매몰된 제1 금속층(43g)상에 상기 매몰된 제1 금속층(43g)과 동일한 물질로 제2 금속층(51)을 형성한다.
상기 제2 금속층(51)의 형성은 상술한 오버행 현상이 적게 발생하는 경우에는 매몰할 수 있으므로 상기 제2 금속층(51)을 형성하는 단계는 불필요할 수도 있다.
[실시예 6]
제8a도 내지 제8c도는 본 발명에 따른 반도체 장치의 배선층 형성방법의 제6 실시예를 나타내는 단면도들이다.
제6 실시예는 CVD(chemical vapor deposition)방법으로 제1 금속층(43h)을 형성한 후, 진공 열처리하여 개구부를 매몰하거나 또는 알루미늄 합금을 스퍼터링하고 진공 열처리하여 개구부를 매몰하는 것을 제외하고는 상기 제2 실시예 내지 제5 실시예와 동일하다. 상기 제2 실시예와 동일한 부호는 동일한 부재를 나타낸다.
먼저, 제1 실시예의 제4a도 내지 제4c도의 단계는 동일한 순서로 실시한다.
제8a도는 제1 금속층(43h)을 형성하는 단계를 나타낸다.
개구부의 측벽, 개구부에 의해 노출된 기판, 및 확산 방지막상에 예컨대, A1으로 이루어진 제1 금속층(43h)을 CVD 방법으로 형성한다. 보다 상세하게는, 알루미늄 또는 알루미늄 합금의 증착은 공지된 통상의 CVD 방법에 의해 수행할 수 있다.
예를 들면, 트리이소부틸알루미늄(Triisobutyl aluminum, 이하, TIBA라 한다), DMAH(Dimethyl Aluminum hydride: (CH3)2A1H)등과 같은 유기 금속 화합물을 소오스로서 이용하여 수행한다.
TIBA를 사용하여 증착하는 경우, 코올드 월(cold wall)형 장치를 사용하며, TIBA의 유입시 기상 온도(vapor temperature)는 90℃이하, 바람직하게는 84℃ 내지 86℃를 유지하고, 담체 가스로서는 아르곤과 같은 불활성 가스를 버블링(bubbling)시켜 사용한다. 이때, 아르곤 가스의 유속은 약 7 l/min인 것이 바람직하다. TIBA의 열 분해시에 증착 온도는 약 250℃ 정도이지만, 증착 온도가 커서 바람직하지 않다. 증착 온도는 온도가 내려가면, 감소하므로, 증착 속도를 낮추기 위해 보다 낮은 온도에서 수행할 수도 있다. 상기 조건에서, 증착 온도는 약 1,000Å/min이며, 접촉구의 크기가 0.2㎛인 경우에는 TIBA를 이용하여 약 1분간 알루미늄을 증착한다.
또한, 다른 방법으로, 상기 제1 금속층(43h)은 DMAH등과 같은 알루미늄 수소화물을 사용하여 증착시킨다. 이때, 상기 알루미늄 수소화물의 열분해를 방지하기 위하여, 저온에서 상기 알루미늄 수소화물을 보관하고, 증착 공정은 50℃ 이상의 온도에서 수행한다. 불활성 가스인 아르곤을 이용하여 소오스의 기상 상태를 조절할 수 있고, 증착 속도를 낮추기 위하여는 기판의 온도를 낮추거나, 또는 소오스의 양을 감소시킨다. 알루미늄 수소화물을 이용한 증착 방법은 적절한 소오스를 사용하면 증착온도를 낮출 수 있다. 증착 공정은 0.01 내지 10 Torr의 압력 및 50 내지 150℃의 반응 챔버에서 수행한다.
상기 CVD 방법에 의한 제1 금속층(43h)은 개구부의 측벽에 형성된 매끈한 TiN표면에서 형성되므로 제8a도에 나타낸 바와 같이 피복성이 좋게 형성된다.
제8b도는 상기 형성된 제1 금속층(43h)을 열처리하여 개구부를 매몰하는 단계를 나타낸다.
구체적으로, 상기 개구부에 형성된 제1 금속층(43h)을 진공을 깨지 않고 진공 열처리하거나 상기 형성된 제1 금속층상에 A1 합금 예컨대, A1-Si-Cu를 스퍼터링하여 진공열처리를 실시한다. 이러한 진공 열처리는 알루미늄막 표면의 원자들이 이동하여 제8b도에 도시한 바와 같이 개구부를 매몰하게 되어 매몰된 제1 금속층(43i)를 형성한다. 이때, 증착시나 진공 열처리의 진공도가 향상됨에 따라 열처리의 온도가 낮아질 수 있다.
한편, 상기 CVD 방법에 의한 제1 금속층(43h)의 형성시 개구부의 크기의 1/2 이상의 두께로 증착할 경우 솔기(seam)이 없이 개구부를 매몰할 수 있어 상기 진공열처리를 수행하지 않고 개구부를 매몰할 수 있다. 만약 상기 솔기가 발생하더라도 후의 진공열처리를 통하여 제거할 수 있으며, 따라서 상기 진공열처리는 선택에 의해 실시하거나 실시하지 않을 수도 있다.
제8c도는 상기 매몰된 제1 금속층(43i)상에 제2 금속층(53)을 형성하는 단계를 나타낸다. 구체적으로, 상기 매몰된 제1 금속층(43i)상에 상기 매몰된 제1 금속층(43i)과 동일한 물질로 스퍼터링에 의해 제2 금속층(53)을 형성한다. 또는, 상기 매몰된 제1 금속층(43i)상에 상기 제1 금속층(43i)과 동일한 물질로 스퍼터링에 의해 제2 금속층(53)을 형성한 후 진공열처리한다.
상기 제2 금속층(53)의 형성은 상술한 오버행 현상에 적게 발생하는 경우에는 상기 제1 금속층(43h)을 원하는 두께로 형성한 후 열처리하여 개구부를 매몰할 수 있으므로 상기 제2 금속층(53)을 형성하는 단계는 불필요할 수도 있다.
[비교예]
제9a도 및 제9b도는 본 발명에 의하여 스퍼터 식각된 TiN의 표면과 종래기술에 의하여 식각되지 않은 상태의 TiN 표면을 촬영한 사진이다. 구체적으로, 제9a도에 도시한 본 발명에 의한 TiN의 표면은 그레인 경계의 틈새가 보이지 않고 평활한 표면을 가지고 있으며, 제9b도에 도시한 종래기술에 의한 TiN의 표면은 그레인 경계의 틈새가 존재하여 표면이 거친 상태로 관찰된다.
이상의 본 발명에 의하면, 매끈한 확산방지막의 측벽에 형성되는 금속층이 알루미늄 원자의 초기 증착특성이 양호함으로 인하여, 알루미늄막의 단차도포성이 양호하고, 균일하고 연속적인 막으로 증착된다. 따라서, 고단차의 접촉구를 보이드 없이 효과적으로 매몰할 수 있어, 소자의 신뢰성을 향상시킬 수 있다.
이상, 본 발명을 실시예를 들어 설명하였지만, 본 발명은 이에 한하지 않으며, 본 발명의 범위 내에서 다양한 변형이 가능함으로 이 분야에 통상의 지식을 가진 자라면 용이하게 알 수 있을 것이다.

Claims (20)

  1. 반도체기판;상기 반도체 기판 상에 형성되고, 그 내부에 형성된 개구부를 포함하는 절연층;스퍼터 식각에 의해, 상기 개구부의 양측벽에 평활한 표면을 갖는 확산방지막; 및 상기 확산 방지막상에 형성되어 있는 금속층을 포함하는 반도체 장치의 배선구조.
  2. 제1항에 있어서, 상기 확산방지막은 내화금속 또는 내화금속 화합물로 구성된 것을 특징으로 하는 반도체 장치의 배선구조.
  3. 제2항에 있어서, 상기 내화 금속은 Ti로, 내화금속 화합물은 TiN으로 이루어진 것을 특징으로 하는 반도체 장치의 배선구조.
  4. 제1항에 있어서, 상기 개구부는 상기 반도체 기판의 불순물 확산영역을 노출시키는 콘택홀 또는 상기 반도체 기판 상에 형성된 하부도전층을 노출하는 비아홀인 것을 특징으로 하는 반도체 장치의 배선구조.
  5. 상기 반도체기판 상에 형성되고, 그 내부에 형성된 개구부를 포함하는 절연층; 스퍼터 식각에 의해, 상기 개구부의 양측벽에 평활한 표면을 갖는 확산 방지막; 및 상기 확산 방지막이 형성되어 있는 상기 개구부상에 매몰된 금속층을 포함하는 것을 특징으로 하는 반도체 장치의 배선구조.
  6. 반도체 기판상에 절연층을 형성하는 단계; 상기 절연층에 개구부를 형성하는 단계; 상기 절연층, 상기 개구부에 노출된 기판 및 상기 개구부의 측벽에 확산방지막을 형성하는 단계; 상기 개구부의 양측벽에 형성된 확산방지막의 표면을 스퍼터 식각하여 매끈하게 하는 단계; 및 상기 식각된 확산 방지막상에 금속층을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  7. 제6항에 있어서, 상기 확산 방지막의 표면을 평활하게 변형시키기 위하여 플라즈마를 이용하는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  8. 제7항에 있어서, 상기 플라즈마는 불활성가스를 이용한 ECR 플라즈마, RF 플라즈마 또는 Magnetron-enhanced 플라즈마 장치를 이용하여 형성하는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  9. 제8항에 있어서, 상기 불활성가스에 수소를 첨가하여 플라즈마 처리 효과를 증대시키는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  10. 제6항에 있어서, 상기 금속층을 CVD 방법으로 형성하는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  11. 제6항에 있어서, 상기 확산방지막을 형성하는 단계후에 열처리하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  12. 제6항에 있어서, 상기 금속층을 형성하는 단계후에 열처리하여 상기 개구부를 매몰시키는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  13. 제12항에 있어서, 상기 개구부를 매몰시키는 단계후에 제2의 금속층을 더 형성하는 것을 특징으로 하는 것을 특징으로 반도체 장치의 배선층 형성방법.
  14. 제6항에 있어서, 상기 금속층을 제1의 온도에서 1차 증착한 후, 제2의 온도에서 제2차 증착시키는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  15. 제14항에 있어서, 상기 제1의 온도는 상기 제2의 온도보다 낮은 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  16. 반도체 기판상에 절연층을 형성하는 단계;상기 절연층에 개구부를 형성하는 단계; 상기 절연층, 상기 개구부에 노출된 기판 및 상기 개구부의 측벽에 제1 확산방지막을 형성하는 단계; 상기 개구부의 양측벽에 형성된 제1 확산방지막의 표면을 스퍼터 식각하는 단계; 상기 식각된 제1 확산 방지막상에 제2 확산방지막을 형성하는 단계; 상기 제2 확산방지막이 형성된 기판의 전면에 금속층을 형성하는 단계; 및 상기 금속층을 열처리하여 상기 개구부를 매몰하는 단계를 포함하는 것을 특징으로 반도체 장치의 배선층 형성방법.
  17. 제16항에 있어서, 상기 제2 확산방지막을 형성하는 단계후에 연속으로 진공을 깨지 않고 상기 금속층을 형성하는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  18. 제16항에 있어서, 상기 금속층을 형성하는 단계후에 제2의 금속층을 더 형성하는 것을 특징으로 하는 것을 특징으로 반도체 장치의 배선층 형성방법.
  19. 제16항에 있어서, 상기 제2 확산방지막을 형성하는 단계후에 열처리를 실시하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 배선층 형성방법.
  20. 반도체 기판상에 절연층을 형성하는 단계; 상기 절연층에 개구부를 형성하는 단계; 상기 절연층, 상기 개구부에 노출된 기판 및 상기 개구부의 측벽에 제1 확산방지막을 형성하는 단계; 상기 개구부의 양측벽에 형성된 제1 확산방지막의 표면을 스퍼터 식각하는 단계; 상기 식각된 제1 확산 방지막상에 제2 확산방지막을 형성하는 단계; 및 상기 제2 확산방지막이 형성된 기판의 전면에 고온 스퍼터링하여 상기 개구부를 매몰하는 단계를 포함하는 것을 특징으로 반도체 장치의 배선층 형성방법.
KR1019940013121A 1994-06-10 1994-06-10 반도체 장치의 배선 구조 및 그 형성방법 KR0144956B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1019940013121A KR0144956B1 (ko) 1994-06-10 1994-06-10 반도체 장치의 배선 구조 및 그 형성방법
GB9511567A GB2290166B (en) 1994-06-10 1995-06-07 Manufacturing method for a wiring structure of a semiconductor device
CN95107381A CN1061782C (zh) 1994-06-10 1995-06-09 半导体器件的布线结构及其制造方法
DE19521150A DE19521150B4 (de) 1994-06-10 1995-06-09 Verdrahtungsstruktur eines Halbleiterbaulementes und Verfahren zu ihrer Herstellung
JP16832995A JP3963494B2 (ja) 1994-06-10 1995-06-09 半導体装置およびその形成方法
US08/873,869 US5998870A (en) 1994-06-10 1997-06-12 Wiring structure of semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019940013121A KR0144956B1 (ko) 1994-06-10 1994-06-10 반도체 장치의 배선 구조 및 그 형성방법

Publications (2)

Publication Number Publication Date
KR960002480A KR960002480A (ko) 1996-01-26
KR0144956B1 true KR0144956B1 (ko) 1998-08-17

Family

ID=19385080

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940013121A KR0144956B1 (ko) 1994-06-10 1994-06-10 반도체 장치의 배선 구조 및 그 형성방법

Country Status (6)

Country Link
US (1) US5998870A (ko)
JP (1) JP3963494B2 (ko)
KR (1) KR0144956B1 (ko)
CN (1) CN1061782C (ko)
DE (1) DE19521150B4 (ko)
GB (1) GB2290166B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040019170A (ko) * 2002-08-26 2004-03-05 삼성전자주식회사 알루미늄 콘택의 형성 방법
KR100757561B1 (ko) * 2003-06-05 2007-09-10 가부시끼가이샤 도시바 반도체 장치의 제조 방법

Families Citing this family (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
US6891269B1 (en) 1995-07-05 2005-05-10 Fujitsu Limited Embedded electroconductive layer structure
KR100218728B1 (ko) * 1995-11-01 1999-09-01 김영환 반도체 소자의 금속 배선 제조방법
KR100193897B1 (ko) * 1996-06-28 1999-06-15 김영환 반도체 소자의 플러그 형성 방법
GB2322963B (en) * 1996-09-07 1999-02-24 United Microelectronics Corp Method of fabricating a conductive plug
NL1005653C2 (nl) * 1997-03-26 1998-09-29 United Microelectronics Corp Werkwijze voor het fabriceren van een geleidende contactpen.
KR100226751B1 (ko) * 1997-04-10 1999-10-15 구본준 반도체 소자의 금속 배선 형성방법
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6482734B1 (en) 1998-01-20 2002-11-19 Lg Semicon Co., Ltd. Diffusion barrier layer for semiconductor device and fabrication method thereof
US6547934B2 (en) 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
JP2000133712A (ja) * 1998-08-18 2000-05-12 Seiko Epson Corp 半導体装置の製造方法
TW394994B (en) * 1998-10-15 2000-06-21 United Microelectronics Corp Method of manufacturing barrier layer of integrated circuit
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US7053002B2 (en) 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6114198A (en) * 1999-05-07 2000-09-05 Vanguard International Semiconductor Corporation Method for forming a high surface area capacitor electrode for DRAM applications
US6380628B2 (en) * 1999-08-18 2002-04-30 International Business Machines Corporation Microstructure liner having improved adhesion
JP2001308094A (ja) 2000-04-19 2001-11-02 Oki Electric Ind Co Ltd 配線薄膜の堆積方法
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6348732B1 (en) * 2000-11-18 2002-02-19 Advanced Micro Devices, Inc. Amorphized barrier layer for integrated circuit interconnects
KR100753119B1 (ko) * 2001-06-30 2007-08-29 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP3757143B2 (ja) * 2001-10-11 2006-03-22 富士通株式会社 半導体装置の製造方法及び半導体装置
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
US6673718B1 (en) * 2002-11-27 2004-01-06 Samsung Electronics Co., Ltd. Methods for forming aluminum metal wirings
KR100485160B1 (ko) * 2003-01-30 2005-04-22 동부아남반도체 주식회사 반도체 소자의 접속홀 형성 방법
JP4501533B2 (ja) * 2004-05-31 2010-07-14 株式会社デンソー 半導体装置の製造方法
WO2006016473A1 (ja) * 2004-08-10 2006-02-16 Nippon Mining & Metals Co., Ltd. フレキシブル銅基板用バリア膜及びバリア膜形成用スパッタリングターゲット
CN101320754A (zh) 2004-09-17 2008-12-10 日本电气株式会社 半导体器件
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100715761B1 (ko) * 2005-04-01 2007-05-08 영남대학교 산학협력단 보론이 도핑된 실리콘웨이퍼 상의 알루미늄 패드도금불량의 개선방법
CN100444351C (zh) * 2005-10-20 2008-12-17 Bcd半导体制造有限公司 一种集成电路双极电路中接触孔的制造工艺
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010272711A (ja) * 2009-05-22 2010-12-02 Mitsubishi Electric Corp 半導体デバイスとその製造方法
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JPWO2011162255A1 (ja) * 2010-06-22 2013-08-22 株式会社アルバック バリア膜の形成方法及び金属配線膜の形成方法
JP2012069891A (ja) * 2010-09-27 2012-04-05 Denso Corp 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103066096B (zh) * 2013-01-28 2016-01-20 豪威科技(上海)有限公司 背照式cmos影像传感器的制造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9991124B2 (en) 2015-01-20 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) * 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61183942A (ja) * 1985-02-08 1986-08-16 Fujitsu Ltd 半導体装置の製造方法
JPS63303062A (ja) * 1987-06-02 1988-12-09 Nec Corp 半導体集積回路の製造装置
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JP2751223B2 (ja) * 1988-07-14 1998-05-18 セイコーエプソン株式会社 半導体装置およびその製造方法
ATE139866T1 (de) * 1990-02-19 1996-07-15 Canon Kk Verfahren zum herstellen von abgeschiedener metallschicht, die aluminium als hauptkomponente enthält, mit anwendung von alkylaluminiumhydrid
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5232871A (en) * 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3252397B2 (ja) * 1991-02-21 2002-02-04 ソニー株式会社 配線形成方法
JPH04280425A (ja) * 1991-03-07 1992-10-06 Sony Corp 配線形成方法
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
EP0536664B1 (en) * 1991-10-07 1997-01-15 Sumitomo Metal Industries, Ltd. A method for forming a thin film
EP0545602A1 (en) * 1991-11-26 1993-06-09 STMicroelectronics, Inc. Method for forming barrier metal layers
JPH05311393A (ja) * 1992-05-12 1993-11-22 Citizen Watch Co Ltd 応力緩和被膜の構造
KR960010056B1 (ko) * 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
JPH0730095A (ja) * 1993-06-25 1995-01-31 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5685960A (en) * 1995-11-27 1997-11-11 Applied Materials, Inc. Method for forming aluminum contacts

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040019170A (ko) * 2002-08-26 2004-03-05 삼성전자주식회사 알루미늄 콘택의 형성 방법
KR100757561B1 (ko) * 2003-06-05 2007-09-10 가부시끼가이샤 도시바 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
JP3963494B2 (ja) 2007-08-22
JPH07335759A (ja) 1995-12-22
GB2290166A (en) 1995-12-13
CN1061782C (zh) 2001-02-07
DE19521150B4 (de) 2009-07-02
GB2290166B (en) 1998-09-09
GB9511567D0 (en) 1995-08-02
DE19521150A1 (de) 1995-12-14
CN1117205A (zh) 1996-02-21
KR960002480A (ko) 1996-01-26
US5998870A (en) 1999-12-07

Similar Documents

Publication Publication Date Title
KR0144956B1 (ko) 반도체 장치의 배선 구조 및 그 형성방법
US6255216B1 (en) Methods of forming a contact having titanium silicide and titanium formed by chemical vapor deposition
US8456007B2 (en) Chemical vapor deposition of titanium
JP3584054B2 (ja) 半導体装置及びその製造方法
KR950009934B1 (ko) 반도체 장치의 배선층 형성방법
KR960010056B1 (ko) 반도체장치 및 그 제조 방법
US5773363A (en) Semiconductor processing method of making electrical contact to a node
US5960320A (en) Metal wiring layer forming method for semiconductor device
KR20010052610A (ko) 엑스-시츄 콘택 충진을 위한 플라즈마 처리
US7033939B2 (en) Chemistry for chemical vapor deposition of titanium containing films
US6433434B1 (en) Apparatus having a titanium alloy layer
JPH1167688A (ja) シリサイド材料とその薄膜およびシリサイド薄膜の製造方法
US5149672A (en) Process for fabricating integrated circuits having shallow junctions
US6597042B1 (en) Contact with germanium layer
JPH09102469A (ja) 半導体装置の製造方法
KR100284074B1 (ko) 반도체 소자 제조방법
KR100313417B1 (ko) 반도체 소자에서 금속 배선 형성 방법
KR100315036B1 (ko) 반도체 소자의 게이트 전극 형성 방법
EP0357221A1 (en) Process for producing contacts in integrated circuits having shallow junctions
KR100395912B1 (ko) 반도체소자의 게이트전극 형성방법
JPH08306778A (ja) 半導体装置の製造方法
KR20000041873A (ko) 금속배선 형성방법
KR19990059113A (ko) 반도체 소자의 장벽금속층 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120402

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee