JP3963494B2 - 半導体装置およびその形成方法 - Google Patents

半導体装置およびその形成方法 Download PDF

Info

Publication number
JP3963494B2
JP3963494B2 JP16832995A JP16832995A JP3963494B2 JP 3963494 B2 JP3963494 B2 JP 3963494B2 JP 16832995 A JP16832995 A JP 16832995A JP 16832995 A JP16832995 A JP 16832995A JP 3963494 B2 JP3963494 B2 JP 3963494B2
Authority
JP
Japan
Prior art keywords
forming
opening
layer
semiconductor device
diffusion barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP16832995A
Other languages
English (en)
Other versions
JPH07335759A (ja
Inventor
相忍 李
善鎬 河
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JPH07335759A publication Critical patent/JPH07335759A/ja
Application granted granted Critical
Publication of JP3963494B2 publication Critical patent/JP3963494B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
【産業上の利用分野】
本発明は半導体装置およびその形成方法に係り、特にコンタクトホールやブァイアホールなどのような開口部を埋没する半導体装置およびその形成方法に関するものである。
【0002】
【従来の技術】
半導体装置の配線方法は半導体装置の速度、収率および信頼性を決める要因となるために、半導体製造構造中で最も重要な位置を占めている。従来の集積度の低い半導体装置において、金属の段差塗布性は大きく問題にならなかった。ところが、最近半導体装置の高集積化に応じて接触口の直径はハーフミクロン程度に非常に小さくなり、半導体基板に形成された不純物注入領域は一層薄くなった。したがって、従来のアルミニウムを利用して配線を形成する方法は、1μm以下の接触口が埋め立てにくく、ボイドが形成され金属配線層の信頼性を低下させるために改善する必要性がある。
【0003】
半導体初期段階では純粋アルミニウムを使用して金属配線層を形成したが、アルミニウム層はシンタリング段階で温度が上がるにつれてシリコン基板からシリコン原子を吸収して接合スパイキングを発生するために、アルミニウムをシリコンで過飽和させたAl−1%Siが金属配線層の材料として広く用いられてきた。
【0004】
しかしながら、このようなAl−1%Siを使用して半導体装置の配線を形成する場合、約450℃以上の温度で熱処理する時にAl膜中のシリコンが析出されSi残査を形成し、接触口ではシリコン粒子固相エピタキシャル成長を通じてSi−ノジュールが形成され配線の抵抗や接触抵抗を増加させる。金属配線層とシリコン基板間の前記のような反応によるAlスパイキングやSi残査またSiーノジュールの形成を防止するために、配線層とシリコン基板または絶縁層の間に拡散防止膜を形成するのが公知されている。例えば、米国特許第4,897,709号(横山等)では拡散防止膜として窒化チタン膜を接触口の内壁に形成する方法が記載されている。また、日本国特許公開公報第61−183942号では障壁層として高融点金属膜(Ti)と窒化チタン膜(TiN)からなる二重膜を形成し熱処理して、半導体基板と接続する接触口の底部でTi層は半導体基板と反応して熱的に安定した化合物で構成された高融点金属シリサイド層を形成することにより、障壁効果を向上させるのが開示されている。通常、このような拡散防止膜は窒素雰囲気でアニーリングする工程を行う。拡散防止膜をアニーリングしない場合は450℃以上の温度でアルミニウムやアルミニウム合金をスパッタリングするとか、以後にシンタリングする場合に接合スパイキング現象が発生して望ましくない。前記拡散防止膜として通常窒化チタン(TiN)膜やTiW(N)膜が用いられている。前記TiN膜やTiW(またはTiWN膜)などは薄膜形成時にアルミニウムやシリコンの拡散を完璧に防止することのできない微細組織上の欠陥や粒子境界が存する。
【0005】
また、J.B.スチメルとB.N.メロトラは“酸素スタッフィング”方法によって粒子境界での拡散経路を遮断させる方法を提案した(参照文献:“Effects of Oxygen on Reactively Sputtered TiN Film" by J. B. Stimmel and B. N. Mehrotra, in Tungsten and Other Refractory Metals for VLSI Application III., V.A.Wells. ed, pp.375〜382, Materials Research Society, 1988)。一般に、TiNを蒸着した後に大気に露出させると、大気中の酸素により少量の酸素が混入され拡散障壁効果が高まる。これをスタッフィング効果という。スチメルとメロトラはかれらの論文で酸素は粒子境界だけでなく障壁金属の表面で“酸化物の形態に存在する”と教示しており、スタッフィング効果を例示していることが判る。
【0006】
ところが、TiまたはTiNを蒸着して障壁層を形成した後、大気に露出させたり、酸素を混入させTiNを蒸着したり、酸素の混入された窒素雰囲気でアニーリングする場合に接触抵抗が増加することができる。したがって、大気露出時間、蒸着時の酸素混入量、アニーリング時の酸素混入量および温度などの条件に応じてTiN膜の障壁特性が変化する。障壁金属のアニーリングは450〜550℃の温度でN2 雰囲気下で30〜60分間行うのが最適であると知られている。
【0007】
しかしながら、拡散防止膜をアニールさせる時に誘導される酸素スタッフィング効果は拡散防止膜の表面の酸化をもたらして、後続の工程でアルミニウムを使用して接触口を埋没しようとする時に接触性などの問題を発生して半導体装置の信頼性に阻害要因として作用する。このような阻害要因を抑制するために、金属膜の湿潤性を向上させる湿潤層の挿入およびシリル化層の形成と水素化処理後に接触口を埋没させる方法などが提案されたことがある。
【0008】
また、ヒガタマサフミは障壁金属とアルミニウム配線との湿潤性を向上させ、配線の質と収率を向上させるために、障壁層であるTiN層を熱処理した後、SiやO2 をイオン注入する方法を提示した(日本国特許公開公報第88−176035号)ことがあり、ヒロシ等(VMIC170〜176、1991)はアルミニウムスパッタ前にTiを蒸着した後、連続して高温アルミニウムを蒸着して0.5μm、アスペクト比1.6のブァイアホールを埋め立てたが、Tiが薄い場合は埋め立て効果が少なくなると発表した。
【0009】
しかしながら、接触口が0.5μm以下に小さくなるにつれて従来の技術では接触口が完全に埋没されなくなり、接触口内に空いたボイドを作って信頼性が低下することもできる。
【0010】
図1〜図3は従来の開口部(コンタクトホール)埋没配線構造を示す断面図である。
【0011】
先ず、図1〜図3を参照して従来のコンタクトホール埋没配線構造を説明することにする。
【0012】
半導体基板2上にソース/ドレイン領域となる不純物拡散領域4が形成されており、前記結果物上に不純物拡散領域4の表面一部を露出させるコンタクトホール(開口部)6を有する絶縁層8が形成されている。ここで、MOS構造においてゲート電極構造については説明の便宜上示されていない。前記コンタクトホール6の側面、コンタクトホール6によって露出された前記不純物拡散領域4および前記絶縁層8上にオーミックコンタクト層であるTi層(図示せず)と拡散防止膜であるTiN層10が順に形成されている。そして、前記TiN層10上にはコンタクトホールを埋没しながらAl層12が形成されている。
【0013】
一方、前記従来のコンタクト埋没配線構造は次のように製造する。
【0014】
先ず、半導体基板2上に通常のLOCOS(Local Oxidation of Silicon)方法によってフィールド酸化膜(図示せず)を形成した後、前記フィールド酸化膜の間の半導体基板2に不純物を注入してソース/ドレイン領域を形成するための不純物拡散領域4を形成する。
【0015】
次に、前記結果物上に通常のCVD(Chemical Vapor Deposition)法によって酸化シリコンSiO2 のような絶縁物質を蒸着して絶縁層8を形成する。次いで、前記絶縁層8上にコンタクトホール6の形成のためのフォトレジストパターン(図示せず)を形成し、前記フォトレジストパターンを蝕刻マスクとして前記不純物拡散領域4が露出されるまで前記絶縁層8を蝕刻して開口部6を形成する。次に、前記開口部6の側面、開口部6により露出された前記不純物拡散領域4および前記絶縁層8上にスパッタリング方法によって300〜900Å厚さでTiを蒸着してオーミックコンタクト層であるTi層(図示せず)を形成した後、前記Ti層上にスパッタリング方法によって600〜2000Å厚さで拡散防止膜であるTiN層10を形成する。
【0016】
次に、前記結果物上にスパッタリング方法によってAlを蒸着して開口部6を埋没するAl層12を形成する。
【0017】
以上の従来のコンタクト埋没配線構造およびその形成方法において、オーミックコンタクト層であるTi層、拡散防止膜であるTiN層10およびAl層12は主としてスパッタリング方法により形成されるが、既存のスパッタリング方法による蒸着はコンタクトホールのアスペクト比が増えるにつれて、図1および図2に示したようにボイド14を形成するようになり素子の信頼性を低下させる。また、従来の技術によると、Al層の段差塗布性が不良になり、配線の短絡を誘発させることにより、素子の信頼性を低下させる。
【0018】
図4は前記図1〜図3の拡散防止膜上にアルミニウムを蒸着する時にアルミニウム膜の初期核生成を説明するために示した図であり、側壁部分に形成されるアルミニウム膜の初期核生成を説明するために障壁部分を詳細に拡大して示した図を含める。
【0019】
参照符号10はTiN層、10aはTiNグレン、12はAl層、12aはAlグレンを示す。
【0020】
図4を参照して開口部の側壁に形成されるTiN層の性質およびアルミニウム層の表面形態を説明する。
【0021】
先ず、開口部の側壁部位に形成されたTiN層の表面は蒸着時にターゲットと通常垂直状態に置かれているので、スパッタされた原子の数が相対的に少なく蒸着される。特に、このような傾向はコリメーション技術を使用した時に著しく現れる。また、TiNは(111)方向へ成長しようとする傾向があるので、図4に示したように柱状のグレンを有する。
【0022】
一方、スパッタリング方法やCVD方法で得られるTiN層は通常に非晶質でないので、特にスパッタされた原子の数が相対的に少なく形成される接触口の側壁部分では粗い表面を持つようになる。したがって、粗い拡散防止膜の側壁に形成されるアルミニウム原子の初期蒸着特性が不良になる。言い換えれば、相対的に大きいTiNグレンの周辺ではアルミニウムの核生成が均一に生じることなく図4に示したようにアルミニウムが不均一で不連続的に蒸着される。
【0023】
したがって、後続の工程のアルミニウムを蒸着して熱処理しても接触口では前記図4に示したようにボイドが発生する。このような接触口内でのボイドは高い段差を有する接触口ではさらに生じやすくなり、前述したように半導体装置の信頼性を低下させる。
【0024】
【発明が解決しようとする課題】
本発明の目的は、拡散防止膜の平滑な表面を形成させ高段差の接触口を効果的に埋没できる半導体装置を提供することにある。
【0025】
本発明の他の目的は、前記拡散防止膜の表面がグレン境界なく滑らかな半導体装置を製造するのに適合する方法を提供することにある。
【0026】
【課題を達成するための手段】
上記目的を達成するための本発明は、半導体基板と、前記半導体基板上に形成され、その内部に形成された開口部を含む絶縁層と、前記開口部の両側壁に、高融点金属または高融点金属化合物を成膜後、別途当該高融点金属または高融点金属化合物の表面を不活性ガス中でプラズマ印加により平滑化した拡散防止膜と、前記拡散防止膜上に形成されている金属層と、を具備することを特徴とする半導体装置である。
【0028】
また本発明の半導体装置において、前記高融点金属はTiであり、高融点金属化合物はTiNであることを特徴とする。
【0029】
また本発明の半導体装置において、前記開口部は、前記半導体基板の不純物拡散領域を露出するコンタクトホールまたは前記半導体基板上に形成された下部導電層を露出するブァイアホールであることを特徴とする。
【0030】
また本発明の半導体装置において、前記金属層は、AlまたはAl合金であることを特徴とする。
【0031】
また、上記目的を達成するための本発明は、半導体基板と、前記半導体基板上に形成され、その内部に形成された開口部を含む絶縁層と、前記開口部の両側壁に、高融点金属または高融点金属化合物を成膜後、別途当該高融点金属または高融点金属化合物の表面を不活性ガス中でプラズマ印加により平滑化した拡散防止膜と、前記拡散防止膜の形成されている前記開口部に埋没された金属層と、を具備することを特徴とする半導体装置である。
【0032】
また、上記目的を達成するための本発明は、半導体基板上に絶縁層を形成する段階と、前記絶縁層に開口部を形成する段階と、前記絶縁層、前記開口部を通じて露出された基板および前記開口部の側壁に拡散防止膜となる高融点金属または高融点金属化合物を形成する段階と、前記開口部の両側壁に形成された拡散防止膜の表面に不活性ガス中でプラズマ印加する段階と、前記プラズマ印加された拡散防止膜上に金属層を形成する段階と、を具備することを特徴とする半導体装置の形成方法である。
【0033】
また本発明の半導体装置の形成方法において、前記プラズマは、不活性ガスを利用したECRプラズマ、RFプラズマまたはMEプラズマ装置を利用して形成することを特徴とする。
【0034】
また本発明の半導体装置の形成方法において、前記不活性ガスに水素を添加してプラズマ処理効果を増大させることを特徴とする。
【0035】
また本発明の半導体装置の形成方法において、前記金属層をCVD方法で形成することを特徴とする。
【0036】
また本発明の半導体装置の形成方法において、前記拡散防止膜を形成する段階後に熱処理する段階をさらに具備することを特徴とする。
【0037】
また本発明の半導体装置の形成方法において、前記金属層を形成する段階後に熱処理して前記開口部を埋没させる段階をさらに具備することを特徴とする。
【0038】
また本発明の半導体装置の形成方法において、前記開口部を埋没させる段階後に第2の金属層をさらに形成することを特徴とする。
【0039】
また本発明の半導体装置の形成方法において、前記金属層を第1の温度で1次蒸着した後、第2の温度で2次蒸着させることを特徴とする。
【0040】
また本発明の半導体装置の形成方法において、前記第1の温度は前記第2の温度より低いことを特徴とする。
【0041】
また、上記目的を達成するための本発明は、半導体基板上に絶縁層を形成する段階と、前記絶縁層に開口部を形成する段階と、前記絶縁層、前記開口部を通じて露出された基板および前記開口部の側壁に第1拡散防止膜となる高融点金属または高融点金属化合物を形成する段階と、前記開口部の両側壁に形成された第1拡散防止膜の表面に不活性ガス中でプラズマ印加する段階と、前記プラズマ印加された第1拡散防止膜上に第2拡散防止膜を形成する段階と、前記第2拡散防止膜の形成された基板の全面に金属層を形成する段階と、前記金属層を熱処理して前記開口部を埋没する段階と、を具備することを特徴とする半導体装置の形成方法である。
【0042】
また本発明の半導体装置の形成方法において、前記第2拡散防止膜を形成する段階後に連続して真空を破らず前記金属層を形成することを特徴とする。
【0043】
また本発明の半導体装置の形成方法において、前記金属層を形成する段階後に第2の金属層をさらに形成することを特徴とする。
【0044】
また本発明の半導体装置の形成方法において、前記第2拡散防止膜を形成する段階後に熱処理する段階をさらに具備することを特徴とする。
【0045】
また本発明の半導体装置の形成方法において、前記第1拡散防止膜の表面をプラズマ印加する段階後に熱処理する段階をさらに具備することを特徴とする。
【0046】
また、上記目的を達成するための本発明は、半導体基板上に絶縁層を形成する段階と、前記絶縁層に開口部を形成する段階と、前記絶縁層、前記開口部を通じて露出された基板および前記開口部の側壁に第1拡散防止膜となる高融点金属または高融点金属化合物を形成する段階と、前記開口部の両側壁に形成された第1拡散防止膜の表面に不活性ガス中でプラズマ印加する段階と、前記プラズマ印加された第1拡散防止膜上に第2拡散防止膜を形成する段階と、前記第2拡散防止膜の形成された基板の全面に高温スパッタリングして前記開口部に金属層を埋没させる段階と、を具備することを特徴とする半導体装置の形成方法である。
【0047】
【作用】
上述のように構成された請求項1〜20に記載の本発明の半導体装置およびその形成方法は、滑らかな拡散防止膜の側壁に形成される金属層、すなわちアルミニウム層がアルミニウム原子の初期蒸着特性の良さにより、アルミニウム膜の段差塗布性が良好であり、均一で連続的な膜に蒸着される。
【0048】
【実施例】
以下、添付した図面に基づき本発明の実施例をさらに詳細に説明する。
【0049】
先ず、図5を参照して本発明による半導体装置の構造を説明する。
【0050】
図5を参照すれば、半導体基板31にソース/ドレイン領域になる不純物拡散領域33が形成されている。ここで、本発明の説明をより明らかにするために、MOS構造でのゲート領域に対する図示と説明は略することにする。前記不純物はNあるいはPのうちのいずれか1つであり得る。前記不純物拡散領域の左右にはフィールド酸化物がさらに形成されることもできる。ここで、前記半導体基板31に形成された不純物拡散領域33はコンタクトホールやブァイアホールのような開口部の下部のシリコン層に上部導電層を埋没する埋没構造を提供しようとする本発明の目的を達成するのに適したシリコン層の例示に過ぎない。一例に、前記半導体基板に形成された不純物拡散領域33は半導体基板上の任意の下部構造物上に形成されたポリシリコン層のような下部導電層(図示せず)に代替されることができる。この時、後述する本発明のコンタクトホール埋没構造に関する実施例は前記下部導電層上に形成されたブァイアホールの埋没構造にそのまま適用され得る。
【0051】
前記結果物上に前記不純物拡散領域を露出させる開口部(接触口)を有する絶縁層35が形成されている。前記絶縁層35は酸化シリコンのような絶縁物質からなる。前記開口部は半導体基板の不純物拡散領域33を露出するコンタクトホールだけでなく、半導体基板上に形成されたポリシリコン層のような下部導電層を露出するブァイアホールであり得る。
【0052】
次に、前記開口部の側面、開口部により露出された前記不純物拡散領域33および前記絶縁層35上にTi層(図示せず)を形成した後、前記Ti層上に拡散防止膜であるTiN層37を形成する。次いで、前記結果物上にスパッタリング方法によってAlを蒸着して開口部を埋没する金属層41を形成する。
【0053】
図5は開口部の側壁に形成された拡散防止膜および金属層を拡大して金属層の初期核生成を説明するための図を含めている。本発明の特徴要素として開口部内部の側壁にグレン境界(grain boundary)のない平滑な拡散防止膜37が形成されている。このように滑らかな拡散防止膜37の側壁に形成されるアルミニウム原子の初期蒸着特性が良好である。言い換えれば、均一なTiNグレンまたは平滑なTiNの表面によりアルミニウム膜の核生成が均一に起こり、したがって、アルミニウム膜が従来の技術とは異なって均一で連続的に蒸着されている。
【0054】
(実施例1)
図6A〜図6Dは本発明による半導体装置の配線層形成方法の第1実施例を示す断面図であり、前記図6Bおよび図6Cは開口部の側壁に形成された拡散防止膜の表面を説明するために拡大して示した図を含む。
【0055】
図6Aは半導体基板31上に開口部を有する絶縁膜35を形成する段階を示す。
【0056】
半導体基板31上に通常のLOCOS方法によってフィールド酸化膜(図示せず)を形成した後、前記フィールド酸化膜32の間の半導体基板31にNまたはP不純物を注入してソース/ドレイン領域を形成するための不純物拡散領域33を形成した。ここで、前記フィールド酸化膜の形成工程は任意的であり、前述したように、前記不純物拡散領域は本発明の目的に応じてポリシリコン層のような任意のシリコン層に代替され得る。
【0057】
次に、前記結果物上に通常のCVD法により酸化シリコンSiO2 のような絶縁物質を蒸着して絶縁物質層を形成した。前記絶縁物質層は含燐含硼素ガラス(BPSG)を使用して形成し、約0.8μm〜1.6μmの厚さで形成した。次いで、前記絶縁物質層上に開口部の形成のためのフォトレジストパターン(図示せず)を形成し、前記フォトレジストパターンを蝕刻マスクとして前記不純物拡散領域33が露出されるまで前記絶縁物質層を蝕刻して開口部を有する絶縁層35を形成した。
【0058】
図6Bは前記開口部の形成された基板の全面に拡散防止膜37を形成する段階を示す。
【0059】
絶縁層35の全表面、開口部66の内面および半導体基板61の露出された表面上に拡散防止膜37を形成した。より詳細には、2mTorrのアルゴン雰囲気でスパッタリング方法によりチタン(Ti)を約200〜300Åの厚さで蒸着してTi層を形成したり、アルゴンのガス量(ガス流速)が30〜50sccm、窒素のガス量(ガス流速)が50〜80sccmの条件下でスパッタリング方法によってチタンナイトライドを約300〜500Åの厚さで蒸着して拡散防止膜37を形成した。前記Ti層を蒸着する際の蒸着速度は約500Å/minとする。また、蒸着時に基板の温度はTi蒸着やTiN蒸着の両方とも200℃である。
【0060】
特に、前記Ti層やTiN層を蒸着する時にコリメータ技術を使用することもでき、コリメータの大きさは5/8インチ、アスペクト比は1.5対1である。また、開口部の側壁に形成されたTiN層の表面状態は図6Bに示したように、特にスパッタされた原子の数が相対的に少なく形成される接触口の側壁では粗い表面を有するようになる。
【0061】
図6CはTiN層37の表面をプラズマ印加する段階を示す。
【0062】
前記TiN層37の表面をアルゴンプラズマを利用してプラズマ印加するが、前記プラズマ印加はECRプラズマを利用したりRFプラズマまたはMEプラズマ装置を利用して行う。
【0063】
具体的に、前記アルゴンプラズマを利用した蝕刻は側壁に形成されたTiN層とアルゴンイオン(Ar)の衝突またはTiN層でアルゴンイオンの運動量の吸収を通じてTiN層が削られるようになり、表面の滑らかなTiN層39bと滑らかでないTiN層39aを形成した。
【0064】
先ずECRプラズマを利用してプラズマ印加する方法を説明する。
【0065】
ECRプラズマを利用して80watt(約−50V)のRFバイアスを印加した状態でTiN層37の表面をプラズマ印加する。より詳細に、ECRプラズマ装置はマイクロウェーブパワー1kw(マグネトロン周波数2.45GHz)、アルゴン圧力5mTorr、基板の温度は常温の条件で工程を遂行した。前記プラズマ印加では基準酸化膜SiO2 が100Å蝕刻される時にTiN層は約30Å蝕刻される。特に、ECRプラズマ装置を使用する場合、基板にバイアスを小さく印加できるという長所があり、水素添加による表面処理効果も増加され得る。
【0066】
次に、RFプラズマまたはMEプラズマ装置を利用してプラズマ印加する方法を説明する。前記スパッタリング装置は13.56MHzの周波数、960Vのバイアス電圧、7mTorrのアルゴン雰囲気および基板の温度は200℃の条件下でプラズマ印加を行った。この際、プラズマ印加は酸化膜SiO2 100Åを基準として蝕刻し、また100Å以上に蝕刻してもその効果は同様である。
【0067】
その結果、図6Cに示したように、開口部の側壁に形成されたTiNグレンの粗い表面がプラズマ印加され滑らかな表面を有するTiN層が形成される。
【0068】
図6Dは開口部を埋没する金属層41を形成する段階を示す。
【0069】
具体的に、前記開口部の側壁、開口部により露出された前記不純物拡散領域33上にスパッタリング方法によってAlを蒸着して開口部を埋没する金属層41を形成した。この時、前記金属層41の蒸着は蒸着温度200℃、アルゴン圧力4mTorrで遂行して蒸着速度50〜150Å/秒に調節した。さらに望ましくは蒸着速度を125Å/秒に調節する。前述したように、平滑なTiN層上に形成されるAl層は均一な核生成がなされるために、蒸着特性および段差被覆特性が向上する。
【0070】
一方、より優れた段差被覆性を得るために、前記Al金属層を100℃以下の温度で50〜150Å/秒の蒸着速度でアルミニウムを1次蒸着した後、200℃以上の温度で100〜150Å/秒の高い蒸着速度で蒸着させることができる。この場合、低温での優れた段差被覆性と高温での原子流動度との向上効果を同時に得ることができる。
【0071】
(実施例2)
図7A〜7Cは本発明による半導体装置の配線層形成方法の第2実施例を示す断面図である。
【0072】
第2実施例は金属層を低温で形成し真空熱処理する段階を除いては前記第1実施例と同様である。前記第1実施例と同様の符号は同様の部材を示す。
【0073】
先ず、第1実施例の図6A〜6Cの段階は同様の手順で施す。
【0074】
図7Aは第1金属層43aを形成する段階を示す。具体的に、開口部の側壁、開口部により露出された基板および拡散防止膜上にAlまたはアルミニウム合金(例えば、Al−Si−Cu)からなる第1金属層43aを形成した。前記第1金属層43aはDCマグネトロン装置を利用して200℃以下、望ましくは25℃の温度、真空度5.0E−7Torr以下、望ましくは5.0E−8Torr以下で100Å/秒の蒸着速度で形成した。
【0075】
また、前記第1金属層43aを形成する時に、開口部の入口でオーバハング現象を減らすために2mTorr以下の真空度で低圧スパッタリングやコリメーション工程を使用することができる。
【0076】
図7Bは前記形成された第1金属層43aを熱処理する段階を示す。
【0077】
具体的に、前記開口部に形成された第1金属層43aを前記DCマグネトロン装置の温度を上げたり他の高温のチェインバに移送させ真空熱処理する。前記真空熱処理は前記第1金属層43aを形成させた後、真空ブレーキなく前記アルミニウム合金の溶融点の0.5〜0.9の温度、例えば450℃以上の高温で10mTorr以下のアルゴン雰囲気、窒素雰囲気あるいは還元性雰囲気で2分間加熱することにより、低温スパッタリングされたアルミニウム合金の原子を移動させ、前記図7Bに示したように開口部を埋没するようになり埋没された第1金属層43bを形成した。この際、蒸着時や真空熱処理時の真空度が向上するにつれ熱処理の温度が低くなり得る。
【0078】
図7Cは前記埋没された第1金属層43b上に第2金属層47を形成する段階を示す。具体的に、前記埋没された第1金属層43b上に前記第1金属層43aと同様の物質で第2金属層47を形成した。しかしながら、前記第2金属層47の形成は前述したオーバハング現象が少なく発生する場合は前記第1金属層43aを所望の厚さに形成した後、熱処理して開口部を埋没することができるので、前記第2金属層47を形成する段階は不要であり得る。
【0079】
(実施例3)
図8A〜8Cは本発明による半導体装置の配線層形成方法の第3実施例を示す断面図である。
【0080】
第3実施例は第1金属層43c,43dを形成する時に、200℃以下の低い温度で金属物質を1次で蒸着した後、400℃以上の高温で2次で蒸着することを除いては前記第2実施例と同様である。前記第2実施例と同様の符号は同様の部材を示す。
【0081】
先ず、第1実施例の図6A〜6C段階は同様の手順で施す。
【0082】
図8Aは第1金属層43c,43dを形成する段階を示す。
【0083】
開口部の側壁、開口部により露出された基板および拡散防止膜上にAl−Si−Cuからなる第1金属層43c,43dを形成した。具体的に、前記第1金属層43c,43dは200℃以下の低い温度で所望の厚さ(総厚さ)の1/2以下を前記1次でAlまたはAl合金(例えばAl−Si−Cu)を蒸着した後、400℃以上の高温、望ましくは450℃の温度で2次でAl−Si−Cuを蒸着して第1金属層43c,43dを形成した。この際、蒸着速度は200℃以下の低温では100Å/秒以下、400℃以上の高温では150Å/秒の速度で行う。
【0084】
図8Bは前記形成された第1金属層43c,43dを熱処理する段階を示す。具体的に、前記開口部に形成された第1金属層43c,43dをDCマグネトロン装置の温度を上げたり他の高温のチェインバに基板を移送させ真空熱処理する。このような真空熱処理はアルミニウム膜表面の原子が移動して図8Bに示したように開口部を埋没するようになり、埋没された第1金属層43eを形成した。この際、蒸着時や真空熱処理時の真空度が向上するにつれて熱処理の温度が低くなり得る。
【0085】
図8Cは前記埋没された第1金属層43上に第2金属層49を形成する段階を示す。具体的に、前記埋没された第1金属層43e上に前記第1金属層43c,43dと同様の物質で第2金属層49を形成した。
【0086】
前記第2金属層49の形成は、前述したオーバハング現象が少なく発生する場合には前記第1金属層43bを所望の厚さに形成した後、熱処理して開口部を埋没することができるので、前記第2金属層49を形成する段階は不要であり得る。
【0087】
(実施例4)
第4実施例は前記第3実施例の第2金属層の形成後に再び2次真空熱処理する段階を除いては前記第3実施例と同様である。
【0088】
具体的に、前記第3実施例の工程を順に進んだ後、2次真空熱処理を施す。前記2次真空熱処理は前記1次真空熱処理の温度、例えば450℃と同様であったり低い温度で施す。
【0089】
(実施例5)
図9A〜9Cは本発明による半導体装置の配線層形成方法の第5実施例を示す断面図であり、図9Aは開口部の側壁に形成された拡散防止膜の表面を説明するために拡大して示した図を含む。
【0090】
第5実施例は第1金属層を形成する前に第2拡散防止膜を形成することを除いては前記第3実施例および第4実施例と同様である。また、第5実施例で前記第4実施例と同様の部材は同様の符号で表す。
【0091】
先ず、第1実施例の図6A〜6Cの段階は同様の手順で施す。
【0092】
図9Aは第2拡散防止膜42および第1金属層43fを形成する段階を示す。
先ず、図6Cの段階後、第1拡散防止膜を450℃の窒素雰囲気で熱処理して拡散防止膜の耐熱性を向上させる。前記耐熱性の向上は酸素スタッフィング効果と下部に形成されたTi層のシリサイド化に起因する。言い換えれば、TiN層の下部のTiが後に形成されるアルミニウムと反応する場合にAl3 Tiが形成され、約450℃でシリコンと約15%程度の高溶解度を有するAl3 Tiにより接合スパイキング現象を生じやすい。したがって、TiとSiとの反応を誘導してTiSi2 /TiNの状態にすれば拡散防止膜の耐熱性が向上する。また、熱処理後にも開口部の側壁での第1拡散防止膜であるTiNの表面は平滑な状態を維持する。
【0093】
次に、開口部の側壁、開口部により露出された基板および第1拡散防止膜上に湿潤性を向上させるためにTiまたはTiNを100〜200Åの厚さで沈積させ第2拡散防止膜42を形成した。特に、開口部の側壁の平滑な表面上に形成される第2拡散防止膜42であるTiNは非常に小さくて一定した大きさに形成される。
【0094】
次にAlまたはアルミニウム合金、例えばAl−Si−Cuからなる第1金属層43fを形成した。前記第1金属層は開口部の側壁の平滑な表面上に形成されるTiNが非常に小さくて一定した大きさに形成されるために、第1金属層の形成初期の均一な核生成を得ることができ、これにより優れた被覆性を有する。前記第1金属層43fは前記第3実施例および第4実施例と同様に2段階で金属層を形成させることもできる。
【0095】
図9Bは前記形成された第1金属層43fを熱処理する段階を示す。
【0096】
具体的に、前記開口部に形成された第1金属層43fを真空熱処理する。このような真空熱処理はアルミニウム膜表面の原子が移動して、図9Bに示したように開口部を埋没するようになり、埋没された第1金属層43gを形成した。前記埋没された第1金属層はアルミニウムの蒸着初期の均一な核生成および優れた被覆性を有するようになり、熱処理時の埋没特性が向上して半導体装置の信頼性を向上させることができる。
【0097】
また、前記第1金属層の形成後に熱処理による埋没方法以外に400℃以上の高温スパッタリングにより埋没された第1金属層の形成も可能である。
【0098】
図9Cは前記埋没された第1金属層43g上に第2金属層51を形成する段階を示す。具体的に、前記埋没された第1金属層43g上に前記第1金属層43gと同様の物質で第2金属層51を形成した。
【0099】
前記第2金属層51の形成は、前述したオーバハング現象が少なく発生する場合は前記第1金属層43gを所望の厚さに形成した後、熱処理して開口部を埋没することができるので、前記第2金属層51を形成する段階は不要であり得る。
(実施例6)
図10A〜10Cは本発明による半導体装置の配線層形成方法の第6実施例を示す断面図である。
【0100】
第6実施例はCVD方法で第1金属層を形成した後、真空熱処理して開口部を埋没したりまたはアルミニウム合金をスパッタリングし真空熱処理して開口部を埋没することを除いては前記第2実施例〜第5実施例と同様である。前記第2実施例でと同一の符号は同一の部材を示す。
【0101】
先ず、第1実施例の図6A〜6C段階は同様の手順で施す。
【0102】
図10Aは第1金属層43hを形成する段階を示す。
【0103】
開口部の側壁、開口部により露出された基板および拡散防止膜上に、例えばAlからなる第1金属層43hをCVD方法で形成した。より詳細にはアルミニウムまたはアルミニウム合金の蒸着は公知された通常のCVD方法により遂行することができる。
【0104】
例えば、トリイソブチルアルミニウム(Triisobutyl Aluminum、以下TIBAという)、DMAH(Dimethyl Aluminum Hydride:(CH3 2 AlH)などのような有機金属化合物をソースとして利用して遂行する。
【0105】
TIBAを使用して蒸着する場合、コールドウォール型装置を使用し、TIBAの流入時に気相温度は90℃以下、望ましくは84℃〜86℃を維持し、担体ガスとしてはアルゴンのような不活性ガスをバブリングさせて使用する。この時、アルゴンガスの流速は約7リットル/minなのが望ましい。TIBAの熱分解時に蒸着温度は約250℃程度であるが、蒸着速度が早くて望ましくない。蒸着速度は温度が下がれば減少するので、蒸着速度を低めるために、より低い温度で遂行することもできる。前記条件で蒸着速度は約1000Å/minであり、接触口の大きさが0.2μmの場合はTIBAを利用して約1分間アルミニウムを蒸着する。
【0106】
また、他の方法として、前記第1金属層43hはDMAHなどのようなアルミニウム水素化物を使用して蒸着させる。この際、前記アルミニウム水素化物の熱分解を防止するために、低温で前記アルミニウム水素化物を保管し、蒸着工程は50℃以上の温度で遂行する。不活性ガスであるアルゴンを利用してソースの気相状態を調節することができ、蒸着速度を落とすためには基板の温度を下げたり、またはソースの量を減少させる。アルミニウム水素化物を利用した蒸着方法は適切なソースを使用すれば蒸着温度を下げることができる。蒸着工程は0.01ないし10Torrの圧力および50〜150℃の反応チャンバで遂行する。
【0107】
前記CVD方法による第1金属層43hは開口部の側壁に形成された滑らかなTiN表面で形成されるために、図10Aに示したように被覆性よく形成される。 図10Bは前記形成された第1金属層43hを熱処理して開口部を埋没する段階を示す。
【0108】
具体的に、前記開口部に形成された第1金属層43hを真空を破らず真空熱処理したり前記形成された第1金属層上にAl合金、例えばAl−Si−Cuをスパッタリングして真空熱処理を施す。このような真空熱処理はアルミニウム膜表面の原子が移動して、図8Bに示したように開口部を埋没するようになり、埋没された第1金属層43iを形成した。この際に、蒸着時や真空熱処理時の真空度が向上するにつれて熱処理の温度が下がり得る。
【0109】
一方、前記CVD方法による第2金属層の形成時に開口部の大きさの1/2以上の厚さで蒸着する場合、継ぎ目なく開口部を埋没することができ前記真空熱処理を遂行せず開口部を埋没することができる。もし、継ぎ目が発生しても後の真空熱処理を通じて除去することができる。したがって、前記真空熱処理は選択的である。
【0110】
図10Cは前記埋没された第1金属層43i上に第2金属層53を形成する段階を示す。具体的に、前記埋没された第1金属層43i上に前記第1金属層43iと同様の物質でスパッタリングにより第2金属層53を形成した。または、前記埋没された第1金属層43i上に前記第1金属層43iと同様の物質でスパッタリングにより第2金属層53を形成した後に真空熱処理する。
【0111】
前記第2金属層53の形成は前述したオーバハング現象が少なく発生する場合は前記第1金属層43hを所望の厚さに形成した後、熱処理して開口部を埋没することができるので、前記第2金属層53を形成する段階は不要であり得る。
【0112】
(比較例)
図11および図12は本発明によリプラズマ印加されたTiNの表面と従来技術により蝕刻されていない状態のTiN表面を撮影した写真である。具体的に、図11に示した本発明によるTiNの表面はグレン境界がなく平滑な表面を持っており、図12に示した従来技術によるTiNの表面は粗い状態に観察された。
なお、以上の実施例においては、高融点金属としてTiをまた高融点金属化合物としてTiNを用いたものであるが、本発明に用いられる高融点金属としては、例えばMoやWなども可能であり、これらの化合物として、TiNやTiWNなどが用いられてもよい。また本発明は前記実施例に限定されるものではなく、本発明の思想を逸脱しない範囲内において種々の改変をなし得ることはもちろんである。
【0113】
【発明の効果】
以上で説明したように、請求項1〜20に記載の本発明によると、滑らかな拡散防止膜の側壁に形成される金属層、すなわちアルミニウム層がアルミニウム原子の初期蒸着特性の良さにより、アルミニウム膜の段差塗布性が良好であり、均一で連続的な膜に蒸着される。したがって、高段差の接触口をボイドなく効果的に埋没することができ、素子の信頼性を向上させることができる。
【図面の簡単な説明】
【図1】 従来の開口部の埋没配線構造を示す断面図である。
【図2】 従来の開口部の埋没配線構造を示す断面図である。
【図3】 従来の開口部の埋没配線構造を示す断面図である。
【図4】 前記図1〜図3の拡散防止膜上にアルミニウムを蒸着する時、アルミニウム膜の初期核生成を説明するために示した図である。
【図5】 本発明による半導体装置の配線層の構造を説明するための図であり、開口部の側壁に金属層の初期核生成を説明するために拡大して示した図を含む図面である。
【図6】 (A)〜(D)は本発明による半導体装置の配線層形成方法の第1実施例を示す断面図であり、前記(B)および(C)は開口部の側壁に形成された拡散防止膜の表面を説明するために拡大して示した図を含む図面である。
【図7】 (A)〜(C)は本発明による半導体装置の配線層形成方法の第2実施例を示す断面図である。
【図8】 (A)〜(C)は本発明による半導体装置の配線層形成方法の第3実施例を示す断面図である。
【図9】 (A)〜(C)は本発明による半導体装置の配線層形成方法の第5実施例を示す断面図であり、前記(A)は開口部の側壁に形成された拡散防止膜の表面を説明するために拡大して示した図を含む図面である。
【図10】 (A)〜(C)は本発明による半導体装置の配線層形成方法の第6実施例を示す断面図である。
【図11】 本発明によりプラズマ印加されたTiNの表面を撮影した電子顕微鏡写真である。
【図12】 従来技術による、プラズマ印加されていない状態のTiNの表面を撮影した電子顕微鏡写真である。
【符号の説明】
31 半導体基板
33 不純物拡散領域
35 絶縁層
37 拡散防止膜
41 金属層

Claims (20)

  1. 半導体基板と、
    前記半導体基板上に形成され、その内部に形成された開口部を含む絶縁層と、
    前記開口部の両側壁に、高融点金属または高融点金属化合物を成膜後、別途当該高融点金属または高融点金属化合物の表面を不活性ガス中でプラズマ印加により平滑化した拡散防止膜と、
    前記拡散防止膜上に形成されている金属層と、を具備することを特徴とする半導体装置。
  2. 前記高融点金属はTiであり、高融点金属化合物はTiNであることを特徴とする請求項に記載の半導体装置。
  3. 前記開口部は、前記半導体基板の不純物拡散領域を露出するコンタクトホールまたは前記半導体基板上に形成された下部導電層を露出するブァイアホールであることを特徴とする請求項1に記載の半導体装置。
  4. 前記金属層は、AlまたはAl合金であることを特徴とする請求項1に記載の半導体装置。
  5. 半導体基板と、
    前記半導体基板上に形成され、その内部に形成された開口部を含む絶縁層と、
    前記開口部の両側壁に、高融点金属または高融点金属化合物を成膜後、別途当該高融点金属または高融点金属化合物の表面を不活性ガス中でプラズマ印加により平滑化した拡散防止膜と、
    前記拡散防止膜の形成されている前記開口部に埋没された金属層と、
    を具備することを特徴とする半導体装置。
  6. 半導体基板上に絶縁層を形成する段階と、
    前記絶縁層に開口部を形成する段階と、
    前記絶縁層、前記開口部を通じて露出された基板および前記開口部の側壁に拡散防止膜となる高融点金属または高融点金属化合物を形成する段階と、
    前記開口部の両側壁に形成された拡散防止膜の表面に不活性ガス中でプラズマ印加する段階と、
    前記プラズマ印加された拡散防止膜上に金属層を形成する段階と、
    を具備することを特徴とする半導体装置の形成方法。
  7. 前記プラズマは、不活性ガスを利用したECRプラズマ、RFプラズマまたはMEプラズマ装置を利用して形成することを特徴とする請求項に記載の半導体装置の形成方法。
  8. 前記不活性ガスに水素を添加してプラズマ処理効果を増大させることを特徴とする請求項に記載の半導体装置の形成方法。
  9. 前記金属層をCVD方法で形成することを特徴とする請求項に記載の半導体装置の形成方法。
  10. 前記拡散防止膜を形成する段階後に熱処理する段階をさらに具備することを特徴とする請求項に記載の半導体装置の形成方法。
  11. 前記金属層を形成する段階後に熱処理して前記開口部を埋没させる段階をさらに具備することを特徴とする請求項に記載の半導体装置の形成方法。
  12. 前記開口部を埋没させる段階後に第2の金属層をさらに形成することを特徴とする請求項11に記載の半導体装置の形成方法。
  13. 前記金属層を第1の温度で1次蒸着した後、第2の温度で2次蒸着させることを特徴とする請求項に記載の半導体装置の形成方法。
  14. 前記第1の温度は前記第2の温度より低いことを特徴とする請求項13に記載の半導体装置の形成方法。
  15. 半導体基板上に絶縁層を形成する段階と、
    前記絶縁層に開口部を形成する段階と、
    前記絶縁層、前記開口部を通じて露出された基板および前記開口部の側壁に第1拡散防止膜となる高融点金属または高融点金属化合物を形成する段階と、
    前記開口部の両側壁に形成された第1拡散防止膜の表面に不活性ガス中でプラズマ印加する段階と、
    前記プラズマ印加された第1拡散防止膜上に第2拡散防止膜を形成する段階と、前記第2拡散防止膜の形成された基板の全面に金属層を形成する段階と、
    前記金属層を熱処理して前記開口部を埋没する段階と、を具備することを特徴とする半導体装置の形成方法。
  16. 前記第2拡散防止膜を形成する段階後に連続して真空を破らず前記金属層を形成することを特徴とする請求項15に記載の半導体装置の形成方法。
  17. 前記金属層を形成する段階後に第2の金属層をさらに形成することを特徴とする請求項15に記載の半導体装置の形成方法。
  18. 前記第2拡散防止膜を形成する段階後に熱処理する段階をさらに具備することを特徴とする請求項15に記載の半導体装置の形成方法。
  19. 前記第1拡散防止膜の表面をプラズマ印加する段階後に熱処理する段階をさらに具備することを特徴とする請求項15に記載の半導体装置の形成方法。
  20. 半導体基板上に絶縁層を形成する段階と、
    前記絶縁層に開口部を形成する段階と、
    前記絶縁層、前記開口部を通じて露出された基板および前記開口部の側壁に第1拡散防止膜となる高融点金属または高融点金属化合物を形成する段階と、
    前記開口部の両側壁に形成された第1拡散防止膜の表面に不活性ガス中でプラズマ印加する段階と、
    前記プラズマ印加された第1拡散防止膜上に第2拡散防止膜を形成する段階と、
    前記第2拡散防止膜の形成された基板の全面に高温スパッタリングして前記開口部に金属層を埋没させる段階と、を具備することを特徴とする半導体装置の形成方法。
JP16832995A 1994-06-10 1995-06-09 半導体装置およびその形成方法 Expired - Fee Related JP3963494B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR94P13121 1994-06-10
KR1019940013121A KR0144956B1 (ko) 1994-06-10 1994-06-10 반도체 장치의 배선 구조 및 그 형성방법

Publications (2)

Publication Number Publication Date
JPH07335759A JPH07335759A (ja) 1995-12-22
JP3963494B2 true JP3963494B2 (ja) 2007-08-22

Family

ID=19385080

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16832995A Expired - Fee Related JP3963494B2 (ja) 1994-06-10 1995-06-09 半導体装置およびその形成方法

Country Status (6)

Country Link
US (1) US5998870A (ja)
JP (1) JP3963494B2 (ja)
KR (1) KR0144956B1 (ja)
CN (1) CN1061782C (ja)
DE (1) DE19521150B4 (ja)
GB (1) GB2290166B (ja)

Families Citing this family (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
US6891269B1 (en) 1995-07-05 2005-05-10 Fujitsu Limited Embedded electroconductive layer structure
KR100218728B1 (ko) * 1995-11-01 1999-09-01 김영환 반도체 소자의 금속 배선 제조방법
KR100193897B1 (ko) * 1996-06-28 1999-06-15 김영환 반도체 소자의 플러그 형성 방법
GB2322963B (en) * 1996-09-07 1999-02-24 United Microelectronics Corp Method of fabricating a conductive plug
NL1005653C2 (nl) * 1997-03-26 1998-09-29 United Microelectronics Corp Werkwijze voor het fabriceren van een geleidende contactpen.
KR100226751B1 (ko) * 1997-04-10 1999-10-15 구본준 반도체 소자의 금속 배선 형성방법
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6482734B1 (en) 1998-01-20 2002-11-19 Lg Semicon Co., Ltd. Diffusion barrier layer for semiconductor device and fabrication method thereof
US6547934B2 (en) 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) * 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
JP2000133712A (ja) * 1998-08-18 2000-05-12 Seiko Epson Corp 半導体装置の製造方法
TW394994B (en) * 1998-10-15 2000-06-21 United Microelectronics Corp Method of manufacturing barrier layer of integrated circuit
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US7053002B2 (en) 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6114198A (en) * 1999-05-07 2000-09-05 Vanguard International Semiconductor Corporation Method for forming a high surface area capacitor electrode for DRAM applications
US6380628B2 (en) * 1999-08-18 2002-04-30 International Business Machines Corporation Microstructure liner having improved adhesion
JP2001308094A (ja) 2000-04-19 2001-11-02 Oki Electric Ind Co Ltd 配線薄膜の堆積方法
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6348732B1 (en) * 2000-11-18 2002-02-19 Advanced Micro Devices, Inc. Amorphized barrier layer for integrated circuit interconnects
KR100753119B1 (ko) * 2001-06-30 2007-08-29 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP3757143B2 (ja) 2001-10-11 2006-03-22 富士通株式会社 半導体装置の製造方法及び半導体装置
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
KR20040019170A (ko) * 2002-08-26 2004-03-05 삼성전자주식회사 알루미늄 콘택의 형성 방법
US6673718B1 (en) * 2002-11-27 2004-01-06 Samsung Electronics Co., Ltd. Methods for forming aluminum metal wirings
KR100485160B1 (ko) * 2003-01-30 2005-04-22 동부아남반도체 주식회사 반도체 소자의 접속홀 형성 방법
JP2004363402A (ja) * 2003-06-05 2004-12-24 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP4501533B2 (ja) * 2004-05-31 2010-07-14 株式会社デンソー 半導体装置の製造方法
WO2006016473A1 (ja) * 2004-08-10 2006-02-16 Nippon Mining & Metals Co., Ltd. フレキシブル銅基板用バリア膜及びバリア膜形成用スパッタリングターゲット
CN101527133B (zh) 2004-09-17 2012-07-18 日本电气株式会社 半导体器件、使用该器件的电路和显示设备及其驱动方法
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100715761B1 (ko) * 2005-04-01 2007-05-08 영남대학교 산학협력단 보론이 도핑된 실리콘웨이퍼 상의 알루미늄 패드도금불량의 개선방법
CN100444351C (zh) * 2005-10-20 2008-12-17 Bcd半导体制造有限公司 一种集成电路双极电路中接触孔的制造工艺
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010272711A (ja) * 2009-05-22 2010-12-02 Mitsubishi Electric Corp 半導体デバイスとその製造方法
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011162255A1 (ja) * 2010-06-22 2011-12-29 株式会社アルバック バリア膜の形成方法及び金属配線膜の形成方法
JP2012069891A (ja) * 2010-09-27 2012-04-05 Denso Corp 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103066096B (zh) * 2013-01-28 2016-01-20 豪威科技(上海)有限公司 背照式cmos影像传感器的制造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9991124B2 (en) 2015-01-20 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) * 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61183942A (ja) * 1985-02-08 1986-08-16 Fujitsu Ltd 半導体装置の製造方法
JPS63303062A (ja) * 1987-06-02 1988-12-09 Nec Corp 半導体集積回路の製造装置
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JP2751223B2 (ja) * 1988-07-14 1998-05-18 セイコーエプソン株式会社 半導体装置およびその製造方法
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5232871A (en) * 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3252397B2 (ja) * 1991-02-21 2002-02-04 ソニー株式会社 配線形成方法
JPH04280425A (ja) * 1991-03-07 1992-10-06 Sony Corp 配線形成方法
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
DE69216747T2 (de) * 1991-10-07 1997-07-31 Sumitomo Metal Ind Verfahren zur Bildung eines dünnen Films
EP0545602A1 (en) * 1991-11-26 1993-06-09 STMicroelectronics, Inc. Method for forming barrier metal layers
JPH05311393A (ja) * 1992-05-12 1993-11-22 Citizen Watch Co Ltd 応力緩和被膜の構造
KR960010056B1 (ko) * 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
JPH0730095A (ja) * 1993-06-25 1995-01-31 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5685960A (en) * 1995-11-27 1997-11-11 Applied Materials, Inc. Method for forming aluminum contacts

Also Published As

Publication number Publication date
JPH07335759A (ja) 1995-12-22
CN1061782C (zh) 2001-02-07
GB2290166A (en) 1995-12-13
DE19521150A1 (de) 1995-12-14
US5998870A (en) 1999-12-07
DE19521150B4 (de) 2009-07-02
CN1117205A (zh) 1996-02-21
KR0144956B1 (ko) 1998-08-17
GB2290166B (en) 1998-09-09
GB9511567D0 (en) 1995-08-02
KR960002480A (ko) 1996-01-26

Similar Documents

Publication Publication Date Title
JP3963494B2 (ja) 半導体装置およびその形成方法
JP3584054B2 (ja) 半導体装置及びその製造方法
KR950009934B1 (ko) 반도체 장치의 배선층 형성방법
JP3562628B2 (ja) 拡散バリア膜、多層配線構造、およびそれらの製造方法
US5356835A (en) Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5552341A (en) Semiconductor device and method for manufacturing the same
US5831335A (en) Semiconductor device contains refractory metal or metal silicide with less than 1% weight of halogen atom
US6066358A (en) Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5960320A (en) Metal wiring layer forming method for semiconductor device
JPH0684911A (ja) 半導体装置およびその製造方法
US6025269A (en) Method for depositioning a substantially void-free aluminum film over a refractory metal nitride layer
JP3586899B2 (ja) 半導体装置およびその製造方法
US6156647A (en) Barrier layer structure which prevents migration of silicon into an adjacent metallic layer and the method of fabrication of the barrier layer
JP2000306997A (ja) バリアメタル層を有する半導体装置及びその製造方法
US7033939B2 (en) Chemistry for chemical vapor deposition of titanium containing films
JPH0963992A (ja) 金属層形成方法及び配線形成方法
JPH0922907A (ja) 埋め込み導電層の形成方法
JP3339255B2 (ja) コンタクトプラグの形成方法
US6597042B1 (en) Contact with germanium layer
JP2000124310A (ja) 半導体装置およびその製造方法
JP3291885B2 (ja) ドライエッチング方法
JP3868043B2 (ja) タングステン窒化膜の製造方法及びこれを用いた金属配線製造方法
JPH0941133A (ja) 金属化合物膜の成膜方法およびそれに用いる成膜装置
JPH06216261A (ja) 半導体装置の接続孔及びその形成方法
JPH03230531A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050426

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061219

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070515

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070522

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110601

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120601

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130601

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees