CN1061782C - 半导体器件的布线结构及其制造方法 - Google Patents

半导体器件的布线结构及其制造方法 Download PDF

Info

Publication number
CN1061782C
CN1061782C CN95107381A CN95107381A CN1061782C CN 1061782 C CN1061782 C CN 1061782C CN 95107381 A CN95107381 A CN 95107381A CN 95107381 A CN95107381 A CN 95107381A CN 1061782 C CN1061782 C CN 1061782C
Authority
CN
China
Prior art keywords
mentioned
semiconductor device
opening
barrier film
diffusion barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN95107381A
Other languages
English (en)
Other versions
CN1117205A (zh
Inventor
李相忍
河善镐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1117205A publication Critical patent/CN1117205A/zh
Application granted granted Critical
Publication of CN1061782C publication Critical patent/CN1061782C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种半导体器件的布线结构填埋一个开口(如接触孔或通孔)。该布线结构包括半导体衬底、在衬底上形成的绝缘层(该绝缘层中形成有开口)、在该开口内侧壁上形成的不带由难熔金属或难熔金属化合物组成的晶粒边界的平坦表面的扩散阻挡膜,以及在该扩散阻挡膜上形成的金属层。该扩散阻挡膜侧壁上的金属层由均匀和连续形成的、具有良好台阶覆盖范围的铝膜组成。因此,有效地填埋具有高纵横比的接触孔和提高器件的可靠性。

Description

半导体器件的布线结构及其制造方法
本发明涉及用于制造一种半导体器件的方法,更详细地说,涉及用于填埋诸如接触孔或通孔之类的开口的一种半导体器件的布线结构以及用于制造该结构的方法。
一般来说,在半导体器件的制造工艺中最关键的部分是布线,这是因为该器件的工作速度、成品率和可靠性全取决于该布线方法。在一种常规的低集成度的半导体器件内,金属的台阶覆盖不是一个重要的问题。但是近年来越来越高的集成度已使接触孔变得越来越小(即,直径是半个微米或更小),并使半导体衬底内的杂质注入区变得很薄。如采用常规的铝布线方法,要充填一个小于1μm的接触孔是困难的,结果在该接触孔内可能形成一个空洞,从而降低了该金属布线的可靠性。
在制造半导体器件的早期阶段,使用纯铝在一个硅衬底上形成一个金属布线层。但在其后的烧结阶段内,当温度增加时,所形成的铝层从该衬底吸收硅原子,故产生结(Al)的尖峰。因而已广泛地使用Al-1% Si(用硅对铝进行超饱和处理后得到的合金)作为金属布线层的材料。
但当使用Al-1% Si来形成半导体器件的布线时,硅在进行高于450℃的温度下的热处理期间从该铝膜中析出,从而形成一种硅的剩余物。此外,通过硅原子的固相外延生长在接触孔内形成硅的球结,从而增加了布线电阻和接触电阻。
为了防止由于在金属布线层与半导体衬底之间的相互作用而产生的上述铝尖峰、硅的剩余物和硅的球结,在该布线层与硅衬底间或该布线层与一个绝缘层间形成一扩散阻挡膜。例如,由Yokoyama等在美国专利No.4,897,709中公开的一种在一个接触孔的内壁上形成作为扩散阻挡膜的氮化钛(TiN)膜的方法。此外,在日本专利公开No.61-183942中公开了一种如下的技术:形成一种由一种难熔金属(Ti)膜和TiN膜组成的双层膜,并对其进行热处理来作为一种扩散阻挡层,以及钛(Ti)层与半导体衬底相互作用以形成一种在与该半导体衬底相连的一个接触孔的底部内由热稳定化合物组成的难熔金属硅化层,从而增强了阻挡效应。一般来说,这样一种扩散阻挡膜是在一种氮气气氛内进行退火的。若不对该扩散阻挡膜进行退火,当在超过450℃的一个温度下溅射铝或铝合金时或在进行烧结时,会产生结的尖峰,而这种尖峰是不希望出现的。此外,使用一种TiN或TiW膜作为扩散阻挡膜。但在该TiN或TiW膜内存在一种不能完全防止铝或硅在晶粒边界处的扩散的微结构缺陷。
此外,已提出了一种通过使用一种氧填塞法来阻塞晶粒间界处的扩散通道的方法(参见由J.B.Stimmel和B.N.Mehrotra写的“氧在反应溅射的TiN膜上的效应”,第375-382页,发表于“应用于VLSI的钨和其它难熔金属Ⅲ,1988)。一般来说,在淀积TiN和将其暴露于环境气氛时,该暴露的TiN与环境气氛中少量的氧混合,从而增加了扩散阻挡效应。这种效应被称为填塞效应。更具体地说,Stimmel和Mehrotra告诉我们,氧在阻挡金属的表面以及在晶粒间界处以氧化物的形式存在,这就解释了填塞效应。
但如果把由淀积Ti或TiN形成的一个阻挡层暴露于环境气氛中,或如果通过与氧混合来淀积TiN,或如果在一种混有氧的氮气气氛中对TiN进行退火,接触电阻就可能增加。因此,TiN膜的阻挡特性依暴露在环境气氛中的时间、在淀积期间内流入的氧的量、在退火中的氧的量以及温度而改变。对该阻挡层进行退火的工艺条件是:温度在450℃至550℃之间、氮气气氛以及持续时间为30-60分。已知该工艺条件是一种最佳条件。
但是,在对扩散阻挡膜进行退火时引入的氧填塞效应引起该扩散阻挡膜表面的氧化,因而在其后的工艺中通过使用铝对接触孔进行填埋时引起诸如接触特性等方面的问题。因此,此种氧填塞效应降低了半导体器件的可靠性。为了提高半导体器件的可靠性,已提出一种用于插入一个改善该金属层的可沾性的润湿层的方法以及一种在形成硅烷化层和进行氢处理之后填埋接触孔的方法。
在其它方法中,为了增强在该阻挡金属与铝布线间的可沾性和提高布线质量和成品率,在对TiN层(即阻挡层)进行退火后通过离子注入法来注入Si或O2(Higatta Masafumi的日本专利公开No.88-176035)。
在另一种公开的方法中,在溅射铝之前淀积钛以及在其后淀积一种高温铝以充填一个大小为0.5μm、纵横比为1.6的通孔的情况下,如钛层薄的话,充填效应就下降(参见:VMIC 170-176,1991)。但当接触孔的尺寸变小时(即小于0.5μm),该接触孔未被完全填满,或在该接触孔内产生空洞,从而降低了可靠性。
图1-3是显示一种常规的填埋开口(接触孔)的布线结构的剖面图,其中举例说明了在充填接触孔时可能产生的各种问题。首先,在半导体衬底上形成一个将变成源/漏区的杂质扩散区4。然后在由此得到的结构上形成一个具有部分地暴露杂质扩散区4的一个接触孔6的绝缘层8。(这里为了解释的方便起见,没有示出在MOS结构中的栅电极)。之后在接触孔6的内侧壁表面上、在由接触孔6暴露的杂质扩散区4上和在绝缘层8上相继地形成作为欧姆接触层的钛层(未示出)和作为扩散阻挡层的TiN层10。此外,在TiN层10上形成用于充填接触孔6的铝层12。
同时,可按下述方式来制造上述填埋接触孔的布线结构。
首先,通过一种普通的硅局部氧化法(LOCOS)在半导体衬底2上形成一个场氧化膜(未示出),并在该场氧化膜之间的半导体衬底2上淀积一种杂质,从而形成作为源/漏区的杂质扩散区4。然后,用普通的化学汽相淀积(CVD)法在由此得到的结构上淀积一种绝缘材料,例如氧化硅(SiO2),从而形成绝缘层8。之后在绝缘层8上形成一个用于形成接触孔6的光致抗蚀剂图形(未示出),然后应用该光致抗蚀剂图形作为刻蚀掩模对绝缘层8进行刻蚀,直到暴露出杂质扩散区4为止,从而形成开口6。然后在开口6的内侧壁表面上、在由开口6暴露出的杂质扩散区4和绝缘层8上用溅射法淀积厚度为300至900的钛层,从而形成欧姆接触层。接着通过一种溅射法在该钛层上形成厚度为600至2000的扩散阻挡层(层10)。用溅射法在由此得到的结构上淀积铝以形成用于填埋开口6的铝层12。
在上述常规的填埋接触孔的布线结构和制造该结构的方法中,主要通过一种溅射法形成Ti层(即欧姆接触层)、TiN层10(即扩散阻挡层)和铝层12。但是当该接触孔的纵横比增加时,通过常规的溅射法进行淀积时会产生如图1和图2中示出的空洞14,从而降低了制成的器件的可靠性。而且按照该常规技术,铝层的台阶覆盖范围也较差(如在图3中示出的),以致于有产生布线短路的趋势。因而降低了器件的可靠性。
图4说明当在图1-3中示出的扩散阻挡膜上淀积铝时,铝膜的一种起始的成核现象,图4还包括说明该起始成核现象的该侧壁部分的放大视图。这里,参照数字10表示TiN层,10a表示TiN晶粒,12表示铝层,而12a表示铝晶粒。以下将参照图4来说明在开口的内侧壁内形成的该TiN层的特性和铝层的一种表面形态。
由于当进行淀积时在一个开口的内侧壁上形成的该TiN层,其表面在结构上垂直于靶,故只淀积相对来说较少量的溅射原子。当应用一种准直技术时,就明显地产生这种趋势。此外,由于TiN易于在&#60111&#62方向上生长,故形成柱状的晶粒10a。
同时,一般来说,由溅射法或CVD法得到的TiN层不是非晶态的。因此,形成较少量的溅射原子的该接触孔的内侧壁具有一个粗糙的表面。因此,在该粗糙的扩散阻挡膜上形成的铝原子的起始淀积特性是较差的。换言之,铝围绕该相对来说较大的TiN晶粒的成核现象不是均匀地产生的,正如在该放大图中示出的那样,铝的淀积是以一种不平坦和不连续的方式进行的。因而,即使在其后的工艺过程中通过在接触孔中淀积铝而进行热处理,但还是产生了空洞,而且在一个具有高纵横比的接触孔内产生这样的空洞变得更容易。因此就降低了半导体器件的可靠性。
因此,本发明的目的是提供一种半导体器件的布线结构,这种结构用于形成一个扩散阻挡膜的一个平坦的表面,从而有效地填埋具有高台阶的一个接触孔。
本发明的另一个目的是提供一种适合于形成具备平坦表面的扩散阻挡膜的半导体器件布线结构的方法。
为了达到本发明的上述目的,所提供的一种半导体器件的布线结构包括:一个半导体衬底;一个形成在该半导体衬底上并包括一个开口的绝缘层;一个具有平坦表面的扩散阻挡膜,该平坦表面是通过在上述开口的两侧壁上进行氩等离子体辐照而形成的;以及在该扩散阻挡膜上形成的一个金属层。
该扩散阻挡膜由一种难熔金属或一种难熔金属化合物组成。具体地说,该难熔金属可以是Ti,该难熔金属化合物可以是TiN。此外,该开口是一个用于暴露该半导体衬底的杂质扩散区的接触孔或是一个用于暴露一较低的导电层的通孔。该金属层可以由铝或铝合金形成。
此外,根据本发明的半导体器件布线结构中,所述金属层填埋于形成上述扩散阻挡膜的上述开口内。
本发明所提供的一种用于形成半导体器件布线结构的方法包括以下步骤:(a)在一个半导体衬底上形成一绝缘层;(b)在上述绝缘层上形成一个开口;(c)在上述绝缘层、由上述开口暴露出的衬底和上述开口的内侧壁上形成一扩散阻挡膜;(d)对在上述开口的内侧壁上形成的扩散阻挡膜的表面进行氩等离子体辐照;以及(e)在上述经过氩离子体辐照的扩散阻挡膜上形成一个金属层。
在本发明中应用等离子体来使该扩散阻挡膜的表面变得平坦。该等离子体是通过应用一种隋性气体的电子回旋共振(ECR)等离子体装置、高频等离子体装置或一种磁控管增强的等离子体装置来形成的。具体地说,可通过把氢加到该隋性气体中来增强等离子体处理效果。
此外,可通过一种化学汽相淀积(CVD)法来形成该金属层以及可在形成该扩散阻挡层的步骤之后再进行一个热处理过程。此外,可在形成该金属层的步骤之后还包括进行热处理过程的一个步骤以填埋该开口。
此外,本发明的方法还可包括在填埋该开口的步骤之后形成一个第二金属层的步骤。其中,可通过两步淀积工艺来形成上述金属层,首先在一个第一温度,其次在一个第二温度下进行。其中,上述第一温度低于上述第二温度。
根据本发明的形成一个半导体器件布线结构的方法在步骤(d)和(e)之间还可包括步骤(e′),在所述等离子体辐照的扩散阻挡膜上形成第二扩散阻挡膜;以及在步骤(e)之后还可包括步骤(f),通过在上述金属层上进行热处理来填埋上述开口。
该金属层可在形成该第二扩散阻挡膜之后不中止真空的情况下形成。此外,在形成该金属层的步骤之后还可包括形成一个第二金属层的步骤。
再者,可在形成该第二扩散阻挡膜的步骤之后进行一个热处理过程。而且可在对第一扩散阻挡膜的表面进行等离子体辐照的步骤之后进行一个热处理过程。
根据本发明的形成半导体器件布线结构的方法中,所述步骤(e)和(f)是通过在形成上述第二扩散阻挡膜的整个衬底上进行高温溅射而实现对上述开口的填埋的。
由于铝原子的起始淀积特性是良好的,故在光滑的扩散阻挡膜上形成该金属层(即一个铝膜),以使铝膜均匀地和连续地淀积,从而使其具有一种良好的台阶覆盖范围。因此就可有效地填埋一个具有高台阶的接触孔,从而提高器件的可靠性。
通过在参照附图的情况下详细地描述较佳实施例,本发明的上述目的和其它优点将变得更明显。这些附图如下:
图1、2和3是表明常规填埋布线结构的各种问题的剖面图;
图4说明当在图1-3中示出的该扩散阻挡膜上淀积铝时铝膜的一种起始成核现象;
图5说明本发明半导体器件的一种布线层结构和金属层在一个开口的侧壁上的起始成核现象;
图6A-6D示出一种用于形成本发明的半导体器件布线层的方法的实施例1,其中图6B和6C各包括一个显示该开口的侧壁上形成的扩散阻挡膜表面的放大视图;
图7A-7C是一种用于形成本发明的半导体器件布线层的方法的实施例2的剖面图;
图8A-8C是一种用于形成本发明的半导体器件布线层的方法的实施例3的剖面图;
图9A-9C是一种用于形成本发明的半导体器件布线层的方法的实施例5的剖面图,其中图9A包括显示该开口的侧壁上形成的该扩散阻挡层表面的一个放大视图;
图10A-10C是一种用于形成本发明的半导体器件布线层的方法的实施例6的剖面图;以及
图11和12分别是一个按照本发明进行了等离子体辐照的TiN表面和一个常规的未进行等离子体辐照的TiN表面的SEM照片。
以下将参照图5说明按照本发明的一种半导体器件金属布线层的结构。(为了简化本发明的说明,未示出栅区,并省略了有关该栅区的说明)。
参照图5,在半导体衬底31上形成将成为源/漏区的一个杂质扩散区33。该杂质可以是N+也可以是P+型,可在该杂质扩散区的右边和左边形成一个场氧化膜(未示出)。这里,在半导体衬底31内形成的杂质扩散区33只是适合于达到本发明目的的一个硅层的实施例,这是提供一种用于把硅层内的上部导电层填埋到一个开口(例如接触孔或通孔)的较低部分的填埋接触孔的结构。举例来说,在半导体衬底内形成的杂质扩散区33可由一个较低的导电层(未示出)来代替,例如,该较低的导电层是一个在该半导体衬底顶部的一个任意的较低结构上形成的多晶硅层。该填埋接触孔的结构(在以下部分进行描述)的实施例可适用于在该较低的导电层上形成的填埋通孔结构。
在由此得到的结构上形成一个带有开口(接触孔)的绝缘层35,该开口用于暴露该杂质扩散区。绝缘层35由例如氧化硅的绝缘材料组成。该开口可以是一个用于暴露出杂质扩散区33的接触孔或是一个用于暴露出较低的导电层(如多晶硅)的通孔。
然后形成一个扩散阻挡膜37。该扩散阻挡膜37可以是在Ti层(未示出)上形成的TiN层。在该开口的内表面上、在由该开口暴露出的杂质扩散区33上和绝缘层35上形成该Ti层。该扩散阻挡层37也可以是一个Ti层。
然后通过一种溅射法在由此得到的结构上淀积铝,从而形成用于填埋该开口的一个金属层41。
在图5中,用在该开口的侧壁上形成的扩散阻挡膜和金属层的一个详细视图显示该金属层的一种起始成核现象。在这里,在该开口的侧壁上形成一个没有晶粒边界的、光滑的扩散阻挡膜37。在扩散阻挡膜37的侧壁上的铝原子的起始淀积特性是良好的。换言之,由于均匀的TiN晶粒或TiN膜的光滑表面而均匀地产生铝膜的成核过程。因此,与常规的技术不同,采用本发明的方法可均匀地和连续地淀积该铝膜。
实施例1
图6A-6D是显示一种用于形成本发明的半导体器件布线层的方法的实施例1的剖面图,其中图6B和6C包括说明该开口内侧壁上形成的扩散阻挡膜的一个表面的放大视图。
图6A说明在半导体衬底31上形成具有一个开口的绝缘层35的步骤。
通过一种普通的LOCOS法在半导体衬底31.上形成一个场氧化膜(未示出),以及在场氧化膜间的半导体衬底31上以离子注入方式注入一种N+或P+型杂质,从而形成用作源/漏区的杂质扩散区33。在这里,形成该场氧化膜的工艺是任意的,而且按照本发明的目的,该杂质扩散区可由一个任意的硅层(例如多晶硅)来代替。
用一种普通的CVD法在由此得到的结构上淀积一种绝缘材料,例如氧化硅(SiO2),从而形成一个绝缘材料层。应用硼磷硅酸盐玻璃(BPSG)形成厚度为0.8至1.6μm的绝缘材料层。其后在该绝缘材料层上形成用于形成开口的光致抗蚀剂图形(未示出)。然后把该光致抗蚀剂图形用作一个刻蚀掩模,对该绝缘材料层进行刻蚀直到露出杂质扩散区33,从而形成具有一个开口的绝缘层35。
图6B显示在形成该开口的整个衬底上形成扩散阻挡层37的步骤。
在全部绝缘层35上、在开口36的内部和在暴露的半导体衬底31的表面上形成扩散阻挡膜37。更详细地说,在一种氩气气氛中和真空度为2毫乇的条件下用溅射法淀积厚度为200A至300A的Ti,以及在氩气的流量为30-50sccm和氮气的流量为50-85sccm的条件下用溅射法淀积厚度为300A至500A的氮化钛,从而形成扩散阻挡膜37。当淀积该Ti层时,淀积速率约为500A/分。此外,当淀积Ti或TiN时,衬底温度为200℃。
具体地说,当淀积Ti层或TiN层时,可使用一种准直技术。该准直器的尺寸是5/8″,纵横比是1.5∶1。此外,在该开口的侧壁上形成的TiN层在该接触孔的侧壁内有一个粗糙的表面,正如在图6B的放大视图内示出的,在该接触孔的侧壁处形成较少量的溅射原子。
图6C示出对TiN层37的表面进行等离子体辐照的步骤。
用氩等离子体对TiN层37的表面进行腐蚀,应用ECR(电子回旋共振)等离子体、高频等离子体或一种磁控管增强的等离子体装置来进行该等离子体辐照。
更详细地说,在应用该氩等离子体进行等离子体辐照期间,通过在侧壁上形成的TiN层与氩离子(Ar+)之间的碰撞或通过氩离子的动量在TiN层内的吸收对该TiN层进行腐蚀。其结果是形成了具有一个光滑表面的、没有晶粒边界的TiN层39b和具有一个粗糙表面的TiN层39a。
首先将对应用ECR等离子体的一种等离子体辐照进行说明。
通过应用ECR等离子体在加上一个约为50V的高频偏压的状态下对TiN层37的表面进行等离子体辐照。更详细地说,该ECR等离子体装置是在以下的工艺条件下进行该工艺过程的:微波功率是1千瓦(磁控管频率:2.45GHz),氩气压力是5毫乇和衬底温度是环境温度。当一个标准的氧化膜(SiO2)被刻蚀100A时该TiN层约被刻蚀30A。具体地说,当应用ECR等离子体装置时,把一个小的偏压加到衬底上可能是有利的,而且可通过加入氢来增加表面处理效果。
以下将对通过一个高频或磁控管增强的等离子体装置进行的等离子体辐照进行说明。
该溅射装置是在以下的条件下进行等离子体辐照的:频率是13.56MHz,偏置电压是960V,氩气气压是7毫乇和衬底温度是200℃。在这里,等离子体辐照的溅射刻蚀是以100A.的氧化膜(SiO2)作为一个标准来进行的。当对一个超过100A的标准氧化膜进行刻蚀时,其效果是相同的。对该开口的侧壁上的TiN晶粒的粗糙表面进行腐蚀,从而形成如在图6C的放大视图中示出的、具有平坦表面的TiN层。
图6D示出形成用于填埋一个开口的金属层41的步骤。
更详细地说,用溅射法在该开口的内侧壁和由该开口暴露出的杂质扩散区33上淀积铝,从而形成用于填埋该开口的金属层41。金属层41的淀积是在以下的条件下进行的:淀积温度是200℃,氩气气压是4毫乇和淀积速率是每秒50至150A。更希望把淀积速率控制在每秒125A。正如以上所描述的,由于在光滑的TiN层上形成的一个铝层的成核过程是均匀地发生的,故可提高淀积特性和台阶覆盖范围。
同时,为了得到更好的台阶覆盖范围,首先在低于100℃的温度和每秒50A至100A的淀积速率下淀积铝。然后在高于200℃的温度和每秒100A至150A的较高的淀积速率下淀积铝。因此,可同时得到在低温淀积时的良好的台阶覆盖范围和在高温淀积时的原子迁移率的改善。
实施例2
图7A-7C是一种用于形成本发明的半导体器件布线层的方法的实施例2的剖面图。除了在低温下形成金属层和进行一个真空热处理过程的步骤外,实施例2与实施例1是相同的。在这里,用于实施例1相同的参照数字表示同样的元素。在实施例2中进行的第一批步骤也与对应于在实施例1中的图6A-6C的第一批步骤相同。
图7A显示形成第一金属层43a的步骤。更详细地说,在开口的内侧壁上、在由该开口暴露出的一个衬底上和在一个扩散阻挡膜上形成由铝或一种铝合金(例如,Al-Si-Cu)组成的一个第一金属层43a。应用一种直流磁控管装置形成第一金属层43a,其工艺条件如下:温度低于200℃(所希望的低温是25℃),真空度低于50E-7乇(更希望真空度低于5.0E-8乇)和淀积速率是每秒100A。在形成第一金属层43a时,可使用低压溅射或准直技术,为了减少在该开口的顶部的一种悬垂现象,以上工艺要在一个工作压力低于2毫乇的条件下进行。
图7B显示在第一金属层43a上进行一个热处理过程的步骤。在这里,通过提高该直流磁控管装置的温度或把该衬底转移到一个高温室中对在该开口上形成的第一金属层43a进行真空热处理。更详细地说,该真空热处理是以下述方式来进行的:在不中止真空的情况下对第一金属层43a进行2分钟的热处理,该热处理的条件为:温度是该铝合金的熔点的50%至90%(例如高于450℃),以及气氛为氩气气氛或低于10毫乇的减压气氛。通过以上热处理来移动在低温下溅射的铝合金的原子。其结果如图7B所示,该开口被填埋,从而形成填埋了的第一金属层43b。在这里,当进行淀积或真空热处理时,热处理的温度可随真空度的提高降低。
图7C说明在该填埋了的第一金属层43b上形成第二金属层47的步骤。更详细地说,通过使用与在该填埋了的第一金属层43b上的第一金属层43a相同的材料形成一个第二金属层47。但是在因为形成第一金属层43a使其达到所希望的厚度并对该金属层进行热处理而把该开口填埋,故较少发生悬垂现象的情况下,该形成第二金属层47的步骤可能不是必需的。
实施例3
图8A-8c是一种用于形成本发明的半导体器件布线层的方法的实施例3的剖面图。除了通过首先在一个低于200℃的温度下淀积一种金属材料和其次在一个高于400℃的温度下进行淀积来形成第一金属层43c和43d以外,实施例3与实施例2相同。在这里,与实施例2相同的参考数字表示同样的部分。在实施例3中进行的第一批步骤也与对应于实施例1中图6A-6C的第一批步骤相同。
图8A显示形成第一金属层43c和43d的步骤。在这里,在开口的侧壁上、在由该开口暴露的衬底上和在扩散阻挡膜上形成由Al-Si-Cu组成的第一金属层43c和43d。更详细地说,通过下述工艺形成第一金属层43c和43d。首先在200℃或更低的温度下淀积铝或一种铝合金(例如,Al-Si-Cu),使其厚度小于所希望的厚度的一半,其次在一个所希望的450℃的温度下淀积铝合金(Al-Si-Cu)。这里,在低温下(即200℃或更低)淀积速率必定低于100A/秒,在高温下(即400℃或更高)淀积速率为150A/秒。
图8B显示在第一金属层43c和43d上进行热处理过程的步骤。更详细地说,通过提高一个直流磁控管装置的温度或把该衬底转移到一个高温室中来对在该开口上形成的第一金属层43c和43d进行真空热处理。由于此种真空热处理,铝的表面原子发生移动。因此如图8B中所示填埋该开口,从而形成填埋了的第一金属层43e。在这里,当进行淀积或真空热处理时,随真空度的提高可降低热处理的温度。
图8C显示在该填埋了的第一金属层43e上形成一个第二金属层49的步骤。更详细地说,使用与在该填埋了的第一金属层43e上的第一金属层43c和43d相同的材料形成第二金属层49。因为可形成第一金属层43e使其达到一个所需的厚度以及对该金属层进行热处理从而填埋该开口,故该形成第二金属层49的步骤可能是不必要的。
实施例4
除了在实施例3中形成第二金属层之后进行第二真空热处理过程之外,实施例4与实施例3相同。更详细地说,按顺序进行实施例3的工艺步骤,并在其后进行一个第二真空热处理过程。该第二真空热处理在第一真空热处理的温度下(例如450°)进行。或者该第二真空热处理在第一真空热处理的一个低温下进行。
实施例5
图9A-9C是显示一种用于形成本发明的半导体器件布线层的方法的实施例5的剖面图,其中图9A包括一个显示形成在该开口的侧壁上的扩散阻挡膜的一个表面的放大视图。除了在形成第一金属层之前形成第二扩散阻挡膜之外,实施例5与实施例3和4相同。在这里,用与实施例4相同的参考数字表示同样的部分。在实施例5中进行的第一批步骤也与对应于实施例1中的图6A-6C的第一批步骤相同。
图9A说明形成第二扩散阻挡膜42和第一金属层43f的步骤。
在进行对应于图6C的步骤之后,在450℃的一种氮气气氛中对该第一扩散阻挡膜进行热处理,从而增强该扩散阻挡膜的热耐久性。这样一种热持久性的增强来自于氧填塞效应和在该TiN层下形成的Ti层中的硅化过程。换言之,当在该TiN层下的Ti与其后形成的铝发生反应时,形成Al3Ti。这样一来,由于硅和Al3Ti具有一种高溶解度,在温度达到450℃时产生结的尖峰。所以,在Ti与Si之间的反应产生TiSi2/TiN结构,从而增强该扩散阻挡膜的热特久性。此外,在进行热处理之后,第一扩散阻挡膜(即TiN层)在该开口的侧壁上保持一个平坦的表面。
然后,为了增强铝膜的可沾性,通过在该开口的侧壁上、在由该开口暴露出的衬底上和在该第一扩散阻挡膜上淀积厚度达100A至200A的Ti或TiN来形成第二扩散阻挡膜42。具体地说,在该开口的侧壁的平坦表面上形成非常小和具有规则的尺寸的TiN晶粒(即第二扩散阻挡膜42)。
形成由铝或一种铝合金(即Al-Si-Cu)组成的第一金属层43f。由于是在该开口侧壁的平坦表面上以非常小和有规则的尺寸形成该TiN晶粒,故该第一金属层的起始成核过程是均匀地发生的,而且可形成一种良好的台阶覆盖范围。第一金属层43f可通过如在实施例3和4中描述的两个步骤来形成。
图9B显示在第一金属层43f上进行热处理的步骤。
更详细地说,对在该开口上形成的第一金属层43f进行真空热处理。由于如图9B所示的真空热处理,铝膜的表面原子移动至该开口并被填埋于其内,从而形成一个填埋的第一金属层43g。由于该填埋的第一金属层43g在铝淀积的早期阶段表现出均匀的成核现象并且具有良好的台阶覆盖范围,故当进行热处理时可改善填埋特性。其结果是可提高半导体器件的可靠性。
除了上述紧接着在第一金属层形成之后进行热处理的填埋方法以外,可通过在超过400℃的一个温度下的高温溅射来形成该填埋的第一金属层。
图9C显示在该填埋的第一金属层43g上形成一个第二金属层51的步骤。
更详细地说,通过应用与第一金属层43g相同的材料在该填埋的第一金属层43g上形成第二金属层51。但在悬垂现象较少发生的情况下,形成第二金属层51的步骤可能是不必要的,这是因为可形成第一金属层43g使其达到一个所需要的厚度,并可对该金属层进行热处理使其填埋该开口。
实施例6
图10A-10C是表示用于形成本发明的半导体器件布线层的方法的实施例6的剖面图。除了在用CVD法形成一个第一金属层之后对该第一金属层进行真空热处理,或在该第一金属层上溅射一种铝合金并进行真空热处理从而填埋该开口以外,实施例6与实施例2至5是相同的。在这里,用与实施例2相同的参考数字表示同样的元素。在实施例6中进行的第一批步骤也与对应实施例1中的图6A-6C的第一批步骤相同。
图10A表示形成第一金属层43h的步骤。在开口的侧壁上、在由该开口暴露出的该衬底上和在扩散阻挡膜上通过CVD法形成由铝组成的第一金属层43h。更详细地说,可通过一种普通的CVD法进行铝或一种铝合金的淀积。例如,可应用一种有机金属化合物,例如三异丁基铝(TIBA)或二甲基氢化铝((CH3)2AlH∶DMAH),作为一种源进行上述淀积。当通过应用TIBA进行淀积时,应用一种冷壁型装置以在引入TIBA时保持一个低于90℃(希望是在84-86℃间)的汽相温度。此外,使一种隋性气体(例如氩气)鼓泡而用作为一种携带气体。在这里,所希望的氩气的流速约为71/分。该TIBA的热分解的淀积温度约为250℃,而这由于淀积速率高而是不希望的。由于淀积速率随温度的降低而降低,故为了降低淀积速率可在一个较低的温度下进行淀积。在这种条件下,淀积速率约为1000A/分,对于一个尺寸为0.2μm的接触孔来说,用TIBA进行约1分钟的铝淀积。
另一种可采用的方法是用一种氢化铝(如DMAH等)来淀积第一金属层43h。此时,氢化铝保存在一个低温下,并且在一个超过50℃的温度下进行淀积,以便防止该氢化铝的热分解。可通过一种隋性气体(例如氩)控制源温。此外,可通过降低衬底温度和减少源量来降低淀积速率。在应用氢化铝进行的淀积方法中,通过使用一种合适的源可以降低淀积温度。上述淀积在一个气压为0.01-10乇和温度为50-150℃的反应室内进行。由于是在该开口侧壁上形成的TiN的平坦表面上由CVD法形成第一金属层43h,故如图10A所示,得到了良好的台阶覆盖范围。
图10B表示通过在第一金属层43h上进行热处理而填埋一个开口的步骤。更详细地说,在不中止真空的情况下对在该开口上形成的第一金属层43h进行真空热处理,或者在该第一金属层43h上溅射一种铝合金(例如Al-Si-Cu),并进行真空热处理。该铝膜的表面原子由于真空热处理而产生移动,从而如图10B中所示那样填埋该开口,由此形成填埋的第一金属层43i。当进行淀积或真空热处理时,热处理的温度可随真空度的增加而降低。
同时,当通过CVD法形成第二金属层时,如该第二金属层的厚度达到该开口尺寸的一半的话,则可在无缝隙或空洞的情况下填埋该开口。这样一来,就可在不进行真空热处理的情况下填埋该开口。如果产生了缝隙或空洞,可通过其后的真空热处理过程将其除去。因此,该真空热处理过程是可选择的。
图10C显示出在该填埋的第一金属层43i上形成第二金属层53的步骤。更详细地说,通过一种溅射法,应用与在该填埋的第一金属层43i上的第一金属层43h相同的材料形成第二金属层53。在另一种方式下,在该填埋的第一金属层43i上溅射与第一金属层43h相同的材料,从而形成将对其进行真空热处理的第二金属层53。因为可以形成第一金属层43h使其达到一个所希望的厚度,并且对其进行热处理从而填埋该开口,故该形成第二金属层53的步骤可能是不必要的。比较例
图11和12分别是按照本发明进行了等离子体辐射的TiN表面的SEM照片和未进行等离子体辐照的常规的TiN表面的SEM照片。在图11中,TiN的表面是平坦的,且没有晶粒边界。在图12中,常规技术得到的TiN表面是粗糙的。
按照本发明,由于铝原子的起始淀积特性是良好的,故可均匀地和连续地形成该金属层的一个铝膜。这样一来,在该平坦的扩散阻挡膜的例壁上形成的该金属层的铝膜具有良好的台阶覆盖范围。因此,可在不产生空洞的情况下有效地填埋具有高纵横比的一个接触孔,从而提高制成器件的可靠性。
不言而喻,对于本领域的专业人员来说,以上的描述只涉及该公开的器件的较佳实施例,在不偏离本发明的精神和范围的前提下可在本发明中作各种变动和修正。

Claims (20)

1.一种半导体器件的布线结构,其特征在于包括:
一个半导体衬底;
在上述半导体衬底上形成的一个绝缘层,且该绝缘层包括一个形成在其中的开口;
一个具有平坦表面的扩散阻挡膜,该平坦表面是在上述开口的两侧壁上通过氩等离子体辐照形成的;以及
在上述扩散阻挡膜上形成的一个金属层。
2.按照权利要求1的半导体器件布线结构,其特征在于,上述扩散阻挡膜由一种难熔金属或难熔金属化合物组成。
3.按照权利要求2的半导体器件布线结构,其特征在于,上述难熔金属是Ti,上述难熔金属化合物是TiN。
4.按照权利要求1的半导体器件布线结构,其特征在于,上述开口是用于暴露出上述半导体衬底的一个杂质扩散区的接触孔或一个用于暴露出一个较低的导电层的通孔。
5.按照权利要求1的半导体器件的布线结构,其特征在于:
所述金属层填埋于形成上述扩散阻挡膜的上述开口内。
6.一种用于形成半导体器件布线结构的方法,其特征在于包括以下步骤:
(a)在一个半导体衬底上形成一绝缘层;
(b)在上述绝缘层上形成一个开口;
(c)在上述绝缘层、由上述开口暴露出的衬底和上述开口的内侧壁上形成一扩散阻挡膜;
(d)对在上述开口的内侧壁上形成的扩散阻挡膜的表面进行氩等离子体辐照;以及
(e)在上述经过氩离子体辐照的扩散阻挡膜上形成一个金属层。
7.按照权利要求6的用于形成一半导体器件布线结构的方法,其中,氩等离子体是由从下列一组装置中选出的一种形成的:使用一种惰性气体的ECR等离子体装置、高频等离子体装置和磁控管增强的等离子体装置。
8.按照权利要求7的用于形成半导体器件布线结构的方法,其中,通过把氢加入到上述惰性气体中来促进等离子体效果。
9.按照权利要求6的用于形成半导体器件布线结构的方法,其中,通过CVD法形成上述金属层。
10.按照权利要求6的用于形成半导体器件布线结构的方法,其特征在于:还包括在上述步骤(c)后进行热处理的步骤。
11.按照权利要求6的用于形成半导体器件布线结构的方法,其特征在于:还包括在上述步骤(e)后进行热处理从而填埋上述开口的步骤。
12.按照权利要求11的用于形成半导体器件布线结构的方法,其特征在于:还包括在上述填埋上述开口的步骤后形成第二金属层的步骤。
13.按照权利要求6的用于形成半导体器件布线结构的方法,其中,通过两步工艺形成上述金属层,首先在一个第一温度,其次在一个第二温度下进行。
14.按照权利要求13的用于形成半导体器件布线结构的方法,其中,上述第一温度低于上述第二温度。
15.按照权利要求6的形成一个半导体器件布线结构的方法,其特征在于:在步骤(d)和(e)之间还包括步骤:
(e′)在所述等离子体辐照的扩散阻挡膜上形成第二扩散阻挡膜;以及
在步骤(e)之后还包括步骤:
(f)通过在上述金属层上进行热处理来填埋上述开口。
16.按照权利要求15的用于形成半导体器件布线结构的方法,其中,在上述步骤(e′)后在不中止真空的情况下形成上述金属层。
17.按照权利要求15的用于形成半导体器件布线结构的方法,其特征在于:还包括在上述步骤(f)之前形成一个第二金属层的步骤。
18.按照权利要求15的用于形成半导体器件布线结构的方法,其特征在于:还包括在上述步骤(e′)之后进行热处理的步骤。
19.按照权利要求15的用于形成半导体器件布线结构的方法,其特征在于:还包括在上述步骤(d)之后进行热处理的步骤。
20.按照权利要求15的形成半导体器件布线结构的方法,其特征在于:
所述步骤(e)和(f)是通过在形成上述第二扩散阻挡膜的整个衬底上进行高温溅射而实现对上述开口的填埋的。
CN95107381A 1994-06-10 1995-06-09 半导体器件的布线结构及其制造方法 Expired - Fee Related CN1061782C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1019940013121A KR0144956B1 (ko) 1994-06-10 1994-06-10 반도체 장치의 배선 구조 및 그 형성방법
KR13121/1994 1994-06-10
KR13121/94 1994-06-10

Publications (2)

Publication Number Publication Date
CN1117205A CN1117205A (zh) 1996-02-21
CN1061782C true CN1061782C (zh) 2001-02-07

Family

ID=19385080

Family Applications (1)

Application Number Title Priority Date Filing Date
CN95107381A Expired - Fee Related CN1061782C (zh) 1994-06-10 1995-06-09 半导体器件的布线结构及其制造方法

Country Status (6)

Country Link
US (1) US5998870A (zh)
JP (1) JP3963494B2 (zh)
KR (1) KR0144956B1 (zh)
CN (1) CN1061782C (zh)
DE (1) DE19521150B4 (zh)
GB (1) GB2290166B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100444351C (zh) * 2005-10-20 2008-12-17 Bcd半导体制造有限公司 一种集成电路双极电路中接触孔的制造工艺
CN100454515C (zh) * 2002-11-27 2009-01-21 三星电子株式会社 形成铝金属引线的方法

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
US6891269B1 (en) 1995-07-05 2005-05-10 Fujitsu Limited Embedded electroconductive layer structure
KR100218728B1 (ko) * 1995-11-01 1999-09-01 김영환 반도체 소자의 금속 배선 제조방법
KR100193897B1 (ko) * 1996-06-28 1999-06-15 김영환 반도체 소자의 플러그 형성 방법
GB2322963B (en) * 1996-09-07 1999-02-24 United Microelectronics Corp Method of fabricating a conductive plug
NL1005653C2 (nl) * 1997-03-26 1998-09-29 United Microelectronics Corp Werkwijze voor het fabriceren van een geleidende contactpen.
KR100226751B1 (ko) * 1997-04-10 1999-10-15 구본준 반도체 소자의 금속 배선 형성방법
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6482734B1 (en) 1998-01-20 2002-11-19 Lg Semicon Co., Ltd. Diffusion barrier layer for semiconductor device and fabrication method thereof
US6547934B2 (en) 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
JP2000133712A (ja) * 1998-08-18 2000-05-12 Seiko Epson Corp 半導体装置の製造方法
TW394994B (en) * 1998-10-15 2000-06-21 United Microelectronics Corp Method of manufacturing barrier layer of integrated circuit
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US7053002B2 (en) 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6114198A (en) * 1999-05-07 2000-09-05 Vanguard International Semiconductor Corporation Method for forming a high surface area capacitor electrode for DRAM applications
US6380628B2 (en) * 1999-08-18 2002-04-30 International Business Machines Corporation Microstructure liner having improved adhesion
JP2001308094A (ja) 2000-04-19 2001-11-02 Oki Electric Ind Co Ltd 配線薄膜の堆積方法
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6348732B1 (en) 2000-11-18 2002-02-19 Advanced Micro Devices, Inc. Amorphized barrier layer for integrated circuit interconnects
KR100753119B1 (ko) * 2001-06-30 2007-08-29 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP3757143B2 (ja) * 2001-10-11 2006-03-22 富士通株式会社 半導体装置の製造方法及び半導体装置
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
KR20040019170A (ko) * 2002-08-26 2004-03-05 삼성전자주식회사 알루미늄 콘택의 형성 방법
KR100485160B1 (ko) * 2003-01-30 2005-04-22 동부아남반도체 주식회사 반도체 소자의 접속홀 형성 방법
JP2004363402A (ja) * 2003-06-05 2004-12-24 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP4501533B2 (ja) * 2004-05-31 2010-07-14 株式会社デンソー 半導体装置の製造方法
EP1785505B1 (en) * 2004-08-10 2009-09-02 Nippon Mining & Metals Co., Ltd. Barrier film for flexible copper substrate and sputtering target for forming barrier film
CN101320754A (zh) 2004-09-17 2008-12-10 日本电气株式会社 半导体器件
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100715761B1 (ko) * 2005-04-01 2007-05-08 영남대학교 산학협력단 보론이 도핑된 실리콘웨이퍼 상의 알루미늄 패드도금불량의 개선방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010272711A (ja) * 2009-05-22 2010-12-02 Mitsubishi Electric Corp 半導体デバイスとその製造方法
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JPWO2011162255A1 (ja) * 2010-06-22 2013-08-22 株式会社アルバック バリア膜の形成方法及び金属配線膜の形成方法
JP2012069891A (ja) * 2010-09-27 2012-04-05 Denso Corp 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103066096B (zh) * 2013-01-28 2016-01-20 豪威科技(上海)有限公司 背照式cmos影像传感器的制造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9991124B2 (en) * 2015-01-20 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) * 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5232871A (en) * 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61183942A (ja) * 1985-02-08 1986-08-16 Fujitsu Ltd 半導体装置の製造方法
JPS63303062A (ja) * 1987-06-02 1988-12-09 Nec Corp 半導体集積回路の製造装置
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
JP2751223B2 (ja) * 1988-07-14 1998-05-18 セイコーエプソン株式会社 半導体装置およびその製造方法
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
JP3252397B2 (ja) * 1991-02-21 2002-02-04 ソニー株式会社 配線形成方法
JPH04280425A (ja) * 1991-03-07 1992-10-06 Sony Corp 配線形成方法
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
DE69216747T2 (de) * 1991-10-07 1997-07-31 Sumitomo Metal Ind Verfahren zur Bildung eines dünnen Films
EP0545602A1 (en) * 1991-11-26 1993-06-09 STMicroelectronics, Inc. Method for forming barrier metal layers
JPH05311393A (ja) * 1992-05-12 1993-11-22 Citizen Watch Co Ltd 応力緩和被膜の構造
KR960010056B1 (ko) * 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
JPH0730095A (ja) * 1993-06-25 1995-01-31 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5685960A (en) * 1995-11-27 1997-11-11 Applied Materials, Inc. Method for forming aluminum contacts

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5232871A (en) * 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100454515C (zh) * 2002-11-27 2009-01-21 三星电子株式会社 形成铝金属引线的方法
CN100444351C (zh) * 2005-10-20 2008-12-17 Bcd半导体制造有限公司 一种集成电路双极电路中接触孔的制造工艺

Also Published As

Publication number Publication date
US5998870A (en) 1999-12-07
JPH07335759A (ja) 1995-12-22
KR960002480A (ko) 1996-01-26
GB2290166B (en) 1998-09-09
DE19521150B4 (de) 2009-07-02
GB9511567D0 (en) 1995-08-02
CN1117205A (zh) 1996-02-21
JP3963494B2 (ja) 2007-08-22
DE19521150A1 (de) 1995-12-14
KR0144956B1 (ko) 1998-08-17
GB2290166A (en) 1995-12-13

Similar Documents

Publication Publication Date Title
CN1061782C (zh) 半导体器件的布线结构及其制造方法
US5831335A (en) Semiconductor device contains refractory metal or metal silicide with less than 1% weight of halogen atom
US7291558B2 (en) Copper interconnect wiring and method of forming thereof
TWI438839B (zh) 利用電漿清潔處理形成鈍化層以降低原生氧化物生長之方法
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
US6614119B1 (en) Semiconductor device and method of fabricating the same
US7352065B2 (en) Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
US7838423B2 (en) Method of forming capping structures on one or more material layer surfaces
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
JP2000174026A (ja) 半導体フィ―チャの低温銅リフロ―を改善する構造と方法
US20070184656A1 (en) GCIB Cluster Tool Apparatus and Method of Operation
CN1922717A (zh) 用于半导体制造的栅极掺杂物激活方法
JP2001007204A (ja) 多層配線の構造及びその製造方法
JPH0324723A (ja) 半導体デバイスにおける電気的コンタクトの製造方法
US6825538B2 (en) Semiconductor device using an insulating layer having a seed layer
JPH088212A (ja) プラズマcvd方法
US7094637B2 (en) Method for minimizing the vapor deposition of tungsten oxide during the selective side wall oxidation of tungsten-silicon gates
US6309959B1 (en) Formation of self-aligned passivation for interconnect to minimize electromigration
JP4200618B2 (ja) 半導体膜形成方法及び薄膜半導体装置の製造方法
CN1165073C (zh) 半导体装置的制造方法
CN1085407C (zh) 生产半导体集成电路的方法
CN1118863C (zh) 防止硅化物滋长的半导体器件制造方法
JP3578345B2 (ja) 半導体装置の製造方法および半導体装置
KR100284074B1 (ko) 반도체 소자 제조방법
KR19990049059A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20010207

Termination date: 20130609