JP6681953B2 - 金属シード層上の金属酸化物を還元するための方法および装置 - Google Patents

金属シード層上の金属酸化物を還元するための方法および装置 Download PDF

Info

Publication number
JP6681953B2
JP6681953B2 JP2018152800A JP2018152800A JP6681953B2 JP 6681953 B2 JP6681953 B2 JP 6681953B2 JP 2018152800 A JP2018152800 A JP 2018152800A JP 2018152800 A JP2018152800 A JP 2018152800A JP 6681953 B2 JP6681953 B2 JP 6681953B2
Authority
JP
Japan
Prior art keywords
substrate
metal
seed layer
remote plasma
reducing gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018152800A
Other languages
English (en)
Other versions
JP2018195847A (ja
Inventor
タイ・エー.・スパーリン
ジョージ・アンドリュー・アントネリ
ナタリア・ブイ.・ドウビナ
ジェームズ・イー.・ダンカン
ジョナサン・ディー・レイド
デビッド・ポーター
ドーシー・イー.ランバート
ドルガラクシミ・シンガル
ステファン・ラウ
マーシャル・ストーウェル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/787,499 external-priority patent/US9070750B2/en
Priority claimed from US14/020,339 external-priority patent/US20150072538A1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018195847A publication Critical patent/JP2018195847A/ja
Application granted granted Critical
Publication of JP6681953B2 publication Critical patent/JP6681953B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1862Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by radiant energy
    • C23C18/1865Heat
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1862Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by radiant energy
    • C23C18/1868Radiation, e.g. UV, laser
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • C25D5/38Pretreatment of metallic surfaces to be electroplated of refractory metals or nickel
    • C25D5/40Nickel; Chromium
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • C25D5/42Pretreatment of metallic surfaces to be electroplated of light metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Electrochemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

〈関連出願の相互参照〉
本出願は、2013年11月21日出願の「METHODS AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER」という名称の米国特許出願第14/086,770号の優先権の利益を主張するものである。上記の特許出願は、2013年9月6日出願の「METHOD AND APPARATUS FOR REMOTE PLASMA TREATMENT FOR REDUCING METAL OXIDES ON A METAL SEED LAYER」という名称の米国特許出願第14/020,339号の一部継続出願であり、また、2013年3月6日出願の「METHODS FOR REDUCING METAL OXIDE SURFACES TO MODIFIED METAL SURFACES USING A GASEOUS REDUCING ENVIRONMENT」という名称の米国特許出願第13/787,499号の一部継続出願である。上記の特許出願すべてを参照によりあらゆる目的で本明細書に組み込む。
序論:
本開示は、一般に、金属シード層上の金属酸化物表面を還元することに関する。本開示の特定の態様は、遠隔プラズマ装置を使用して金属シード層上の金属酸化物表面を還元することに関する。
集積回路(IC)での金属配線相互接続の形成は、ダマシンまたはデュアルダマシンプロセスを使用して実現することができる。典型的には、基板上に位置された二酸化ケイ素などの誘電体材料内にトレンチまたは穴がエッチングされる。穴またはトレンチは、1つまたは複数の接着および/または拡散バリア層でライニングされることがある。次いで、穴またはトレンチ内に金属の薄層が堆積されることがあり、この薄層は、電気めっきされる金属のためのシード層として働くことができる。その後、穴またはトレンチに、電気めっきされた金属を充填することができる。
典型的には、シード層は銅である。しかし、ルテニウム、パラジウム、インジウム、ロジウム、オスミウム、コバルト、ニッケル、金、銀、およびアルミニウムなど他の金属、またはこれらの金属の合金を使用することもできる。
より高性能のICを実現するために、ICのフィーチャの多くは、構成要素のフィーチャサイズをより小さくし、密度をより高くして製造されている。例えば、あるダマシン処理では、2X−nmノードフィーチャ上の銅シード層が、50Å以下の厚さであることがある。いくつかの実装形態では、1X−nmノードフィーチャ上に金属シード層が塗布されることがあり、この金属シード層は、銅を含むことも、含まないこともある。より小さなフィーチャサイズに伴い、空隙または欠陥を実質的に有さない金属シード層および金属相互接続を製造するために技術的な課題が生じる。
本開示は、金属シード層を有する基板を準備する方法に関する。この方法は、処理チャンバ内に、基板のめっき表面上に金属シード層を有する基板を提供するステップであって、金属シード層の一部が、金属の酸化物に変換されているステップを含む。この方法はさらに、遠隔プラズマ源内で還元ガス種の遠隔プラズマを生成するステップであって、遠隔プラズマが、還元ガス種からのラジカル、イオン、および紫外(UV)放射の1つまたは複数を含むステップを含む。この方法はさらに、基板の金属シード層を遠隔プラズマに露出させるステップであって、遠隔プラズマへの露出が、金属の酸化物を、金属シード層と一体化された被膜の形態での金属に還元するステップを含む。
いくつかの実施形態では、金属シード層が、銅、コバルト、ルテニウム、パラジウム、ロジウム、イリジウム、オスミウム、ニッケル、金、銀、アルミニウム、およびタングステンの少なくとも1つを含む。いくつかの実施形態では、基板は、遠隔プラズマへの露出中に金属シード層の凝集を生じる温度未満の温度で維持される。いくつかの実施形態では、還元ガス種は、水素、アンモニア、一酸化炭素、ジボラン、亜硫酸化合物、炭素および/または炭化水素、亜リン酸塩、およびヒドラジンの少なくとも1つを含む。いくつかの実施形態では、この方法はさらに、めっき溶液を含むめっき浴に基板を移送するステップと、めっき溶液を使用して金属シード層上に金属をめっきするステップとを含む。
また、本開示は、金属シード層を有する基板を準備するための装置に関する。この装置は、処理チャンバと、処理チャンバの上方にある遠隔プラズマ源とを含む。この装置はさらに、制御装置を備え、制御装置は、処理チャンバ内に、基板のめっき表面上に金属シード層を有する基板を提供する操作であって、金属シード層の一部が、金属の酸化物に変換されている操作と、遠隔プラズマ源内で還元ガス種の遠隔プラズマを生成する操作であって、遠隔プラズマが、還元ガス種からのラジカル、イオン、および紫外(UV)放射の1つまたは複数を含む操作と、遠隔プラズマに基板の金属シード層を露出させる操作であって、遠隔プラズマへの露出が、金属の酸化物を、金属シード層と一体化された被膜の形態での金属に還元する操作とを実施するための命令を有する。
いくつかの実施形態では、制御装置はさらに、遠隔プラズマへの露出中に金属シード層の凝集を生じる温度未満の温度で基板を維持するための命令を含む。いくつかの実施形態では、制御装置はさらに、基板の金属シード層を遠隔プラズマに露出させた後に、基板を冷却ガスに露出させるための命令を含む。いくつかの実施形態では、装置はさらに、処理チャンバ内で基板を保持するための基板支持体と、遠隔プラズマ源と基板支持体との間のシャワーヘッドとを含む。この制御装置はさらに、遠隔プラズマの生成、および遠隔プラズマへの基板の金属シード層の露出中に、約0℃〜約400℃の間の処理温度に基板支持体を加熱するための命令を含む。この装置はさらに、シャワーヘッドと基板支持体との間の位置に基板を移動させるように構成された処理チャンバ内の1つまたは複数の可動部材を含み、制御装置はさらに、基板の金属シード層を遠隔プラズマに露出させる前に、1つまたは複数の可動部材によって基板支持体に向けて基板を移動させるための命令を備える。いくつかの実施形態では、この装置は、電気めっきまたは無電解めっきシステムの一部である。
ダマシンプロセスでのバイアエッチング前の、誘電体層の概略断面図の一例を示す図である。
ダマシンプロセスでエッチングが行われた後の、図1Aでの誘電体層の概略断面図の一例を示す図である。
エッチングされた領域がダマシンプロセスにおいて金属で充填された後の、図1Aおよび図1Bでの誘電体層の概略断面図の一例を示す図である。
基板上に銅を電気めっきする方法を例示する概略工程図である。
金属シード層上の金属酸化物を還元する方法を例示する概略工程図である。
酸化された金属シード層の概略断面図の一例を示す図である。
金属酸化物の除去による空隙を有する金属シード層の概略断面図の一例を示す図である。
還元された金属酸化物が、金属シード層と一体化されない反応生成物を生成した状態での、金属シード層の概略断面図の一例を示す図である。
還元された金属酸化物が、金属シード層と一体化された被膜を形成した状態での、金属シード層の概略断面図の一例を示す図である。
処理チャンバを備える遠隔プラズマ装置を断面視として例示する図である。
金属シード層を有する基板を処理する方法を例示する概略工程図である。
金属シード層を有する基板を処理する別の方法を例示する概略工程図である。
遠隔プラズマ装置を使用して金属シード層を有する基板を処理する様々な段階を概略断面図により例示する図である。 遠隔プラズマ装置を使用して金属シード層を有する基板を処理する様々な段階を概略断面図により例示する図である。 遠隔プラズマ装置を使用して金属シード層を有する基板を処理する様々な段階を概略断面図により例示する図である。 遠隔プラズマ装置を使用して金属シード層を有する基板を処理する様々な段階を概略断面図により例示する図である。
電気めっき装置の概略上面図の一例を示す図である。
電気めっき装置を備える遠隔プラズマ装置の拡大概略上面図の一例を示す図である。
電気めっき装置に取り付けられた遠隔プラズマ装置の3次元斜視図の一例を示す図である。
遠隔プラズマへの露出と、銅に関する導電率の利得との影響関係を示すグラフである。
遠隔プラズマを使用して処理されたときと、遠隔プラズマを使用して処理されなかったときの、シードトレンチクーポンの走査電子顕微鏡(SEM)画像を示す図である。
還元処理後の、周囲条件にさらされた金属シード層上での金属酸化物の成長を示すグラフである。
還元処理後に異なる時間にわたって周囲条件にさらされたシードトレンチクーポン、および還元処理なしのシードトレンチクーポンのSEM画像を示す図である。
処理チャンバ内での異なる条件下での時間にわたる温度冷却プロファイルを例示するグラフである。
温度と、遠隔プラズマ処理後の金属シード層の表面粗さとの影響関係を例示するグラフである。
温度と金属シード層の空隙減少との影響関係を例示するグラフである。
以下の説明では、提示される概念を完全に理解できるように、複数の特定の詳細を記載する。提示される概念は、これら特定の詳細のいくつかまたはすべてを伴わずに実施することもできる。なお、説明する概念を不要に曖昧にしないように、よく知られているプロセス操作は詳細には説明しない。いくつかの概念を特定の実施形態に関連付けて説明するが、これらの実施形態が限定とは意図されていないことを理解されたい。
序論:
本発明は、様々な用途で使用することができるが、1つの非常に有用な用途は、半導体デバイスの製造で一般に使用されるダマシンまたはデュアルダマシンプロセスである。ダマシンまたはデュアルダマシンプロセスは、金属相互接続、例えば銅相互接続を含むことがある。
デュアルダマシンプロセスの段階のいくつかを示す図1A〜図1Cを参照して、デュアルダマシン技法の一般化された形態を説明することができる。
図1Aは、ダマシンプロセスでのバイアエッチング前の、1つまたは複数の誘電体層の概略断面図の一例を示す。デュアルダマシンプロセスでは、誘電体の第1の層と第2の層は、通常は連続的に堆積され、場合によっては、窒化ケイ素層などのエッチストップ層の堆積によって分離される。図1Aでは、これらの層が、第1の誘電体層103、第2の誘電体層105、およびエッチストップ層107として示されている。これらの層は、基板109の隣接部分の上に形成され、そのような隣接部分は、下にあるメタライゼーション層または(デバイスレベルでの)ゲート電極層でよい。
第2の誘電体層105の堆積後、プロセスは、開口を有するバイアマスク111を形成し、これらの開口の位置で、その後、バイアがエッチングされる。図1Bは、ダマシンプロセスでエッチングが行われた後の、図1Aでの1つまたは複数の誘電体層の概略断面図の一例を示す。次に、バイアが、エッチストップ107のレベルを通して部分的にエッチングされる。次いで、図1Bに示されるように、バイアマスク111が剥離され、ラインマスク113で置き換えられる。第2の誘電体層105でのライン経路115を画定するのに十分な量の誘電体を除去するために、第2のエッチング操作が行われる。このエッチング操作はまた、図1Bに示されるように、バイアホール117を、第1の誘電体層103を通して、下にある基板109に接触するまで延ばす。
その後、プロセスは、誘電体層103および105の露出面(側壁を含む)に、比較的導電性の高いバリア層材料119の薄層を形成する。図1Cは、エッチングされた領域が導電性バリア層材料でコーティングされ、ダマシンプロセスにおいて金属で充填された後の、図1Aおよび図1Bでの誘電体層の概略断面図の一例を示す。導電性バリア層材料119は、例えば窒化タンタルまたは窒化チタンから形成されることがある。典型的には、導電性バリア層材料119を堆積するために、化学気相成長(CVD)、原子層堆積(ALD)、または物理気相成長(PVD)法が採用される。
次いで、プロセスは、バイアホールおよびライン経路117および115内で、導電性バリア層材料119の上に導電性金属121(必ずしもそうである必要はないが、典型的には銅)を堆積する。従来、この堆積は、2ステップで行われる。すなわち、金属シード層の初期堆積と、それに続く、めっきによる金属のバルク堆積とである。しかし、以下に詳細に説明するように、本開示は、バルク堆積ステップの前に前処理ステップを提供する。金属シード層は、PVD、CVD、無電解めっき、または当技術分野で知られている任意の他の適切な堆積技法によって堆積することができる。銅のバルク堆積は、ライン経路115を充填するだけでなく、完全な充填を保証するために、第2の誘電体層105上のすべての露出領域を覆うことに留意されたい。金属121は、ICデバイス用の銅相互接続として働くことができる。いくつかの実施形態では、銅以外の金属がシード層で使用される。そのような他の金属の例としては、コバルト、タングステン、およびルテニウムが挙げられる。
金属シード層は、空気中の酸素または水蒸気と容易に反応して酸化し、純金属から、金属酸化物と埋設された純金属との混合被膜になることがある。周囲条件下での酸化は、いくつかの金属の薄い表面層に限定されることがあるが、その薄層は、現在の技術ノードで使用される薄いシード層の厚さのかなりの部分、またはおそらく厚さ全体に及ぶことがある。比較的薄い層は、4x nmノード、3x nmノード、2x nmノード、および1x nmノード、ならびに10nm未満などの技術ノードによって必要とされることがある。比較的薄い金属層を必要とする技術ノードでのバイアおよびトレンチの高さ対幅のアクペクト比は、約5:1以上であることがある。したがって、そのような技術ノードでは、金属シード層の厚さは、平均で約100Å未満となることがある。いくつかの実装形態では、金属シード層の厚さは、平均で約50Å未満となることがある。
以下の式1および式2で示される一般的な化学反応により、シードまたはバリア層のために使用される金属は、金属酸化物(MO)に変換されるが、金属表面(M)と周囲酸素または水蒸気との正確な反応メカニズムは、特性および酸化状態に応じて変わることがある。
式1:2M(s)+O(g)→2MO(s)
式2:2M(s)+HO(g)→M+H(g)
例えば、基板上に堆積された銅シードは、空気に露出されると急速に酸化銅を生成することが知られている。酸化銅被膜は、下にある銅金属の上で、約20Åから50Åまでの厚さの層を形成することがある。金属シード層がより薄くなるにつれて、周囲条件での酸化による金属酸化物の生成は、大きな技術的課題をもたらすことがある。
金属酸化物への純金属シードの変換は、いくつかの問題を生じることがある。これは、現在の銅ダマシン処理に当てはまるだけでなく、様々な導電性金属、例えばルテニウム、コバルト、銀、アルミニウム、およびこれらの金属の合金を使用する電着プロセスにも当てはまる。第1に、酸化された表面は、その上にめっきするのが難しい。金属酸化物と純金属に対して電気めっき浴添加物が及ぼすことがある異なる相互作用により、不均一なめっきが生じることがある。さらに、金属酸化物と純金属との導電率の差により、不均一なめっきが生じることがある。第2に、金属シード内に空隙が生じることがあり、空隙により、金属シードの一部が、めっきを支持するために利用できなくなることがある。空隙は、腐食めっき溶液への露出中に、金属酸化物の溶解により生じることがある。また、空隙は、不均一なめっきにより表面上に生じることもある。さらに、酸化された表面の上にバルク金属をめっきすることが、接着または層間剥離の問題を引き起こすことがあり、これはさらに、化学機械平坦化(CMP)など後続の処理ステップ後に空隙を生じることがある。エッチング、不均一なめっき、層間剥離、または他の手段によって生じる空隙により、金属シード層が不連続になり、めっきを支持するために利用できなくなることがある。実際、現代のダマシン金属シード層は比較的薄く、例えば約50Å以下であるので、わずかな酸化でさえ、層厚さ全体に及ぶことがある。第3に、金属酸化物の生成は、キャッピングなど電着後のステップを妨げることがあり、ここで、金属酸化物は、キャッピング層に関する接着力を制限することがある。
金属シード層の堆積後、しかしシード層上へのバルク金属の電気めっきの前に、金属シード層上での金属酸化物の生成を回避するのは難しいことがある。金属を電気めっきする前に、周囲条件での酸素または水蒸気に金属シード層を露出させることがある様々なステップが行われる。例えば、図2は、基板上に銅を電気めっきする方法を例示する例示的な流れ図を示す。プロセス200は、ステップ205から始めることができ、ステップ205で、プロセスチャンバまたは堆積チャンバが、半導体ウェハなどの基板を受け取る。PVDなどの適切な堆積技法を使用して、銅シード層などの金属シード層が基板上に堆積することができる。
任意選択のステップ210で、金属シード層を有する基板をリンスして乾燥させることができる。例えば、金属シード層を脱イオン水でリンスすることができる。リンスステップは、例えば約1〜10秒の時間に制限されることがあるが、より長い時間かかることもあり、またはより短い時間しかかからないこともある。その後、基板を乾燥させることができ、これは約20〜40秒の間でよいが、この乾燥ステップは、より長い時間かかることもあり、またはより短い時間しかかからないこともある。このステップ中、金属シード層は、酸化にさらされることがある。
ステップ215で、金属シード層を有する基板が電気めっきシステムまたは浴に移送される。この移送中、金属シード層は周囲条件にさらされることがあり、それにより、金属シード層は急速に酸化することがある。いくつかの実施形態では、この露出の時間は、約1分〜約4時間の間、約15分〜約1時間の間、またはそれ以上でよい。ステップ220で、基板上にバルク金属を電気めっきすることができる。例えば、銅シード層を有する基板を、酸溶液中に銅の陽イオンと関連の陰イオンとを含む電気めっき浴内に浸漬することができる。図2のステップ220は、2001年2月28日出願の米国特許第6,793,796号(代理人整理番号NOVLP073)に記載されている一連のプロセスを含むことができ、その特許文献の全体を参照により本明細書に組み込む。この参考文献は、エレクトロフィルプロセスの少なくとも4つの段階を説明し、比較的小さな埋込型フィーチャの最適な充填のために、各段階に関する電流密度制御法を開示する。
金属シード層の堆積と電気めっきとの間で金属シード層を酸化にさらすことがある様々なステップがあるため、金属酸化物表面の悪影響を低減させるための技法が必要とされる。しかし、現在の技法のいくつかは、欠点を有することがある。典型的には、水素ベースのプラズマの使用は、厚い金属酸化物を還元することがあるが、そのような技法は、費用をかなり追加し、かなり高い温度(例えば、少なくとも200℃を超える温度)を利用し、これは、薄い金属シード層に好ましくない損傷を与えることがあり、フィーチャ内部の空隙数を増やす。厚い金属酸化物を還元するためのフォーミングガス熱アニールは、150℃よりも高い温度でフォーミングガス(例えば水素ガスと窒素ガスの混合物)を使用し、これにより、金属シードが凝集することがあり、また空隙形成の増加をもたらすことがある。酸または他の化学試薬の使用は、厚い金属酸化物を溶解またはエッチングすることができるが、そのような酸化物の除去は、いくつかの領域で空隙形成の増加をもたらし、それらの領域では金属を上にめっきすることができない。なぜなら、金属を上にめっきすることができない不十分なシード層しか有さない領域が形成されるからである。
本開示は、金属酸化物表面を還元して、修正された金属表面にするための方法を提供する。金属酸化物表面を還元する方法は、基板が電気めっき浴内に導入されるときに、実質的に酸化物を含まない実質的に清浄な金属表面を提供する。また、実質的に酸化物を含まない基板は、無電解めっきシステムまたは他の金属堆積システム内に導入されることもある。さらに、金属酸化物を還元する方法は、比較的低い温度で作用し、還元された金属酸化物は、金属に変換して、連続する被膜を形成し、この被膜は、金属シード層と一体化され、下にあるシードまたは基板に対する接着性を有する。さらに、金属酸化物表面を還元するための方法は、金属シード層内の空隙および間隙を減少させるために、金属をリフローさせることができる。金属のリフローは、金属に移動性を与え、シード層内で原子を再分配して、シード被覆および/または平滑さを改良することができ、それにより、より均一であり連続的なシード層を形成する。
金属シード層上の金属酸化物を還元する方法:
遠隔プラズマを使用して金属シード層を有する基板を準備する方法を開示することができる。いくつかの実施形態では、基板は、還元ガス雰囲気への露出中に金属シード層の凝集を生じる温度未満の温度で維持される。いくつかの実施形態では、この方法はさらに、めっき溶液を含むめっき浴に基板を移送するステップと、めっき溶液を使用して金属シード層上に金属をめっきするステップとを含む。
図3は、金属シード層上の酸化物を還元し、基板上に金属をめっきする方法を示す例示的な流れ図を示す。プロセス300は、ステップ305から始めることができ、ステップ305で、基板のめっき表面上に金属シード層を有する基板が処理チャンバ内に提供される。金属シード層の一部は、金属の酸化物に変換されている。その前に、薄い銅層などの金属シード層を基板上に堆積することができる。これは、基板のめっき表面上に金属シード層を有する基板を提供する。基板は、約3:1よりも大きい、または約5:1よりも大きい高さ対幅のアスペクト比を有する凹部、トレンチ、バイア、またはフィーチャを有することがある。いくつかの実施形態では、金属シード層は、約100Å未満の厚さを有することがある。金属シード層は、銅、コバルト、ルテニウム、パラジウム、ロジウム、イリジウム、オスミウム、ニッケル、金、銀、アルミニウム、およびタングステンの少なくとも1つを含むことができる。
いくつかの実施形態では、金属シード層は、半貴金属層を含むことができる。半貴金属層は、拡散バリアの一部でよく、または拡散バリアとして働くことがある。半貴金属層は、半貴金属、例えばルテニウムを含むことができる。半貴金属層の態様は、米国特許第7,442,267号(代理人整理番号NOVLP350)、米国特許第7,964,506号(代理人整理番号NOVLP272)、米国特許第7,799,684号(代理人整理番号NOVLP207)、米国特許出願第11/540,937号(代理人整理番号NOVLP175)、米国特許出願第12/785,205号(代理人整理番号NOVLP272X1)、および米国特許出願第13/367,710号(代理人整理番号NOVLP272X2)にさらに記載されていることがあり、各特許文献の全体を参照により本明細書に組み込む。金属シード層の堆積は、PVD装置などの堆積装置で行うことができる。いくつかの実施形態では、プロセス300を続けることができ、基板が、かなりの低圧または真空の環境を有するチャンバまたは装置に移送される。チャンバまたは装置は、還元ガス種を含むことができる。いくつかの実施形態では、還元ガス種は、水素(H)、アンモニア(NH)、一酸化炭素(CO)、ジボラン(B)、亜硫酸化合物、炭素および/または炭化水素、亜リン酸塩、および/またはヒドラジン(N)を含むことができる。移送中、基板は、金属シード層の表面を酸化させることがある周囲条件にさらされることがある。したがって、金属シード層の少なくとも一部が、酸化された金属に変換されることがあり、または酸化された金属に既に変換されていることがある。
ステップ310で、遠隔プラズマ源内で還元ガス種の遠隔プラズマが生成され、遠隔プラズマは、還元ガス種からのラジカル、イオン、および紫外(UV)放射の1つまたは複数を含む。遠隔プラズマは、例えば、H、NH 、またはN など還元ガス種のラジカルを生成することがある。還元ガス種のラジカルは、金属酸化物表面と反応して、純金属表面を生成する。以下に示すように、式3は、水素ガスなどの還元ガス種が水素ラジカルに分解される例を示す。式4は、水素ラジカルが金属酸化物表面と反応して、金属酸化物を金属に変換することを示す。分解されない水素ガス分子、または再結合して水素ガス分子を生成する水素ラジカルに関しては、水素ガス分子は、依然として、式5に示されるように金属酸化物を金属に変換するための還元剤として働くことができる。
式3:H→2H
式4:(x)2H+MO→M+(x)H
式5:xH +MO→M+xH
ステップ315で、基板の金属シード層が遠隔プラズマに露出される。遠隔プラズマへの露出は、金属の酸化物を還元して、金属シード層と一体化された被膜の形態での金属にする。ステップ315で示されるように、還元ガス種のラジカル、還元ガス種からのイオン、還元ガス種からの紫外(UV)放射、または還元ガス種自体が、金属シード層と一体化された被膜の形態での金属に金属酸化物を変換する条件下で金属酸化物と反応する。金属シード層と一体化された被膜の特性を、以下の図4Dに関して以下にさらに詳細に論じる。
遠隔プラズマは、還元ガス種のイオンおよび他の荷電種を発生して含むことがある。還元ガス種のイオンおよび荷電種は、基板の表面に移動して、金属シード層と反応する、または何らかの形で接触することができる。イオンまたは還元種は、基板の表面に向かって自由にドリフトすることがあり、または、逆符合のバイアスが基板支持体にかけられているときには、基板の表面に向けて加速されることがある。イオンまたは荷電種は、金属酸化物と反応して、金属酸化物を還元することができる。いくつかの実装形態では、遠隔プラズマ中のイオンまたは荷電種は、例えば、H、NH 、NH 、およびHを含むことができる。イオンまたは荷電種は、酸化物層の厚さおよび性質に応じて、金属シード層上の酸化物を還元するのに有利であることがあり、金属シード層は、銅、コバルト、ルテニウム、パラジウム、ロジウム、イリジウム、オスミウム、ニッケル、金、銀、アルミニウム、タングステン、およびそれらの合金から形成されることがある。例えば、イオンまたは荷電種は、コバルトを含むシード層の処理に有益であることがある。
また、遠隔プラズマは、還元ガス種からのUV放射を発生して含むこともある。遠隔プラズマからの還元ガス分子の励起は、光子の放出を引き起こすことがある。放出された光子は、複数の効果の1つをもたらすことがある。第1に、UVスペクトル内の放出された光子は、基板の表面を加熱して、金属酸化物表面を活性化することがあり、それにより、ラジカル、イオン、および他の荷電種が、金属酸化物表面とより容易に反応することができるようになる。第2に、還元ガス種は、放出された光子を吸収し、還元ガス種のラジカルを発生することができる。発生されるラジカルは、金属酸化物表面と反応して、金属酸化物を還元することができる。第3に、放出された光子は、金属酸化物自体の還元を引き起こすのに十分なエネルギーを有することができる。
金属シード層と一体化された被膜の形態での金属に金属酸化物を変換するためのプロセス条件は、金属の選択、および/または還元ガス種の選択に応じて変わることがある。いくつかの実施形態では、還元ガス種は、H、NH、CO、炭素および/または炭化水素、B、亜硫酸化合物、亜リン酸塩、およびNの少なくとも1つを含むことができる。さらに、還元ガス種は、比較的不活性のガス種など混合ガス種と組み合わせることができる。比較的不活性のガス種の例としては、窒素(N)、ヘリウム(He)、ネオン(Ne)、クリプトン(Kr)、キセノン(Xe)、ラドン(Rn)、およびアルゴン(Ar)を挙げることができる。還元ガス種の流量は、処理するウェハのサイズに応じて変えることができる。例えば、単一の450mmウェハを処理するために、還元ガス種の流量は、約10sccm(標準状態換算での立方センチメートル毎分)〜約100,000sccmの間でよい。他のウェハサイズも適用できる。例えば、単一の300mmウェハを処理するために、還元ガス種の流量は、約500sccm〜約30,000sccmの間でよい。
金属シード層と一体化された被膜の形態での金属への金属酸化物の変換を可能にするために、処理または還元チャンバ内の温度および圧力などの処理条件も制御することができる。いくつかの実施形態では、ラジカルへの還元ガス種の解離を可能にするために、還元チャンバの温度を比較的高くすることができる。例えば、還元チャンバは、約10℃〜約500℃、例えば約50℃〜約250℃の間でよい。金属酸化物の還元反応を加速し、還元ガス雰囲気への露出の時間を短縮するために、より高い温度を用いることができる。いくつかの実施形態では、雰囲気中の酸素の存在を最小限にすることで再酸化の影響を低減することができるので、還元チャンバは、還元ガス雰囲気から酸素を実質的に除去するために比較的低い圧力を有することができる。例えば、還元チャンバは、真空環境または約0.1Torr〜約50Torrの間の低圧にポンプダウンすることができる。また、上昇された温度および/または低下された温度が、金属シード層内の金属原子のリフローを高めて、より均一で連続的な金属シード層を形成することができる。
還元チャンバは、ラジカルへの還元ガス種の解離を可能にするために比較的高い温度を有することができるが、基板自体の温度は、金属シード層の損傷を回避または減少させるために別個に制御することができる。金属シード層内の金属のタイプによっては、金属は、あるしきい値温度を超えると凝集し始めることがある。凝集の影響は、比較的薄いシード層、特に約100Å未満の厚さを有するシード層でより顕著である。凝集は、連続的または半連続的な金属シード層をビード、隆起、島(islands)、または他の塊にする任意の合着(coalescing)またはビーディング(beading)を含み、不連続な金属シード層を形成する。これにより、金属シード層は、その層が堆積されている表面から剥離することがあり、めっき中に空隙形成の増加をもたらすことがある。例えば、銅において凝集が生じ始める温度は、約100℃よりも高い。異なる金属には異なる凝集温度が適切となることがある。
基板の温度を制御し、凝集の影響を回避または低減するために、還元チャンバ内にある能動冷却型のペデスタルおよび/またはガス流冷却装置などの冷却システムを使用して、基板の局所領域を凝集温度未満の温度で保つことができる。いくつかの実施形態では、基板は、ペデスタルの上に、ペデスタルに直接接触して支持されることがある。いくつかの実施形態では、ペデスタルと基板との間に間隙が存在することがある。伝熱は、伝導、対流、放射、またはそれらの組合せによって行うことができる。
いくつかの実装形態では、能動冷却型のペデスタルは、抵抗加熱要素を備える伝熱要素、冷却チャネル、またはペデスタル内部に埋め込まれた他の熱源もしくはシンクを提供する。例えば、ペデスタルは、水などの流体がペデスタル内部を循環してペデスタルを能動冷却できるようにする冷却要素を含むことができる。いくつかの実施形態では、冷却要素は、ペデスタルの外部に位置されることがある。いくつかの実施形態では、冷却流体は、グリコールなど低沸点流体を含むことができる。そのような冷却要素を含む実施形態は、2008年2月5日に付与された米国特許第7,327,948号(代理人整理番号NOVLP127X1);2011年1月5日に付与された米国特許第7,941,039号(代理人整理番号NOVLP127X3);2007年5月21日に出願された米国特許出願第11/751,584号(代理人整理番号NOVLP127X2);2012年2月10日に出願された米国特許出願第13/370,579号(代理人整理番号NOVLP127C1);および2012年3月20日に付与された米国特許第8,137,465号(代理人整理番号NOVLP127)に記載されていることがあり、各特許文献の全体を参照によりあらゆる目的で本明細書に組み込む。ペデスタル内の温度は、フィードバックループを使用して能動制御することができる。
いくつかの実装形態では、ペデスタルと基板との間に間隙が存在することがあり、ガスなどの伝熱媒体をペデスタルと基板との間に導入して、基板を冷却することができる。いくつかの実施形態では、伝熱媒体は、ヘリウムを含むことができる。いくつかの実施形態では、ペデスタルは、基板にわたる冷却が均一になるように、凸形または凹形でよい。ペデスタル形状の例は、2005年5月12日に出願された米国特許出願第11/129,266号(代理人整理番号NOVLP361);2006年10月10日に出願された米国特許出願第11/546,189号(代理人整理番号NOVLP198);および2010年3月29日に出願された米国特許出願第12/749,170号(代理人整理番号NOVLP361D1)に記載されていることがあり、各特許文献の全体を参照によりあらゆる目的で本明細書に組み込む。
基板を効率的に冷却し、基板にわたって実質的に均一な温度を維持するために、様々な構成を利用することができる。能動冷却システムのいくつかの実装形態は、基板にわたる均一なガス流と組み合わされたペデスタル内部のペデスタル循環水を含む。他の実装形態は、基板にわたる均一なガス流と組み合わされた抵抗加熱型のペデスタルを含む。能動冷却システムと共に、他の構成および/または追加が提供されることもある。例えば、全体を参照によりあらゆる目的で本明細書に組み込む2011年4月13日に出願された米国特許出願第13/086,010号(代理人整理番号NOVLP400)に記載されているように、基板にわたる温度が実質的に均一になるように、取外し可能なセラミックカバーをペデスタルと基板との間に挿入することができる。いくつかの実施形態では、全体を参照によりあらゆる目的で本明細書に組み込む2011年10月11日に付与された米国特許第8,033,771号(代理人整理番号NOVLP298)に記載されているように、基板を急速にかつ均一に冷却するために、最小限の接触支持でガス流を制御することができる。いくつかの実施形態では、全体を参照によりあらゆる目的で本明細書に組み込む2012年10月12日に付与された米国特許第8,288,288号(代理人整理番号NOVLP232)に記載されているように、伝熱媒体の分圧を変えることによって、伝熱媒体の伝熱効率を調節することができる。当技術分野で知られているように、比較的低い基板温度を維持するための局所冷却システムに関する他の構成を利用することもできる。
いくつかの実施形態では、基板は、遠隔プラズマへの露出中に金属シード層の凝集を生じる温度未満の温度で維持される。基板の温度は、本明細書で前述した、または当技術分野で知られている冷却システムの任意のものを使用して、金属の凝集温度未満の温度で維持することができる。いくつかの実施形態では、基板は、約−10℃〜約150℃の間など、約150℃未満の温度で維持することができる。例えば、銅シード層では、基板は、約75℃〜約100℃の間の温度で維持することができる。コバルトシード層では、基板は、約100℃よりも高い温度で維持することができる。
還元ガス雰囲気への露出の時間は、他のプロセスパラメータに応じて変えることができる。例えば、還元ガス雰囲気への露出の時間は、遠隔プラズマ出力や還元チャンバの温度などを高めることによって短縮することができる。特定の実施形態では、金属酸化物表面を還元して、金属シード層と一体化された被膜としての純金属にするための露出の時間は、約1秒〜約60分の間でよい。例えば、銅シード層の前処理に関して、露出の時間は、約10秒〜約300秒の間でよい。
大抵の還元処理は、めっき前に基板をリンスして乾燥させて基板表面を洗浄することを必要とすることがあるが、還元ガス雰囲気に露出された基板は、めっき前にリンスおよび乾燥させる必要がない。したがって、還元ガス雰囲気を使用する金属酸化物表面の還元は、めっき前に基板をリンスして乾燥させる追加のステップをなくすことができ、これは、再酸化の影響をさらに低減することができる。
いくつかの実装形態では、上昇された温度、減少された圧力、UV源からのUV放射、遠隔プラズマからのUV放射、ならびに遠隔プラズマからのラジカル、イオン、および他の荷電種の1つまたは複数への露出により、金属シード層内の金属をリフローさせることができる。そのような露出は、金属シード層内の原子をより励起された状態にして、移動性をより高くすることができる。原子は、下にある層に移動して、空隙/間隙を減少させることができる。その結果、より均一で連続的な金属シード層を形成することができる。いくつかの実装形態では、リフローと還元処理とを同時に行うことができる。
いくつかの実施形態では、基板の金属シード層を遠隔プラズマに露出させた後、周囲条件下で、または不活性ガスのブランケットの下で、電気めっきシステム、無電解めっきシステム、金属堆積システム、もしくは前処理装置に基板を移送することができる。金属シード層内の金属酸化物は、金属酸化物表面を還元ガス雰囲気に露出させることによって実質的に還元されているが、このステップの実施は、周囲環境への露出による再酸化という別の課題をもたらすことがある。いくつかの実施形態では、移送の時間の短縮、または移送中の雰囲気の制御などの技法を使用して、周囲条件への露出を最小限にすることができる。追加または代替として、移送は、周囲条件ほどは酸化を引き起こさない制御された環境内で行われる。移送中の雰囲気を制御するために、例えば、雰囲気は、実質的に酸素を含まないことがある。環境は、実質的に不活性である、および/または低圧または真空であることがある。いくつかの実施形態では、基板は、不活性ガスのブランケットの下で移送されることがある。以下に論じるように、遠隔プラズマ装置から電気めっきシステムへ、またはめっき溶液を含むめっき浴への移送を行うことができ、ここで、遠隔プラズマ装置は、電気めっきシステムまたはめっき浴に一体化されているか、または何らかの形で接続されている。その後、基板上に金属を電気めっきすることができる。例えば、金属は、めっき溶液を使用して金属シード層上にめっきすることができる。
図4Aないし図4Dは、導電性バリア層上に堆積された金属シード層の概略断面図の例を示す。図4Aは、導電性バリア層419上に堆積された酸化された金属シード層の概略断面図の一例を示す。本明細書で前述したように、金属シード層420は、周囲条件での酸素または水蒸気に露出されると酸化されることがあり、酸素または水蒸気が、金属シード層420の一部で金属を金属酸化物425に変換することがある。
図4Bは、金属酸化物の除去による空隙を有する金属シード層の概略断面図の一例を示す。本明細書で前述したように、いくつかの溶液は、金属酸化物425の除去によって金属酸化物425を処理し、空隙426を形成する。例えば、金属酸化物425は、酸または他の化学物質による酸化物エッチングまたは酸化物溶解によって除去することができる。空隙426の厚さは、金属シード層420の薄さに対してかなり大きいことがあるので、後続のめっきに対する空隙426の影響は大きいことがある。
図4Cは、還元された金属酸化物が、金属シード層と一体化されない反応生成物を生成した状態での、金属シード層の概略断面図の一例を示す。本明細書で前述したように、いくつかの溶液は、金属を金属シード層420と凝集させる条件下で金属酸化物425を還元する。いくつかの実施形態では、還元技法は、銅粉末などの金属粒子427を生成し、金属粒子427は金属シード層420と凝集することができる。金属粒子427は、金属シード層420と一体化された被膜を形成しない。金属粒子427は、連続的でなく、共形でなく、および/または金属シード層420に対する接着性を有さない。
図4Dは、還元された金属酸化物が、金属シード層と一体化された被膜を形成した状態での、金属シード層の概略断面図の一例を示す。いくつかの実施形態では、還元ガス種のラジカル、還元ガス種からのイオン、還元ガス種からのUV放射、または還元ガス種自体が、金属酸化物425を還元することができる。還元ガス雰囲気に関するプロセス条件が適切に調節されるとき、図4Aでの金属酸化物425は、金属シード層420と一体化された被膜428に変換することができる。被膜428は、粉末ではない。図4Cにおける例とは対照的に、被膜428は、被膜428を金属シード層420と一体化させる複数の特性を有することがある。例えば、被膜428は、輪郭の金属シード層420の上で、実質的に連続であり、共形であることがある。さらに、被膜428は、金属シード層420に対してかなりの接着性を有することがあり、したがって、被膜428は、金属シード層420から容易には剥離しない。
遠隔プラズマ装置:
金属シード層を有する基板を準備するための遠隔プラズマ装置が開示される。遠隔プラズマ装置は、処理チャンバと、処理チャンバの上方にある遠隔プラズマ源と、制御装置とを含む。いくつかの実施形態では、遠隔プラズマ装置は、さらに、処理チャンバ内で基板を保持するための基板支持体と、遠隔プラズマ源と基板支持体との間のシャワーヘッドとを含む。いくつかの実施形態では、遠隔プラズマ装置は、さらに、処理チャンバ内に1つまたは複数の可動部材を含む。1つまたは複数の可動部材は、シャワーヘッドと基板支持体との間の位置に基板を移動させるように構成することができる。制御装置は、処理チャンバ内に、基板のめっき表面上に金属シード層を有する基板を提供する操作であって、金属シード層の一部が金属の酸化物に変換されている操作と、遠隔プラズマ源内で還元ガス種の遠隔プラズマを生成する操作であって、遠隔プラズマが、還元ガス種からのラジカル、イオン、およびUV放射の1つまたは複数を含む操作と、遠隔プラズマに基板の金属シード層を露出させる操作であって、遠隔プラズマへの露出が、金属の酸化物を、金属シード層と一体化された被膜の形態での金属に還元する操作とを実施するように構成することができる。また、制御装置は、基板の金属シード層を遠隔プラズマに露出させる前に1つまたは複数の可動部材によって基板を基板支持体に向けて移動させる操作と、基板を冷却ガスに露出させる操作とを含めた、1つまたは複数の操作を実施するように構成することもできる。
遠隔プラズマ装置は、遠隔プラズマを用いて基板を処理することに限定されない複数の操作を実施するように構成することができる。遠隔プラズマ装置は、電気めっき装置、無電解めっき装置、または他の金属堆積装置に、およびそれらの装置から基板を効率的に移送(例えば装填/脱装)するように構成することができる。例えば、制御装置は、めっき溶液を含むめっき浴に基板を移送し、めっき溶液を使用して金属シード層上に金属をめっきするように構成することができる。遠隔プラズマ装置は、可動部材を使用して、および/または基板支持体を使用して基板を位置決めすることによって、基板の温度を効率的に制御するように構成することができる。遠隔プラズマ装置は、基板支持体の温度およびシャワーヘッドの温度を制御することによって、基板の温度を効率的に制御するように構成することができる。遠隔プラズマ装置は、シャワーヘッドに対して基板支持体を位置決めすることによって、還元反応の速度および還元反応の均一性を調整するように構成することができる。遠隔プラズマ装置は、処理チャンバ内に送給されるガスおよびガスの流量を制御することによって、基板を取り巻く環境条件を制御するように構成することができる。そのような操作は基板の処理を改良することができ、また、追加の操作を単一の独立型装置に組み込むことができる。したがって、基板を処理および冷却するために、2つの別個のモジュールを使用するのではなく、単一の装置を使用することができる。さらに、上述した操作のいくつかを行うことができるように遠隔プラズマ装置を構成することによって、遠隔プラズマ装置は、基板の処理前、処理中、および処理後に、生じ得る金属シード層の酸化を減少させることができる。
いくつかの実装形態では、遠隔プラズマ装置は、さらに、UV源を含むことができる。UV源は、水銀ランプ、UVエキシマランプ、UVエキシマレーザ、および他の適切なUV源など、UV広帯域ランプを含むことができる。UV源の態様は、2013年3月6日に出願された米国特許出願第13/787,499号(代理人整理番号LAMRP027)に記載されていることがあり、その特許文献の全体を参照によりあらゆる目的で本明細書に組み込む。いくつかの実装形態では、還元ガス種をUV源からのUV放射に露出させて、還元ガス種のラジカルおよび他の荷電種を生成することができ、ラジカルおよび他の荷電種は、金属シード層の金属酸化物表面と反応して、金属酸化物を還元することができる。
図5は、処理チャンバを備える遠隔プラズマ装置の概略断面図の一例を示す。遠隔プラズマ装置500は、処理チャンバ550を含み、処理チャンバ550は、基板510を支持するためのペデスタルなどの基板支持体505を含む。また、遠隔プラズマ装置500は、基板510の上方にある遠隔プラズマ源と、基板510と遠隔プラズマ源540との間にあるシャワーヘッド530とを含む。還元ガス種520は、遠隔プラズマ源540から、基板510に向かってシャワーヘッド530を通って流れることができる。遠隔プラズマ源540内で遠隔プラズマを発生させることができ、還元ガス種520のラジカルを生成する。また、遠隔プラズマは、還元ガス種のイオンおよび他の荷電種を生成することもできる。遠隔プラズマは、さらに、還元ガス種からUV放射などの光子を発生することもできる。例えば、コイル544が、遠隔プラズマ源540の壁を取り囲み、遠隔プラズマ源540内で遠隔プラズマを発生させることがある。
いくつかの実施形態では、コイル544は、高周波(RF)電源またはマイクロ波電源と電気的に連絡することがある。RF電源を備える遠隔プラズマ源540の一例は、ラムリサーチコーポレーション(米国カリフォルニア州フリーモント)によって製造されているGAMMA(登録商標)で見ることができる。RF遠隔プラズマ源540の別の例は、MKS Instruments(米国マサチューセッツ州ウィルミントン)によって製造されているAstron(登録商標)で見ることができ、これは、440kHzで動作することができ、1つまたは複数の基板を並列に処理するためのより大型の装置にボルト留めされたサブユニットとして提供することができる。いくつかの実施形態では、やはりMKS Instrumentsによって製造されているAstex(登録商標)で見られるように、マイクロ波プラズマを遠隔プラズマ源540と共に使用することができる。マイクロ波プラズマは、2.45GHzの周波数で動作するように構成することができる。
RF電源を用いる実施形態では、所望の組成のラジカル種のプラズマを生成するために、RF発生器を任意の適切な出力で動作させることができる。適切な出力の例としては、限定はしないが、約0.5kW〜約6kWの間の出力が挙げられる。同様に、RF発生器は、誘導結合プラズマに関して、13.56MHzなど適切な周波数のRF出力を提供することができる。
還元ガス種520は、ガス入口542から、遠隔プラズマ源540の内部体積内に送給される。コイル544に供給される電力は、還元ガス種520を有する遠隔プラズマを発生して、還元ガス種520のラジカルを生成することができる。遠隔プラズマ源540で生成されたラジカルは、シャワーヘッド530を通して基板510に向けて気相で搬送することができる。そのような構成を有する遠隔プラズマ源655の一例は、2011年12月27日に付与された米国特許第8,084,339号(代理人整理番号NOVLP414)に記載されていることがあり、その特許文献の全体を参照によりあらゆる目的で本明細書に組み込む。還元ガス種520のラジカルは、基板510の表面上の金属酸化物を還元することができる。
還元ガス種のラジカルに加えて、遠隔プラズマは、還元ガス種520のイオンおよび他の荷電種を発生して含むこともできる。いくつかの実施形態では、遠隔プラズマは、還元ガス種520の中性分子を含むことができる。中性分子のいくらかは、還元ガス種520からの荷電種の再結合分子でよい。還元ガス種520の中性分子または再結合分子も、基板510の表面上の金属酸化物を還元することができるが、それらは、還元ガス種520のラジカルよりも、金属酸化物を反応させて還元するのに時間がかかることがある。イオンは、基板510の表面にドリフトし、金属酸化物を還元することがあり、または、基板支持体505に逆符号のバイアスがかけられている場合には、イオンは、基板510の表面に向けて加速されて、金属酸化物を還元することがある。より高いイオンエネルギーを有する種を含むことにより、金属シード層内へのより深い注入を可能にすることができ、基板510の表面からさらに深くまで準安定ラジカル種を生成する。例えば、基板510が、約10:1〜約60:1の間など高いアスペクト比のフィーチャを有する場合、より高いイオンエネルギーを有するイオンは、そのようなフィーチャ内により深く貫入することができ、フィーチャのより全体にわたって金属酸化物の還元を可能にする。対照的に、遠隔プラズマ発生からの還元ガス種520のラジカルのいくらかは、フィーチャの領域内または上部付近で再結合することがある。また、より高いイオンエネルギー(10eV〜100eVなど)を有するイオンを使用して、金属シード層内の金属を再スパッタおよびリフローすることができ、これは、より均一なシード被覆をもたらし、後続のめっきまたは金属堆積(PVD、CVD、ALDなど)に関するアスペクト比を減少することができる。
図5で、遠隔プラズマ装置500は、基板510の温度を能動冷却する、または何らかの形で制御することができる。いくつかの実施形態では、処理中の還元反応の速度および遠隔プラズマへの露出の均一性を制御するために、基板510の温度を制御することが望ましいことがある。また、処理前、処理中、および/または処理後に、基板510に対する酸化の影響を低減するために、基板510の温度を制御することが望ましいこともある。
いくつかの実施形態では、遠隔プラズマ装置500は、リフトピンなどの可動部材515を含むことができ、可動部材515は、基板510を基板支持体505から離れるように、または基板支持体505に向かって移動させることが可能である。可動部材515は、基板510の下面に接触することができ、または何らかの形で基板支持体505から基板510を取り上げることができる。いくつかの実施形態では、可動部材515は、垂直方向で基板510を移動させ、基板510と基板支持体505との間の間隔を制御することができる。いくつかの実施形態では、可動部材515は、2つ以上の作動可能なリフトピンを含むことができる。可動部材515は、基板支持体505から約0インチ〜約5インチの間、またはそれ以上離れるように延びるように構成することができる。可動部材515は、基板510を冷却するために、基板510を高温の基板支持体505から離して、低温のシャワーヘッド530に向けるように延びることができる。また、可動部材515は、基板510を加熱するために、基板510を高温の基板支持体505に向けて、低温のシャワーヘッド530から離すように後退することもできる。可動部材515によって基板510を位置決めすることによって、基板510の温度を調節することができる。基板510を位置決めするとき、シャワーヘッド530と基板支持体505は、一定の温度で保つことができる。
いくつかの実施形態では、遠隔プラズマ装置500は、シャワーヘッド温度の制御を可能にするシャワーヘッド530を含むことができる。温度制御を可能にするシャワーヘッド構成の一例は、2012年3月20日に付与された米国特許第8,137,467号(代理人整理番号NOVLP246)および2009年4月16日に公開された米国特許出願公開第2009/0095220号(代理人整理番号NOVLP246X1)に記載されていることがあり、どちらの特許文献も、全体を参照によりあらゆる目的で本明細書に組み込む。温度制御を可能にするシャワーヘッド構成の別の例は、2011年6月23日に公開された米国特許出願公開第2011/0146571号(代理人整理番号NOVLP329)に記載されていることがあり、その特許文献の全体を参照によりあらゆる目的で本明細書に組み込む。シャワーヘッド530の能動冷却を可能にするために、Dow Chemical Company(米国ミシガン州ミッドランド)によって製造されている脱イオン水または伝熱液体など、熱交換流体が使用されることがある。いくつかの実施形態では、熱交換流体は、シャワーヘッド530内の流体チャネル(図示せず)を通って流れることができる。さらに、シャワーヘッド530は、温度を制御するために、流体加熱器/冷却器などの熱交換システム(図示せず)を使用することができる。いくつかの実施形態では、シャワーヘッド530の温度は、約30℃未満、例えば約5℃〜約20℃の間に制御されることがある。シャワーヘッド530は、基板510の処理中に過剰な熱により生じることがある金属シード層の損傷を減少させるために冷却されることがある。また、シャワーヘッド530は、例えば基板510の処理前および処理後に基板510の温度を低下させるために冷却されることもある。
いくつかの実施形態では、シャワーヘッド530は、複数の穴を含むことがある。シャワーヘッド530の穴のサイズおよび数の増加、および/またはシャワーヘッド530の厚さの減少により、シャワーヘッド530を通る還元ガス種520からのラジカル、イオン、およびUV放射のより大きな流れを可能にすることができる。より多くのラジカル、イオン、およびUV放射に金属シード層を露出させることで、金属シード層内の金属酸化物を還元するためにより多くのUV露出およびエネルギー種を提供することができる。いくつかの実施形態では、シャワーヘッド530は、約100個〜約900個の間の穴を含むことができる。いくつかの実施形態では、穴の平均直径は、約0.05〜約0.5インチの間でよい。これにより、穴によるシャワーヘッド530の開放面積を約3.7%〜約25%の間にすることができる。いくつかの実施形態では、シャワーヘッド530は、約0.25〜約3.0インチの間の厚さを有することができる。
いくつかの実施形態では、基板支持体505は、シャワーヘッド530に向かって、またはシャワーヘッド530から離れるように移動するように構成することができる。基板支持体505は、基板510とシャワーヘッド530との間の間隔を制御するために垂直方向で延びることができる。基板510上の金属酸化物を還元するとき、基板510上での還元の均一性および速度を調整することができる。例えば、基板支持体505がシャワーヘッド530のより近くにある場合、基板510の表面上の金属酸化物の還元は、より速く進むことがある。しかし、基板510の中央が基板510の縁部よりも高温になることがあり、これにより、還元処理があまり均一でなくなることがある。したがって、基板510を処理するための所望の速度および均一性を得られるように、基板510とシャワーヘッド530との間隔を調節することができる。いくつかの実施形態では、基板支持体505は、シャワーヘッド530から約0インチ〜約5インチの間、または約5インチよりも大きく離れるように構成することができる。
いくつかの実施形態では、基板支持体505の温度を調節することもできる。いくつかの実施形態では、基板支持体505は、1つまたは複数の流体チャネル(図示せず)を有するペデスタルでよい。流体チャネルは、ペデスタル内部で伝熱流体を循環させ、伝熱流体の温度に応じてペデスタルを能動冷却または能動加熱することができる。そのような流体チャネルおよび伝熱流体を含む実施形態は、本明細書で前述した能動冷却型のペデスタルシステムで説明することができる。1つまたは複数の流体チャネルを通る伝熱流体の循環は、基板支持体505の温度を制御することができる。基板支持体505の温度制御により、基板510の温度をより精密に制御することができる。いくつかの実施形態では、基板支持体505の温度は、約0℃〜約400℃の間になるように調節することができる。
いくつかの実施形態では、遠隔プラズマ装置500は、処理チャンバ550を通して冷却ガス560を流すために、1つまたは複数のガス入口522を含むことができる。1つまたは複数のガス入口522は、基板510の上、下、および/または側部に位置決めすることができる。1つまたは複数のガス入口522のいくつかは、基板510の表面に実質的に垂直な方向に冷却ガス560を流すように構成することができる。いくつかの実施形態では、ガス入口522の少なくとも1つは、冷却ガス560を、シャワーヘッド530を通して基板510に送給することができる。1つまたは複数のガス入口522のいくつかは、基板510の平面に平行でよく、基板510の表面にわたって冷却ガス560のクロスフローを送給するように構成することができる。いくつかの実施形態では、1つまたは複数のガス入口522は、基板510の上方および下方に冷却ガス560を送給することができる。基板510にわたる冷却ガス560の流れは、基板510の急速な冷却を可能にすることができる。基板510の急速な冷却は、基板510内の金属シード層の酸化を減少させることができる。基板510のそのような冷却は、基板510の処理前および処理後に行うことができる。冷却用の冷却ガス560の流量は、約0.1slm(標準状態換算でのリットル毎分)〜約100slmの間でよい。
冷却ガス560の例としては、窒素、ヘリウム、ネオン、クリプトン、キセノン、ラドン、およびアルゴンなど比較的不活性のガスを挙げることができる。いくつかの実施形態では、冷却ガス560は、窒素、ヘリウム、およびアルゴンの少なくとも1つを含むことができる。
いくつかの実施形態では、冷却ガス560は、室温で、例えば約10℃〜約30℃の間で送給することができる。いくつかの実施形態では、冷却ガス560は、室温未満の温度で送給することができる。例えば、液体アルゴン、ヘリウム、または窒素などの低温液体を膨張させてガスにすることによって、低温の不活性ガスを生成することができる。したがって、冷却に使用される冷却ガス560の温度範囲は、約−270℃〜約30℃の間に及ぶことがある。
いくつかの実施形態では、遠隔プラズマ装置500は、電気めっき装置(図示せず)の一部でよく、または電気めっき装置と一体化されることがある。これは、以下にさらに詳細に論じる図8Bおよび図8Cで示すことができる。基板510での金属シード層の酸化は、周囲条件に対する露出中に急速に生じることがある。遠隔プラズマ装置500を電気めっき装置に取り付ける、または何らかの形で接続することによって、周囲条件に対して基板510を露出させる時間を短縮することができる。例えば、処理後の遠隔プラズマ装置と電気めっき装置との間での移送時間は、約15秒〜約90秒の間、または約15秒未満でよい。
表Iは、遠隔プラズマ装置500の特定の実施形態と共に使用することができるプロセスパラメータの例示的な範囲をまとめたものである。
制御装置535は、遠隔プラズマ装置500の動作に関するパラメータを制御するための命令を含むことがある。制御装置535は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数の処理装置とを含む。処理装置は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータ制御装置ボードなどを含むことがある。制御装置535の態様は、図8Aおよび図8Bでの制御装置に関してさらに説明することができる。
図6Aは、金属シード層を有する基板を処理する方法を例示する例示的な流れ図を示す。図7Aないし図7Dは、遠隔プラズマ装置を使用して金属シード層を有する基板を処理する様々な段階を示す概略断面図の例を示す。図6Aで論じるステップのいくつかは、図7Aないし図7Dでの対応する概略断面図に関して論じることができる。
図6Aで、プロセス600aは、ステップ605aから始めることができ、ステップ605aで、基板が処理チャンバ内に提供される。基板は、金属シード層を含むことができ、ここで、金属シード層の一部は、金属の酸化物に変換されている。遠隔プラズマによる基板の処理前に、基板を遠隔プラズマ装置の処理チャンバ内に装填することができる。いくつかの実施形態では、基板は、1つまたは複数の可動部材上に作動位置で提供することができる。いくつかの実施形態では、装填中に基板を冷却するために、処理チャンバを通して不活性ガスを流すことができる。これは、装填中の基板のさらなる酸化を減少させることができる。いくつかの実施形態では、処理チャンバ内に基板を装填した後、処理チャンバを閉じて、真空または低圧にポンプダウンすることができる。これは、実質的に酸素を含まない環境を提供することができる。処理チャンバの圧力は、約0.5Torr〜約6Torrの間でよく、例えば約0.5Torr〜3Torrの間でよい。低圧は、環境内の酸素の存在を減少させることができる。したがって、そのような条件での処理チャンバ内への基板の装填は、金属シード層のさらなる酸化を減少させることができる。
図7Aは、金属シード層を有する基板を処理する段階の1つ(例えばステップ605a)での遠隔プラズマ装置700の概略断面図の一例を示す。遠隔プラズマ装置700は、処理チャンバ750内の基板支持体705と、基板支持体705の上方にある遠隔プラズマ源740と、遠隔プラズマ源740と基板支持体705との間のシャワーヘッド730とを含む。可動部材715は、基板710を位置決めするために、基板支持体705からシャワーヘッド730に向かって延びることができる。可動部材の例としては、リフトピンおよび周縁グリップを挙げることができる。基板710は、金属シード層を含むことがあり、ここで、金属シード層は、Cu、Co、Ru、Pd、Rh、Ir、Os、Ni、Au、Ag、Al、およびWの少なくとも1つを含む。いくつかの実施形態では、金属シード層の厚さは、約100Å未満でよい。
図7Aで、処理チャンバ750内の可動部材715は、基板710を作動位置に位置決めすることができる。作動位置は、非作動位置(図7Bに示される)よりもシャワーヘッド730に近い距離Aで基板710を配置することができる。作動位置では、基板710とシャワーヘッド730との間の距離Aは、約0.05インチ〜約0.75インチの間でよい。基板710と基板支持体705との間の距離Bは、任意の所望の距離でよい。例えば、距離Bは、約1インチ〜約5インチの間など、約1インチよりも大きくてよい。シャワーヘッド730は、約30℃未満など比較的低い温度で維持することができる。
図6Aに戻ると、ステップ610aで、基板は、処理チャンバ内で基板支持体に向けて移動される。いくつかの実施形態では、基板は、可動部材によって非作動位置に移動させることができる。非作動位置は、作動位置よりも処理チャンバ内のシャワーヘッドから離れている。いくつかの実施形態では、非作動位置での基板は、基板支持体と接触することがある。例えば、可動部材は、基板が基板支持体上に載置することができるように引き戻されることがある。いくつかの実施形態では、基板支持体と基板との間に間隙が存在することがあり、伝熱を、伝導、対流、放射、またはそれらの組合せによって行うことができる。基板支持体を加熱することができ、これによりさらに基板を加熱することができる。基板支持体は、約0℃〜約400℃の間の温度などの処理温度に加熱されることがある。基板支持体の温度は、基板の金属シード層に応じて決まることがある。例えば、基板支持体は、コバルトに関しては約250℃〜約300℃の間に加熱することができ、銅に関しては約75℃〜約100℃の間に加熱することができる。基板をより高温にすると、金属酸化物の還元反応を加速することができる。しかし、温度は、金属シード層の凝集温度を超えないように選択されることがある。基板が加熱されるとき、基板は、遠隔プラズマ処理を施されることがある。
図7Bは、金属シード層を有する基板を処理する段階の1つ(例えばステップ610a)での遠隔プラズマ装置700の概略断面図の一例を示す。遠隔プラズマ装置700は、基板支持体705の上にある基板710を含み、ここで、基板710は非作動位置にある。非作動位置では、基板710は、シャワーヘッド730から距離Aに位置決めされ、作動位置よりもシャワーヘッド730から離れている。シャワーヘッド730と基板710との間の距離Aは、約1インチ〜約5インチの間など、約1インチよりも大きくてよい。基板710と基板支持体705は互いに接触することができ、または基板710と基板支持体705との間の距離Bは比較的小さくすることができ、それにより、基板710と基板支持体705との間での効率的な伝熱を可能にする。いくつかの実施形態では、距離Bは、約0インチ〜約0.5インチの間でよい。いくつかの実施形態では、可動部材715は、基板710が基板支持体705に載置するように引き戻すことができる。基板支持体705は、基板支持体710を垂直方向に移動させることによって、シャワーヘッド730に対して基板710を位置決めすることができる。シャワーヘッド730は、約30℃未満など比較的低い温度で維持することができる。
距離Aを調節することができ、基板の処理中の反応の速度と反応の均一性とを調整することができる。例えば、基板支持体705がシャワーヘッド730により近い場合、反応の速度は、より速くなることがあるが、より不均一な結果しか実現できない。距離Aは、基板支持体705の垂直移動によって調節することができる。いくつかの実施形態では、基板支持体705は、処理チャンバ内で第1の位置から第2の位置に移動することができ、ここで、第1の位置と第2の位置の間の距離は、約1インチよりも大きい。基板支持体705の位置決めの自由度の増加は、後続の還元処理の速度および均一性を調整する際の融通性をより高くする。
図6Aに戻ると、ステップ615aで、遠隔プラズマ源内で還元ガス種から遠隔プラズマを生成することができ、ここで、遠隔プラズマは、還元ガス種のラジカルを含む。遠隔プラズマは、還元ガス種をエネルギー源に露出させることによって生成することができる。エネルギー源は、ラジカル、イオン、および他の荷電種を生成することができ、それらを基板に向けて流すことができる。いくつかの実施形態では、エネルギー源は、RF放電でよい。遠隔プラズマが生成されるとき、基板は、所望の処理温度に加熱することができ、または所望の処理温度に既に加熱されている。いくつかの実施形態では、シャワーヘッドは、遠隔プラズマ源に接続され、イオンをフィルタ除去し、それにより、還元ガス種のラジカルを処理チャンバ内の基板に向けて流すことができる。
ステップ620aで、基板の金属シード層が、還元ガス種のラジカルに露出される。金属シード層の一部は、金属シード層の酸化物を含むことがある。シャワーヘッドを通る遠隔プラズマの流れの中に生成されるイオン、ラジカル、および他の荷電種については、これらをフィルタ除去することができ、それにより、基板は、実質的に還元ガス種のラジカルに露出される。金属酸化物は、還元ガス種のラジカルまたは還元ガス種自体と反応することができ、金属酸化物が金属に変換される。反応は、金属酸化物を金属に変換する条件下で行われる。金属シード層内の金属酸化物は、還元されて、金属シード層と一体化された被膜を形成する。還元ガス種を使用する金属シード層内の金属酸化物の還元は、2013年3月6日に出願された米国特許出願第13/787,499号(代理人整理番号LAMRP027)に記載されていることがあり、その特許文献の全体を参照によりあらゆる目的で本明細書に組み込む。いくつかの実施形態では、還元ガス種のラジカルは、シャワーヘッドが約30℃未満の温度で維持されるときに、シャワーヘッドを通って流れる。
図7Cは、金属シード層を有する基板を処理する段階の1つ(例えばステップ615aおよび620a)での遠隔プラズマ装置700の概略断面図の一例を示す。遠隔プラズマ装置700は、基板710の上方にある遠隔プラズマ源740と、遠隔プラズマ源740の壁を取り囲む1つまたは複数のコイル744とを含む。遠隔プラズマ源740の内部体積内に還元ガス種720を送給するために、ガス入口742を遠隔プラズマ源740に接続することができる。還元ガス種720は、約500sccm〜約30,000sccmの間の流量で流すことができ、これは、任意の基板サイズに適用可能となり得る。いくつかの実施形態では、還元ガス種720は、H、NH、CO、B、亜硫酸化合物、炭素および/または炭化水素、亜リン酸塩、およびNの少なくとも1つを含むことができる。1つまたは複数のコイル744に供給される電力は、遠隔プラズマ源740内で、還元ガス種720の遠隔プラズマを発生することができる。コイル744に供給されるRFプラズマ出力は、約0.5kW〜約6kWの間でよい。遠隔プラズマは、H、NH、NH 、またはN など還元ガス種720のラジカルを含むことができる。また、遠隔プラズマは、イオンおよび他の荷電種を含むこともあるが、シャワーヘッド730は、それらのイオンおよび他の荷電種をフィルタ除去することができ、それにより、還元ガス種720のラジカルが基板710に到達する。還元ガス種720のラジカルは、遠隔プラズマ源740からシャワーヘッド730を通って処理チャンバ750内の基板710の表面上に流れる。シャワーヘッド730は、約30℃未満など比較的低い温度で維持することができる。冷却されたシャワーヘッド730は、基板710に到達する過剰な熱を制限して、基板710内での金属シード層の損傷を回避する。
図7Cで、基板710は、非作動位置のままにすることができる。基板支持体705を移動させることによって、基板710とシャワーヘッド730との間の距離Aを調節することができる。距離Aの調節は、基板710で生じる還元反応の速度および還元反応の均一性を調整することができる。例えば、より短い距離Aは、金属酸化物の変換をより速くするが、均一性をより低くすることがあり、一方、より長い距離Aは、金属酸化物の変換をより遅くするが、均一性をより高くすることがある。いくつかの実施形態では、距離Aは、距離Aと同じでよい。可動部材715は、基板710と基板支持体705とが接触したままであるように引き戻すことができ、または、基板710と基板支持体705との間の距離Bは、図7Bでの距離Bと同じでよい。
基板支持体705の温度は、能動加熱または能動冷却システムによって調節することができる。温度は、処理される基板710内の金属シード層に従って調整することができる。例えば、2つの異なる温度レジームでの動作を必要とする2つの異なる金属シード層の間で切り替えるときに、基板支持体705の温度を変えることができる。例えば、基板支持体705は、コバルトシード層に関しては約250℃〜約300℃の間に加熱することができ、銅シード層に関しては約75℃〜約100℃の間に切り替えることができる。
図6Aに戻ると、ステップ625aで、基板は、冷却ガスに露出される。冷却ガスは、アルゴン、ヘリウム、および窒素の少なくとも1つを含むことができる。いくつかの実施形態では、冷却ガスは、冷却液体を膨張させてガスにすることによって生成することができる。基板を冷却ガスに露出させることで、基板を約30℃未満の温度に冷却することができる。したがって、冷却ガスは、基板を冷却するために周囲条件未満の温度で送給することができる。いくつかの実施形態では、冷却ガスに基板を露出させる前に、可動部材によって基板を作動位置に移動させることができる。より急速な冷却のために、作動位置にある状態で基板を冷却ガスに露出させることができる。いくつかの実施形態では、冷却ガスに基板を露出させた後に、基板を電気めっき装置に移送することができる。あるいは、基板を無電解めっきまたは他の金属堆積装置に移送することができる。いくつかの実施形態では、冷却ガスに基板を露出させた後に、換気ガスによって処理チャンバを雰囲気条件に換気することができる。
図7Dは、金属シード層を有する基板を処理する段階の1つ(例えばステップ625a)での遠隔プラズマ装置700の概略断面図の一例を示す。遠隔プラズマ装置700は、冷却ガス760を送給するための1つまたは複数の冷却ガス入口722を含むことができる。冷却ガス入口722は、基板710の上方および側方を含め、基板710の周りに位置決めすることができる。冷却ガス760は、シャワーヘッド730を通して、基板面に垂直に基板710上に向けることができる。また、冷却ガス760は、プロセスチャンバ750の側部で、冷却ガス入口722から基板面に平行に基板710上に向けることもできる。冷却ガス760は、約0.1slm〜約100slmの間の流量でプロセスチャンバ750内に流すことができる。冷却ガス入口722は、基板710にわたって冷却ガス760を流すことができ、基板を電気めっき、無電解めっき、または他の金属堆積装置に移送する前に基板710を急速に冷却することができる。いくつかの実施形態では、基板支持体705をオフに切り替えるまたは冷却することなく、基板710を冷却することができる。これにより、基板710を単一のプロセスチャンバ750の内部で処理および冷却することができるようになり、個別の加熱および冷却区域を有する2チャンバ設計を使用する必要はない。
図7Dで、基板710は、作動位置にあることがある。シャワーヘッド730と基板710との間の距離Aは、約0.05インチ〜約0.75インチの間でよい。いくつかの実施形態では、距離Aは、図7Aの距離Aと同じでよい。基板710を、冷却されたシャワーヘッド730のより近くに、高温の基板支持体705から離して位置決めすることによって、基板710をより急速に冷却することができる。可動部材715は、基板710を基板支持体705から離れるように、シャワーヘッド730に向けて持ち上げることができる。基板支持体705と基板710との間の距離Bは、約1インチよりも大きくてよく、または約1インチ〜約5インチの間でよい。いくつかの実施形態では、距離Bは、図7Aの距離Bと同じでよい。いくつかの実施形態では、基板710が作動位置にあり、ほぼ室温まで冷却されるとき、プロセスチャンバ750を雰囲気条件に換気して、基板を、電気めっき、無電解めっき、または他の金属堆積装置に移送することができる。
図6Bは、金属シード層を有する基板を処理する別の方法を例示する例示的な流れ図を示す。方法600aのステップ605aで概して述べたのと同様に、方法600bのステップ605bで、金属シード層を有する基板を処理チャンバ内に提供することができる。金属シード層は、金属の酸化物に変換されている部分を有することがある。
ステップ610bで、遠隔プラズマ源内で還元ガス種の遠隔プラズマを生成することができ、ここで、遠隔プラズマは、還元ガス種からのラジカル、イオン、およびUV放射の1つまたは複数を含む。遠隔プラズマのエネルギーは、より高エネルギーのイオンを含めた、より高エネルギーの種を発生するように増加させることができる。より高いエネルギーのイオンは、高密度プラズマ(HDP)処理システムおよび/またはスパッタリングシステムで生成することができる。また、遠隔プラズマは、還元ガス種の励起により、UV放射を発生することもある。発生されるUV放射は、約100nm〜約700nmの間の波長を有することがある。例えば、発生されるUV放射は、約120nm〜約200nmの間などの短波長UV光と、約200nm〜約700nmの間などの長波長UV光とを含むことがある。さらに、遠隔プラズマは、中性分子を含むこともあり、および/または還元ガス種の再結合分子を発生することもある。
ステップ615bで、基板の金属シード層が遠隔プラズマに露出され、ここで、この露出は、金属の酸化物を還元し、金属シード層内の金属をリフローさせる。いくつかの実装形態では、金属のリフローと金属酸化物の還元とが同時に行われることがある。いくつかの実装形態では、遠隔プラズマは、還元ガス種からのラジカル、イオン、およびUV放射、またはそれらの何らかの組合せを含むことができる。遠隔プラズマ源と処理チャンバとの間のシャワーヘッドは、ラジカル、イオン、およびUV放射がシャワーヘッドを通って基板に向かって流れる、または何らかの形で移動することを可能にするように構成された厚さ、穴の数、および穴の平均直径を有することができる。ラジカル、イオン、およびUV放射は、処理チャンバに入り、金属シード層内の金属酸化物を還元することができる。高エネルギーイオンは、基板の表面からさらに貫入することができ、金属シード層のより大部分にわたって還元化学物質を提供する。UV放射は、金属酸化物表面を活性化して、還元プロセスの熱力学的特性を改良する、または金属酸化物自体を直接還元することができる。また、UV放射は、還元ガス種によって吸収されて、金属酸化物を還元することができるラジカルを生じることもある。さらに、還元ガス種の中性分子が、金属シード層内の金属酸化物とさらに反応して還元することができる。
いくつかの実装形態では、金属シード層内の金属は、露出後に励起され、移動性を与えられることがある。金属はリフローされることがあり、金属シード層内の間隙および空隙を減少し、これは、金属シード層の表面粗さを減少させることができる。金属がリフローされる度合いは、例えば、基板の温度、チャンバ圧力、還元ガス種、およびUV放射の強度に応じて決まることがある。金属がリフローされて、下にある層で再分配されるので、より均一で連続的な金属シード層を形成することができる。
図8Aは、電気めっき装置の概略上面図の一例を示す。電気めっき装置800は、3つの個別の電気めっきモジュール802、804、および806を含むことができる。また、電気めっき装置800は、様々なプロセス操作用に構成された3つの個別のモジュール812、814、および816を含むこともできる。例えば、いくつかの実施形態では、モジュール812および816は、スピンリンス乾燥(SRD)モジュールでよく、モジュール814は、アニーリングステーションでよい。しかし、SRDモジュールの使用は、遠隔プラズマ処理からの還元ガス種への露出後には不要になることがある。いくつかの実施形態では、モジュール812、814、および816の少なくとも1つは、エレクトロフィル後のモジュール(PEM)でよく、各モジュールは、基板が電気めっきモジュール802、804、および806の1つによって処理された後に、基板のエッジベベル除去(edge bevel removal)、裏面エッチング、および酸洗浄などの機能を実施するように構成される。
電気めっき装置800は、中央電気めっきチャンバ824を含むことができる。中央電気めっきチャンバ824は、電気めっきモジュール802、804、および806において電気めっき溶液として使用される化学溶液を保持するチャンバである。また、電気めっき装置800は、ドージングシステム826も含み、ドージングシステム826は、電気めっき溶液のための添加物を貯蔵および送給することができる。化学物質希釈モジュール822は、エッチャントとして使用することができる化学物質を貯蔵および混合することができる。濾過およびポンピングユニット828は、中央電気めっきチャンバ824のための電気めっき溶液を濾過し、電気めっき溶液を電気めっきモジュール802、804、および806にポンプすることができる。
いくつかの実施形態では、アニーリングステーション832を使用して、前処理として基板をアニールすることができる。アニーリングステーション832は、積み重ねられたいくつかのアニーリングデバイス、例えば積み重ねられた5つのアニーリングデバイスを含むことができる。アニーリングデバイスは、互いに上下に、個別のスタックとして、または他の複数デバイス構成で、アニーリングステーション832内に配置されることがある。
システム制御装置830は、電気めっき装置800を操作するのに必要とされる電子制御およびインターフェース制御機能を提供する。システム制御装置830(1つまたは複数の物理的または論理制御装置を含むことがある)が、電気めっき装置800の特性のいくつかまたはすべてを制御する。システム制御装置830は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数の処理装置とを含む。処理装置は、中央処理装置(CPU)またはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータ制御装置ボード、および他の同様の構成要素を含むことがある。本明細書で述べる適切な制御動作を実施するための命令を、処理装置で実行することができる。これらの命令は、システム制御装置830に関連付けられたメモリデバイスに記憶されていることがあり、またはネットワークを介して提供されることがある。特定の実施形態では、システム制御装置830は、システム制御ソフトウェアを実行する。
電気めっき装置800内のシステム制御ソフトウェアは、タイミング、電解質成分の混合、入口圧力、めっきセル圧力、めっきセル温度、基板温度、基板および任意の他の電極に印加される電流および電位、基板位置、基板回転、および電気めっき装置800によって実施される他のパラメータを制御するための電気めっき命令を含むことがある。システム制御ソフトウェアは、任意の適切な様式で構成することができる。例えば、様々なプロセスツールプロセスを実施するのに必要なプロセスツール構成要素の動作を制御するために、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトが書かれることがある。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語で符号化されることがある。
いくつかの実施形態では、システム制御ソフトウェアは、上述した様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含む。例えば、電気めっきプロセスの各段階が、システム制御装置830によって実行するための1つまたは複数の命令を含むことがあり、前処理または還元プロセスの各段階が、システム制御装置830によって実行するための1つまたは複数の命令を含むことがある。電気めっきを行う際、浸漬プロセス段階に関するプロセス条件を設定するための命令が、対応する浸漬レシピ段階に含まれることがある。前処理または還元を行う際、基板を遠隔プラズマに露出させるためのプロセス条件を設定するための命令が、対応する還元段階レシピに含まれることがある。いくつかの実施形態では、電気めっきプロセスと還元プロセスの段階は連続的に構成されることがあり、それにより、プロセス段階に関するすべての命令が、そのプロセス段階と同時に実行される。
いくつかの実施形態では、他のコンピュータソフトウェアおよび/またはプログラムが採用されることがある。この目的でのプログラムまたはプログラムの一部の例としては、基板位置決めプログラム、電解質成分制御プログラム、圧力制御プログラム、加熱器制御プログラム、電位/電流電源制御プログラムが挙げられる。この目的でのプログラムまたはこのプログラムの一部の他の例としては、タイミング制御プログラム、可動部材位置決めプログラム、基板支持体位置決めプログラム、遠隔プラズマ装置制御プログラム、圧力制御プログラム、基板支持体温度制御プログラム、シャワーヘッド温度制御プログラム、冷却ガス制御プログラム、およびガス雰囲気制御プログラムが挙げられる。
いくつかの実施形態では、システム制御装置830に関連付けられるユーザインターフェースが存在することがある。ユーザインターフェースは、ディスプレイスクリーン、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにユーザ入力デバイス、例えばポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどを含むことがある。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システム制御装置830のアナログおよび/またはデジタル入力接続によって提供されることがある。プロセスを制御するための信号は、プロセスツールのアナログおよびデジタル出力接続で出力されることがある。監視することができるプロセスツールセンサの非限定的な例としては、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などが挙げられる。適切にプログラムされたフィードバックおよび制御アルゴリズムを、これらのセンサからのデータと共に使用して、基板の温度などのプロセス条件を維持することができる。
ハンドオフツール840は、カセット842またはカセット844などの基板カセットから基板を選択することができる。カセット842または844は、FOUP(フープ;front opening unified pod)でよい。FOUPは、制御された環境内で基板を確実にかつ安全に保持し、適切な装填ポートおよびロボット取扱いシステムを装備されたツールによって処理または測定のために基板を取り出すことができるように設計された筐体である。ハンドオフツール840は、真空取付けまたは何らかの他の取付け機構を使用して基板を保持することができる。
ハンドオフツール840は、アニーリングステーション832、カセット842もしくは844、移送ステーション850、またはアライナ848とインターフェースすることがある。移送ステーション850から、ハンドオフツール846が基板にアクセスすることができる。移送ステーション850は、ハンドオフツール840および846がアライナ848を通らずに基板を渡すことができるスロットまたは位置でよい。しかし、いくつかの実施形態では、電気めっきモジュールへの正確な送給のためにハンドオフツール846上に基板が適切に位置合わせされることを保証するために、ハンドオフツール846は、基板をアライナ848と位置合わせすることができる。また、ハンドオフツール846は、電気めっきモジュール802、804、または806の1つに、あるいは様々なプロセス操作用に構成された3つの個別のモジュール812、814、および816の1つに基板を送給することもできる。
いくつかの実施形態では、遠隔プラズマ装置は、電気めっき装置800の一部でよく、または電気めっき装置800と一体化されることがある。図8Bは、電気めっき装置を備える遠隔プラズマ装置の拡大概略上面図の一例を示す。しかし、代替として、遠隔プラズマ装置が無電解めっき装置または他の金属堆積装置に取り付けられることもあることを当業者は理解されよう。図8Cは、電気めっき装置に取り付けられた遠隔プラズマ装置の3次元斜視図の一例を示す。遠隔プラズマ装置860は、電気めっき装置800の側部に取り付けられることがある。遠隔プラズマ装置860は、遠隔プラズマ装置860および電気めっき装置800への、またはそれらの装置からの基板の効率的な移送を容易にするように電気めっき装置800に接続されることがある。ハンドオフ840は、カセット842または844からの基板にアクセスすることができる。ハンドオフツール840は、基板に遠隔プラズマ処理および冷却操作を施すために、遠隔プラズマ装置860に基板を渡すことができる。ハンドオフツール840は、遠隔プラズマ装置860から移送ステーション850に基板を渡すことができる。いくつかの実施形態では、アライナ848は、電気めっきモジュール802、804、および806の1つ、または3つの個別モジュール812、814、および816の1つへの移送前に基板を位置合わせすることができる。
電気めっき装置800内で行われる操作は、排気ガスを生じることがあり、排気ガスは、フロントエンド排気口862またはバックエンド排気口864を通って流れることができる。また、電気めっき装置800は、中央電気めっきステーション824のための浴濾過アセンブリ866と、電気めっきモジュール802、804、および806のための浴およびセルポンピングユニット868とを含むこともある。
いくつかの実施形態では、システム制御装置830は、遠隔プラズマ装置860内でのプロセス条件のためのパラメータを制御することができる。そのようなパラメータの非限定的な例としては、基板支持体温度、シャワーヘッド温度、基板支持体位置、可動部材位置、冷却ガス流量、冷却ガス温度、プロセスガス流量、プロセスガス圧力、換気ガス流量、換気ガス、還元ガス、プラズマ出力、露出時間、移送時間などが挙げられる。これらのパラメータは、本明細書で前述したようにユーザインターフェースを利用して入力することができるレシピの形で提供されることがある。
電気めっき装置800の一部である遠隔プラズマ装置860での操作は、コンピュータシステムによって制御することができる。いくつかの実施形態では、コンピュータシステムは、図8Aに示されるシステム制御装置830の一部である。いくつかの実施形態では、コンピュータシステムは、プログラム命令を含む別個のシステム制御装置(図示せず)を含むことがある。プログラム命令は、金属シード層内で金属酸化物を金属に還元するために必要とされる操作すべてを実施するための命令を含むことがある。また、プログラム命令は、基板を冷却する、基板を位置決めする、および基板を装填/脱填するために必要とされる操作すべてを実施するための命令を含むこともある。
いくつかの実施形態では、システム制御装置は、図5に示されるように、遠隔プラズマ装置860に接続されることがある。一実施形態では、システム制御装置は、処理チャンバ内に基板を提供するための命令、および基板を処理チャンバ内で基板支持体に向けて移動させ、遠隔プラズマ源内で還元ガス種の遠隔プラズマを生成するための命令を含み、ここで、遠隔プラズマは、還元ガス種のラジカルを含み、システム制御装置はさらに、基板の金属シード層を還元ガス種のラジカルに露出させ、基板を冷却ガスに露出させるための命令を含む。遠隔プラズマは、還元ガス種からのラジカル、イオン、中性分子、およびUV放射の1つまたは複数を含むことがあり、それにより、金属シード層が、還元ガス種からのラジカル、イオン、およびUV放射の1つまたは複数に露出される。システム制御装置は、さらに、図5、図6A、図6B、および図7A〜図7Dに関して本明細書で前述した操作を実施するための命令を含むことがある。
本明細書で上述した装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、光発電パネルなどの作製または製造のためのリソグラフィパターン形成ツールまたはプロセスと共に使用することができる。必ずではないが、典型的には、そのようなツール/プロセスは、共通の製造施設内で使用または実施される。被膜のリソグラフィパターンは、典型的には、以下の操作のいくつかまたはすべてを含み、各ステップが、いくつかの使用可能なツールを用いて可能にされる。(1)スピンオンまたはスプレーオンツールを使用して、ワークピース、すなわち基板にフォトレジストを塗布する操作;(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化する操作;(3)ウェハステッパなどのツールを用いて可視光またはUV光またはX線光にフォトレジストを露出させる操作;(4)ウェットベンチなどのツールを使用して、レジストを現像し、レジストを選択的に除去し、それによりレジストをパターン形成する操作;(5)ドライまたはプラズマ支援エッチングツールを使用することによって、下にある被膜またはワークピースにレジストパターンを転写する操作;および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去する操作。
本明細書で述べる構成および/または手法は、性質上、例示的なものであり、これらの具体的な実施形態または例は、いくつかの変形形態が可能であるので、限定の意味合いで解釈すべきではないことを理解されたい。本明細書で述べる具体的なルーチンまたは方法は、様々な処理ストラテジの1つまたは複数を表すことがある。したがって、例示される様々な作用は、例示される順序で、他の順序で、もしくは並行して行うことができ、またはいくつかの場合には省略されることもある。同様に、上述したプロセスの順序は変えることができる。
実験例:
図9は、遠隔プラズマへの露出と、銅に関する導電率の利得との影響関係を示すグラフである。遠隔プラズマを用いて銅シード層を含む基板を前処理しない場合、銅の表面での導電率の変化は、ほぼ無視することができる。しかし、75℃に加熱された基板を遠隔プラズマを用いて処理することが、銅シード層の表面での導電率をかなり高める。30秒、60秒、および120秒のいずれの期間にわたって遠隔プラズマ処理が行われたかに関わらず、効果はほぼ変わらなかった。したがって、遠隔プラズマを用いた前処理は、純金属銅に対する酸化銅の存在を効果的に減少させて、導電率を高める。
図10は、遠隔プラズマを使用して処理されたときと、遠隔プラズマを使用して処理されなかったときの、シードトレンチクーポンの走査電子顕微鏡(SEM)画像を示す。銅シードトレンチクーポンの試料を遠隔プラズマに露出させ、遠隔プラズマが酸化銅を還元して空隙形成を回避する効果を調べた。銅シードトレンチクーポンの各試料は、それぞれ約48nmの幅を有するトレンチを有していた。マージナル銅シードトレンチクーポンを利用した。その際、シード条件は、薄いシード被覆を提供した。マージナル銅シードトレンチクーポンは、一般に、非常に大きな底部空隙を生じる。マージナル銅シードトレンチクーポンは、製造ウェハ上で典型的には見られない極端な試料を表すが、酸化銅を還元して空隙形成を防止する還元剤処理の効力をより効果的に示すことができる。
図10で、マージナル銅シードトレンチクーポンに、遠隔プラズマへの露出による前処理なしで銅をめっきした。これらのトレンチクーポンは、不良な充填を生じ、かなり大きな底部空隙サイズを生じた。しかし、銅の電気めっき前に75℃で60秒間、遠隔プラズマへの露出によって前処理したトレンチクーポンは、より良い充填およびより小さい底部空隙を生じた。したがって、トレンチクーポンのSEM画像は、遠隔プラズマを用いた前処理後の電気めっきの改良された充填を表す。
図11は、還元処理後の、周囲条件にさらされた金属シード層上での金属酸化物の成長を示すグラフである。金属シード層が遠隔プラズマで前処理された後、周囲条件への露出は、金属酸化物の再成長をもたらすことができる。図11でのグラフは、時間の関数として、金属酸化物の再成長が急速に起こることを示す。最初の4時間以内に、金属シード層の表面は、ほぼ再酸化することがある。したがって、周囲条件への露出の時間の短縮は、金属酸化物の再酸化を実質的に制限することができる。
図12は、還元処理後に異なる時間にわたって周囲条件にさらされたシードトレンチクーポン、および還元処理なしのシードトレンチクーポンのSEM画像を示す。最初の対照条件では、前処理を何も行わずに銅をめっきした。第2の条件から最後の条件では、遠隔プラズマで前処理したトレンチクーポンに銅をめっきした。ここで、各条件を異なる時間にわたって周囲条件にさらした。第2の条件下でのトレンチクーポンは、最良の充填および最小の底部空隙を示した。第2の条件では、遠隔プラズマを用いてトレンチクーポンを前処理し、最短の時間にわたって周囲条件にさらした。したがって、SEM画像は、遠隔プラズマを用いた前処理後の移送時間の短縮が、電気めっきの充填をかなり改良することを表す。
図13は、処理チャンバ内での異なる条件下での時間にわたる温度冷却プロファイルを示すグラフである。各冷却プロファイルは、冷却ガスの流量、シャワーヘッドと基板との間の距離、およびシャワーヘッドとペデスタルとの間の距離を様々に変えて、基板を約85℃から冷却することによって得た。前述のパラメータを調節することによって、速い冷却速度を実現することができる。例えば、30slmでヘリウムを送給し、シャワーヘッドから1/8インチの位置に基板を位置決めし、シャワーヘッドから3インチの位置にペデスタルを位置決めすることによって、基板は、約1分で約85℃からほぼ室温に急速に冷却することができる。
図14は、温度と、遠隔プラズマ処理後の金属シード層の表面粗さとの影響関係を示すグラフである。基板の温度が約65℃から約100℃に上昇したとき、50Åの厚さの金属シード層の平均表面粗さは、0.66nmの二乗平均平方根(RMS)から約0.58nmのRMSに減少した。したがって、図14でのグラフは、温度の上昇と、より滑らかな金属シード層との相関を示す。これは、より高い温度での金属シードリフローが、より均一で連続的な金属シード層を生じることができることを示す。
図15は、温度と金属シード層の空隙減少との影響関係を示すグラフである。銅シード層などいくつかのシード層に関して、基板温度をより低くすると、基板上での空隙のパーセンテージを減少させることができる。
他の実施形態:
明瞭になるように、かつ理解しやすいように、いくらか詳細に上述してきたが、添付の特許請求の範囲の範囲内で何らかの変更および修正を行うことができることを理解されたい。説明したプロセス、システム、および装置を実装する多くの代替形態が存在することに留意すべきである。したがって、説明した実施形態は、例示とみなすべきであり、限定とみなすべきではない。本発明は、以下の適用例としても実施可能である。
[適用例1]金属シード層を有する基板を準備する方法であって、
処理チャンバ内に、基板のめっき表面上に前記金属シード層を有する基板を提供するステップであって、前記金属シード層の一部は、その金属の酸化物に変換されているステップと、
遠隔プラズマ源内で、還元ガス種からのラジカル、イオン、および紫外(UV)放射の1つまたは複数を含む遠隔プラズマを生成するステップと、
前記基板の前記金属シード層を前記遠隔プラズマに露出させるステップであって、前記遠隔プラズマへの露出が、前記金属の前記酸化物を、前記金属シード層と一体化された被膜の形態での前記金属に還元するステップと
を含む方法。
[適用例2]前記金属シード層が、銅、コバルト、ルテニウム、パラジウム、ロジウム、イリジウム、オスミウム、ニッケル、金、銀、アルミニウム、およびタングステンの少なくとも1つを含む適用例1に記載の方法。
[適用例3]前記基板が、約150℃未満の温度で維持される適用例1に記載の方法。
[適用例4]前記基板が、前記遠隔プラズマへの露出中に前記金属シード層の凝集を生じる温度未満の温度で維持される適用例1に記載の方法。
[適用例5]前記金属シード層の厚さが、約100Å未満である適用例1に記載の方法。
[適用例6]前記基板が、約5:1よりも大きい高さ対幅のアスペクト比を有するバイアを備える適用例1に記載の方法。
[適用例7]前記還元ガス種が、水素、アンモニア、一酸化炭素、ジボラン、亜硫酸化合物、炭素および/または炭化水素、亜リン酸塩、およびヒドラジンの少なくとも1つを含む適用例1に記載の方法。
[適用例8]さらに、
前記基板をめっき浴に移送する前に、前記基板を冷却ガスに露出させるステップを含む適用例1から適用例7のいずれか一項に記載の方法。
[適用例9]前記金属シード層を前記遠隔プラズマに露出させるステップが、前記金属シード層内の前記金属をリフローさせるステップを含む適用例1から適用例7のいずれか一項に記載の方法。
[適用例10]さらに、
前記還元ガス種のラジカルを生成するために、前記還元ガス種をUV源からのUV放射に露出させるステップを含む適用例1から適用例7のいずれか一項に記載の方法。
[適用例11]さらに、
前記基板を、めっき溶液を含むめっき浴に移送するステップと、
前記めっき溶液を使用して前記金属シード層上に金属をめっきするステップと
を含む適用例1から適用例7のいずれか一項に記載の方法。
[適用例12]金属シード層を有する基板を準備するための装置であって、
処理チャンバと、
前記処理チャンバの上方にある遠隔プラズマ源と、
制御装置とを備え、前記制御装置が、
(a)前記処理チャンバ内に、基板のめっき表面上に前記金属シード層を有する基板を提供する操作であって、前記金属シード層の一部が、前記金属の酸化物に変換されている操作と、
(b)前記遠隔プラズマ源内で還元ガス種の遠隔プラズマを生成する操作であって、前記遠隔プラズマが、前記還元ガス種からのラジカル、イオン、および紫外(UV)放射の1つまたは複数を含む操作と、
(c)前記基板の前記金属シード層を前記遠隔プラズマに露出させる操作であって、前記遠隔プラズマへの露出が、前記金属の前記酸化物を、前記金属シード層と一体化された被膜の形態での前記金属に還元する操作と
を実施するための命令を有する装置。
[適用例13]前記金属シード層が、銅、コバルト、ルテニウム、パラジウム、ロジウム、イリジウム、オスミウム、ニッケル、金、銀、アルミニウム、およびタングステンの少なくとも1つを含む適用例12に記載の装置。
[適用例14]前記制御装置がさらに、前記遠隔プラズマへの露出中に前記金属シード層の凝集を生じる温度未満の温度で前記基板を維持するための命令を備える適用例12に記載の装置。
[適用例15]前記還元ガス種が、水素、アンモニア、一酸化炭素、ジボラン、亜硫酸化合物、炭素および/または炭化水素、亜リン酸塩、およびヒドラジンの少なくとも1つを含む適用例12に記載の装置。
[適用例16]前記制御装置がさらに、前記基板の前記金属シード層を前記遠隔プラズマに露出させた後に、前記基板を冷却ガスに露出させるための命令を備えるに適用例12記載の装置。
[適用例17]さらに、
UV源を備え、前記制御装置がさらに、前記還元ガス種のラジカルを生成するために、前記還元ガス種を前記UV源からのUV放射に露出させるための命令を備える適用例12に記載の装置。
[適用例18]前記制御装置がさらに、
前記基板を、めっき溶液を含むめっき浴に移送する操作と、
前記めっき溶液を使用して前記金属シード層上に金属をめっきする操作と
を実施するための命令を備える適用例12から適用例17のいずれか一項に記載の装置。
[適用例19]さらに、
前記処理チャンバ内に前記基板を保持するための基板支持体と、
前記遠隔プラズマ源と前記基板支持体との間のシャワーヘッドと
を備える
適用例12から適用例17のいずれか一項に記載の装置。
[適用例20]前記制御装置がさらに、操作(b)〜(c)の間に前記基板支持体を処理温度に加熱するための命令を備え、前記処理温度が、約0℃〜約400℃の間である適用例19に記載の装置。
[適用例21]前記制御装置がさらに、前記シャワーヘッドの温度を約30℃未満に維持するための命令を備える適用例19に記載の装置。
[適用例22]前記シャワーヘッドが、複数の穴を備え、前記シャワーヘッド内の前記複数の穴が、約100〜約900個の間である適用例19に記載の装置。
[適用例23]前記シャワーヘッドが、複数の穴を備え、前記穴の平均直径が、1.27〜12.7ミリ(約0.05〜約0.5インチ)の間である適用例19に記載の装置。
[適用例24]さらに、
前記シャワーヘッドと前記基板支持体との間の位置に前記基板を移動させるように構成された前記処理チャンバ内の1つまたは複数の可動部材を備え、前記制御装置がさらに、前記基板の前記金属シード層を前記遠隔プラズマに露出させる前に、前記1つまたは複数の可動部材によって前記基板支持体に向けて前記基板を移動させるための命令を備える
適用例19に記載の装置。
[適用例25]電気めっきまたは無電解めっきシステムの一部である適用例12から適用例17のいずれか一項に記載の装置。

Claims (20)

  1. めっき表面上に金属シード層を有する基板を準備する方法であって、前記金属シード層の一部は、当該金属の酸化物に変換されており、前記方法が、
    前記金属の少なくとも前記酸化物をラジカル化した還元ガス雰囲気に露出させるステップであって、前記ラジカル化した還元ガス雰囲気が、還元ガス種のラジカルを含み、前記ラジカル化した還元ガス雰囲気への露出によって、前記金属の前記酸化物を、前記金属シード層と一体化された被膜の形態での前記金属に還元し、かつ前記基板を加熱するステップと、
    前記ラジカル化した還元ガス雰囲気への露出完了後に、能動冷却システムを使用して前記基板を冷却するステップであって、前記基板を冷却するステップが、1つもしくは複数の冷却ガス入口からの冷却ガスを使用して前記基板を冷却するステップ、および/または、前記基板を支持する能動冷却型のペデスタルを使用して前記基板を冷却するステップを含むステップと
    を含む方法。
  2. 前記金属が、銅を含む請求項1に記載の方法。
  3. 前記基板が、約100℃未満の温度に冷却される請求項1に記載の方法。
  4. 前記基板が、室温未満の温度に冷却され、前記冷却ガスの温度が、約−270℃〜約30℃の間である請求項1に記載の方法。
  5. 前記金属シード層の厚さが、約100Å未満である請求項1に記載の方法。
  6. 前記めっき表面が、約5:1よりも大きい高さ対幅のアスペクト比を有する凹部を備える請求項1に記載の方法。
  7. 前記金属シード層が、拡散バリアとして働く半貴金属を含む請求項1に記載の方法。
  8. 前記還元ガス種が、水素、アンモニア、一酸化炭素、ジボラン、亜硫酸化合物、炭素および/または炭化水素、亜リン酸塩、ヒドラジン、またはこれらの組み合わせを含む請求項1に記載の方法。
  9. さらに、
    前記還元ガス種のラジカルを生成するために、前記還元ガス種を紫外線に露出させるステップを含む請求項1に記載の方法。
  10. さらに、
    前記還元ガス種のラジカルを生成するために、前記還元ガス種を遠隔プラズマ源に露出させるステップを含む請求項1に記載の方法。
  11. さらに、
    前記基板の上に位置決めされたシャワーヘッドの温度を約30℃未満の温度に維持するステップと、
    前記ラジカル化した還元ガス雰囲気への前記露出完了後に前記基板をさらに冷却するために、前記基板を前記シャワーヘッドに向けて移動させるステップと
    を含む請求項1に記載の方法。
  12. めっき表面の上に金属シード層を有する基板を準備するための装置であって、前記金属シード層の一部が、前記金属の酸化物に変換されており、前記装置が、
    処理チャンバと、
    前記処理チャンバの上方にある遠隔プラズマ源と、
    制御装置とを備え、前記制御装置が、
    前記処理チャンバ内で、前記金属の少なくとも前記酸化物をラジカル化した還元ガス雰囲気に露出させる操作であって、前記ラジカル化した還元ガス雰囲気が、還元ガス種のラジカルを含み、前記ラジカル化した還元ガス雰囲気への露出によって、前記金属の前記酸化物を、前記金属シード層と一体化された被膜の形態での前記金属に還元し、かつ前記基板を加熱する操作と、
    前記ラジカル化した還元ガス雰囲気への露出完了後に、前記処理チャンバ内で能動冷却システムを使用して前記基板を冷却する操作であって、前記基板を冷却する操作が、1つもしくは複数の冷却ガス入口からの冷却ガスを使用して前記基板を冷却する操作、および/または、前記基板を支持する能動冷却型のペデスタルを使用して前記基板を冷却する操作を含む操作と
    を実施するための命令を有して構成される装置。
  13. 前記金属シード層が、銅を含む請求項12に記載の装置。
  14. 前記基板を冷却するための命令を有して構成された前記制御装置が、
    前記基板を約室温未満の温度に冷却するための命令であって、前記冷却ガスの温度が、約−270℃〜約30℃の間である命令を有して構成される請求項12に記載の装置。
  15. 前記金属シード層の厚さが、約100Å未満である請求項12に記載の装置。
  16. 前記還元ガス種が、水素、アンモニア、一酸化炭素、ジボラン、亜硫酸化合物、炭素および/または炭化水素、亜リン酸塩、ヒドラジン、またはそれらの組み合わせを含む請求項12に記載の装置。
  17. 前記制御装置がさらに、
    前記基板を、めっき溶液を含むめっき浴に移送する操作と、
    前記めっき溶液を使用して前記金属シード層上に金属をめっきする操作と
    を実施するための命令を有して構成される請求項12に記載の装置。
  18. さらに、
    前記処理チャンバ内に前記基板を支持するための前記能動冷却型のペデスタルと、
    前記遠隔プラズマ源と前記能動冷却型のペデスタルとの間のシャワーヘッドと
    を備える
    請求項12に記載の装置。
  19. 前記制御装置がさらに、前記シャワーヘッドの温度を約30℃未満に維持するための命令を有して構成される請求項18に記載の装置。
  20. 前記制御装置がさらに、前記ラジカル化した還元ガス雰囲気への前記露出完了後に前記基板をさらに冷却するために、約30℃未満の温度に維持された前記シャワーヘッドに向けて前記基板を移動させるための命令を有して構成される
    請求項19に記載の装置。
JP2018152800A 2013-03-06 2018-08-15 金属シード層上の金属酸化物を還元するための方法および装置 Active JP6681953B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US13/787,499 US9070750B2 (en) 2013-03-06 2013-03-06 Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US13/787,499 2013-03-06
US14/020,339 US20150072538A1 (en) 2013-09-06 2013-09-06 Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US14/020,339 2013-09-06
US14/086,770 US9865501B2 (en) 2013-03-06 2013-11-21 Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US14/086,770 2013-11-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014042486A Division JP6388773B2 (ja) 2013-03-06 2014-03-05 めっき用の金属シード層を有する基板を準備してメッキする方法

Publications (2)

Publication Number Publication Date
JP2018195847A JP2018195847A (ja) 2018-12-06
JP6681953B2 true JP6681953B2 (ja) 2020-04-15

Family

ID=51467806

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014042486A Active JP6388773B2 (ja) 2013-03-06 2014-03-05 めっき用の金属シード層を有する基板を準備してメッキする方法
JP2018152800A Active JP6681953B2 (ja) 2013-03-06 2018-08-15 金属シード層上の金属酸化物を還元するための方法および装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2014042486A Active JP6388773B2 (ja) 2013-03-06 2014-03-05 めっき用の金属シード層を有する基板を準備してメッキする方法

Country Status (5)

Country Link
US (2) US9865501B2 (ja)
JP (2) JP6388773B2 (ja)
KR (3) KR102249529B1 (ja)
CN (2) CN110085501B (ja)
TW (1) TWI612170B (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9487864B2 (en) 2014-01-15 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping process and processing platform thereof
US9822460B2 (en) 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9385309B2 (en) * 2014-04-28 2016-07-05 Qualcomm Incorporated Smooth seed layers with uniform crystalline texture for high perpendicular magnetic anisotropy materials
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9768060B2 (en) * 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
TWI593548B (zh) * 2015-01-09 2017-08-01 Jx Nippon Mining & Metals Corp Attached to the metal substrate
US9777386B2 (en) * 2015-03-19 2017-10-03 Lam Research Corporation Chemistry additives and process for cobalt film electrodeposition
US10276397B2 (en) 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. CVD metal seed layer
US9735035B1 (en) * 2016-01-29 2017-08-15 Lam Research Corporation Methods and apparatuses for estimating on-wafer oxide layer reduction effectiveness via color sensing
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
CN106531666A (zh) * 2016-11-22 2017-03-22 上海华力微电子有限公司 工艺腔室及半导体工艺设备
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
CN107201509A (zh) * 2017-05-17 2017-09-26 李哲峰 一种具有同一等离子体源的原子层沉积装置及方法
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
JP6814116B2 (ja) * 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
TWI676710B (zh) * 2017-09-28 2019-11-11 日商國際電氣股份有限公司 半導體裝置的製造方法、基板處理裝置及記錄媒體
US10553673B2 (en) * 2017-12-27 2020-02-04 Micron Technology, Inc. Methods used in forming at least a portion of at least one conductive capacitor electrode of a capacitor that comprises a pair of conductive capacitor electrodes having a capacitor insulator there-between and methods of forming a capacitor
CN108862299B (zh) * 2018-08-08 2021-07-13 成都理工大学 一种非晶态EuB6纳米材料的制备方法
KR102262113B1 (ko) * 2018-12-18 2021-06-11 세메스 주식회사 기판 처리 장치 및 방법
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
US20210066064A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Methods and apparatus for cleaning metal contacts
CN112786420B (zh) * 2019-11-07 2023-03-07 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其处理基片的方法
JP2021188092A (ja) * 2020-05-29 2021-12-13 ウシオ電機株式会社 還元処理方法
CN114597276B (zh) * 2022-03-08 2023-01-31 晟高发新能源发展(江苏)有限公司 一种晶体硅太阳能电池组件制造加工设备及方法

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60250686A (ja) 1984-05-25 1985-12-11 日本碍子株式会社 セラミツク配線基板の製造方法
JPS61176192A (ja) 1985-01-31 1986-08-07 株式会社日立製作所 銅と樹脂との接着方法
US4904621A (en) 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
JPH0713304B2 (ja) 1987-12-14 1995-02-15 日立化成工業株式会社 銅の表面処理法
JPH069309B2 (ja) 1989-09-22 1994-02-02 株式会社日立製作所 プリント回路板、その製造方法および製造装置
US5252196A (en) 1991-12-05 1993-10-12 Shipley Company Inc. Copper electroplating solutions and processes
US5900186A (en) 1995-12-19 1999-05-04 Morton International, Inc. Composition and method for reducing copper oxide to metallic copper
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6555170B2 (en) 1998-01-30 2003-04-29 Duratech Industries, Inc. Pre-plate treating system
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
JP3137087B2 (ja) 1998-08-31 2001-02-19 日本電気株式会社 半導体装置の製造方法
US6319384B1 (en) 1998-10-14 2001-11-20 Faraday Technology Marketing Group, Llc Pulse reverse electrodeposition for metallization and planarization of semiconductor substrates
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6793796B2 (en) 1998-10-26 2004-09-21 Novellus Systems, Inc. Electroplating process for avoiding defects in metal features of integrated circuit devices
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
JP3217319B2 (ja) * 1998-12-11 2001-10-09 松下電器産業株式会社 半導体装置の製造方法
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6232230B1 (en) 1999-01-05 2001-05-15 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high temperature deposition
JP2000208627A (ja) * 1999-01-19 2000-07-28 Hitachi Ltd 半導体装置の製造方法
KR100665745B1 (ko) 1999-01-26 2007-01-09 가부시키가이샤 에바라 세이사꾸쇼 구리도금방법 및 그 장치
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
JP2001040480A (ja) * 1999-05-21 2001-02-13 Ebara Corp 基板処理装置及び方法
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6222166B1 (en) 1999-08-09 2001-04-24 Watlow Electric Manufacturing Co. Aluminum substrate thick film heater
KR100728244B1 (ko) 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 실리레이션처리장치 및 방법
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
JP3907151B2 (ja) 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
JP2002004048A (ja) * 2000-06-20 2002-01-09 Ebara Corp 成膜方法及び装置
US6602653B1 (en) 2000-08-25 2003-08-05 Micron Technology, Inc. Conductive material patterning methods
US6610192B1 (en) 2000-11-02 2003-08-26 Shipley Company, L.L.C. Copper electroplating
TW531801B (en) 2000-11-14 2003-05-11 Sekisui Chemical Co Ltd Normal plasma processing method and processing device
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6440291B1 (en) 2000-11-30 2002-08-27 Novellus Systems, Inc. Controlled induction by use of power supply trigger in electrochemical processing
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20030213561A1 (en) 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US6579730B2 (en) 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
JP4293752B2 (ja) 2002-02-28 2009-07-08 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6764952B1 (en) 2002-03-13 2004-07-20 Novellus Systems, Inc. Systems and methods to retard copper diffusion and improve film adhesion for a dielectric barrier on copper
KR100465063B1 (ko) 2002-04-01 2005-01-06 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US6720204B2 (en) 2002-04-11 2004-04-13 Chartered Semiconductor Manufacturing Ltd. Method of using hydrogen plasma to pre-clean copper surfaces during Cu/Cu or Cu/metal bonding
US20040000488A1 (en) 2002-06-28 2004-01-01 Applied Materials, Inc. CU ECP planarization by insertion of polymer treatment step between gap fill and bulk fill steps
US7897198B1 (en) 2002-09-03 2011-03-01 Novellus Systems, Inc. Electroless layer plating process and apparatus
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
US6743719B1 (en) 2003-01-22 2004-06-01 Texas Instruments Incorporated Method for forming a conductive copper structure
US8241701B2 (en) 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US20070048447A1 (en) * 2005-08-31 2007-03-01 Alan Lee System and method for forming patterned copper lines through electroless copper plating
WO2004070811A1 (ja) 2003-02-06 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. 半導体製造装置
US6902605B2 (en) 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
KR100498494B1 (ko) * 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP2004342750A (ja) 2003-05-14 2004-12-02 Toshiba Corp 電子デバイスの製造方法
US20060283716A1 (en) 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US7405157B1 (en) 2003-11-10 2008-07-29 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7879218B1 (en) 2003-12-18 2011-02-01 Novellus Systems, Inc. Deposit morphology of electroplated copper
JP2005244178A (ja) * 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
US7232513B1 (en) 2004-06-29 2007-06-19 Novellus Systems, Inc. Electroplating bath containing wetting agent for defect reduction
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7288479B2 (en) 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
JP2006344762A (ja) 2005-06-09 2006-12-21 Renesas Technology Corp 半導体集積回路装置の製造方法
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
FR2890983B1 (fr) 2005-09-20 2007-12-14 Alchimer Sa Composition d'electrodeposition destinee au revetement d'une surface d'un substrat par un metal.
US7662253B2 (en) 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
US7456102B1 (en) 2005-10-11 2008-11-25 Novellus Systems, Inc. Electroless copper fill process
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
JP4913490B2 (ja) 2006-07-18 2012-04-11 株式会社山武 容量式電磁流量計
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
SG10201501328WA (en) * 2006-08-30 2015-04-29 Lam Res Corp Controlled ambient system for interface engineering
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US20080081464A1 (en) 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8205625B2 (en) * 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
US7794530B2 (en) 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys
US7470617B2 (en) 2007-03-01 2008-12-30 Intel Corporation Treating a liner layer to reduce surface oxides
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8372754B2 (en) 2007-04-11 2013-02-12 Micron Technology, Inc. Methods for removing photoresist defects and a method for processing a semiconductor device structure
US7709400B2 (en) 2007-05-08 2010-05-04 Lam Research Corporation Thermal methods for cleaning post-CMP wafers
US8137467B2 (en) 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8470390B2 (en) 2008-01-11 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US7776741B2 (en) 2008-08-18 2010-08-17 Novellus Systems, Inc. Process for through silicon via filing
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
KR101087168B1 (ko) 2009-01-02 2011-11-25 서울대학교산학협력단 헤어핀 모양의 rna에 특이적으로 결합하는 양면성 펩타이드의 탐색 방법
TW201044462A (en) * 2009-01-22 2010-12-16 Tokyo Electron Ltd A method for manufacturing semiconductor devices
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9034142B2 (en) 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8357599B2 (en) * 2011-02-10 2013-01-22 Applied Materials, Inc. Seed layer passivation
JP2012174845A (ja) * 2011-02-21 2012-09-10 Tokyo Electron Ltd 成膜方法及び半導体装置の製造方法
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
WO2014014907A1 (en) 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
FR2995912B1 (fr) 2012-09-24 2014-10-10 Alchimer Electrolyte et procede d'electrodeposition de cuivre sur une couche barriere
US20140199497A1 (en) 2013-01-14 2014-07-17 Tighe A. Spurlin Methods for reducing metal oxide surfaces to modified metal surfaces
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US20150072538A1 (en) 2013-09-06 2015-03-12 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US20150299886A1 (en) 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20150376792A1 (en) 2014-06-30 2015-12-31 Lam Research Corporation Atmospheric plasma apparatus for semiconductor processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US20160111342A1 (en) 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction

Also Published As

Publication number Publication date
KR102514192B1 (ko) 2023-03-27
CN110085501A (zh) 2019-08-02
KR20140109834A (ko) 2014-09-16
US9865501B2 (en) 2018-01-09
US20140256128A1 (en) 2014-09-11
JP6388773B2 (ja) 2018-09-12
CN104037080A (zh) 2014-09-10
KR20210053843A (ko) 2021-05-12
KR102379901B1 (ko) 2022-03-29
JP2018195847A (ja) 2018-12-06
TW201447018A (zh) 2014-12-16
KR102249529B1 (ko) 2021-05-07
CN110085501B (zh) 2021-10-12
JP2014175659A (ja) 2014-09-22
US20180350670A1 (en) 2018-12-06
CN104037080B (zh) 2019-01-29
TWI612170B (zh) 2018-01-21
KR20220042334A (ko) 2022-04-05

Similar Documents

Publication Publication Date Title
JP6681953B2 (ja) 金属シード層上の金属酸化物を還元するための方法および装置
US9607822B2 (en) Pretreatment method for photoresist wafer processing
KR102513108B1 (ko) 반도체 프로세싱을 위한 대기압 플라즈마 장치
KR102584552B1 (ko) 금속 산화물 환원을 특징으로 하는 방법 및 장치
US9070750B2 (en) Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US20150299886A1 (en) Method and apparatus for preparing a substrate with a semi-noble metal layer
US10199235B2 (en) Liner and barrier applications for subtractive metal integration
US20150072538A1 (en) Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
JP2008218959A (ja) エッチング方法および記憶媒体
JP6268008B2 (ja) Cu配線の製造方法
KR20170091013A (ko) 컬러 센싱을 통한 웨이퍼 상 옥사이드 층 환원 효과를 추정하기 위한 방법들 및 장치들
TW201820536A (zh) 用於鈷的錳阻障層及黏著層
US9472377B2 (en) Method and apparatus for characterizing metal oxide reduction

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180912

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190702

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200324

R150 Certificate of patent or registration of utility model

Ref document number: 6681953

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250