JP2022550433A - ゲートオールアラウンドi/oエンジニアリング - Google Patents
ゲートオールアラウンドi/oエンジニアリング Download PDFInfo
- Publication number
- JP2022550433A JP2022550433A JP2022520218A JP2022520218A JP2022550433A JP 2022550433 A JP2022550433 A JP 2022550433A JP 2022520218 A JP2022520218 A JP 2022520218A JP 2022520218 A JP2022520218 A JP 2022520218A JP 2022550433 A JP2022550433 A JP 2022550433A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- thermal oxide
- silicon
- oxide layer
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Ceased
Links
- 238000000034 method Methods 0.000 claims abstract description 134
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 44
- 239000010703 silicon Substances 0.000 claims abstract description 44
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 26
- 238000000151 deposition Methods 0.000 claims abstract description 16
- 238000011065 in-situ storage Methods 0.000 claims abstract description 16
- 238000004519 manufacturing process Methods 0.000 claims abstract description 9
- 239000000758 substrate Substances 0.000 claims description 75
- 238000012545 processing Methods 0.000 claims description 68
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 43
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 32
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 26
- 238000012546 transfer Methods 0.000 claims description 22
- 230000000087 stabilizing effect Effects 0.000 claims description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 12
- 238000005530 etching Methods 0.000 claims description 12
- 239000004065 semiconductor Substances 0.000 claims description 12
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 8
- 238000000137 annealing Methods 0.000 claims description 6
- 238000000059 patterning Methods 0.000 claims description 5
- 238000009832 plasma treatment Methods 0.000 claims description 5
- 102100024830 2-(3-amino-3-carboxypropyl)histidine synthase subunit 2 Human genes 0.000 claims description 4
- 101000909233 Homo sapiens 2-(3-amino-3-carboxypropyl)histidine synthase subunit 2 Proteins 0.000 claims description 4
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 3
- 101000823247 Homo sapiens Reticulon-2 Proteins 0.000 claims 1
- 102100022648 Reticulon-2 Human genes 0.000 claims 1
- 230000006641 stabilisation Effects 0.000 abstract description 8
- 238000011105 stabilization Methods 0.000 abstract description 8
- 239000007789 gas Substances 0.000 description 36
- 239000000463 material Substances 0.000 description 18
- 150000001875 compounds Chemical class 0.000 description 17
- 239000002243 precursor Substances 0.000 description 15
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- 230000015654 memory Effects 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 230000008021 deposition Effects 0.000 description 6
- 230000005669 field effect Effects 0.000 description 6
- 230000003647 oxidation Effects 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- 238000010926 purge Methods 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- 229940126062 Compound A Drugs 0.000 description 3
- NLDMNSXOCDLTTB-UHFFFAOYSA-N Heterophylliin A Natural products O1C2COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC2C(OC(=O)C=2C=C(O)C(O)=C(O)C=2)C(O)C1OC(=O)C1=CC(O)=C(O)C(O)=C1 NLDMNSXOCDLTTB-UHFFFAOYSA-N 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000000280 densification Methods 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 239000002070 nanowire Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 230000008033 biological extinction Effects 0.000 description 2
- 210000000746 body region Anatomy 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 239000002800 charge carrier Substances 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 239000000470 constituent Substances 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000004886 process control Methods 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- KRSZDIGCQWBYNU-UHFFFAOYSA-N [Mn].[Ru] Chemical compound [Mn].[Ru] KRSZDIGCQWBYNU-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 230000003321 amplification Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 238000011066 ex-situ storage Methods 0.000 description 1
- 238000005755 formation reaction Methods 0.000 description 1
- 238000007306 functionalization reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 239000011572 manganese Substances 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- BOPGDPNILDQYTO-NNYOXOHSSA-N nicotinamide-adenine dinucleotide Chemical compound C1=CCC(C(=O)N)=CN1[C@H]1[C@H](O)[C@H](O)[C@@H](COP(O)(=O)OP(O)(=O)OC[C@@H]2[C@H]([C@@H](O)[C@@H](O2)N2C3=NC=NC(N)=C3N=C2)O)O1 BOPGDPNILDQYTO-NNYOXOHSSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 238000003199 nucleic acid amplification method Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C8/00—Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
- C23C8/06—Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
- C23C8/08—Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
- C23C8/10—Oxidising
- C23C8/16—Oxidising using oxygen-containing compounds, e.g. water, carbon dioxide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C8/00—Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
- C23C8/02—Pretreatment of the material to be coated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C8/00—Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
- C23C8/80—After-treatment
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/02—Elements
- C30B29/06—Silicon
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/10—Inorganic compounds or compositions
- C30B29/52—Alloys
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B33/00—After-treatment of single crystals or homogeneous polycrystalline material with defined structure
- C30B33/005—Oxydation
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B33/00—After-treatment of single crystals or homogeneous polycrystalline material with defined structure
- C30B33/08—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/02255—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28185—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/511—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
- H01L29/513—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6653—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/6681—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/0223—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
- H01L21/02233—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
- H01L21/02236—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
- H01L21/02238—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Ceramic Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Nanotechnology (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
Claims (20)
- 電子デバイスの製造方法であって、
基板にシリコン(Si)及びシリコンゲルマニウム(SiGe)の交互層を形成することと、
シリコン層の少なくとも1つの側壁とシリコンゲルマニウム層の少なくとも1つの側壁とを露出させるために、前記シリコン及びシリコンゲルマニウムの交互層をパターニング及びエッチングすることと、
開口部を形成するために、前記シリコンゲルマニウム層を選択的にエッチングすることと、
前記開口部を通して前記シリコン層に熱酸化物層を形成することと、
安定化熱酸化物層を形成するために、前記熱酸化物層を安定化処理することと、
前記開口部を通して前記安定化熱酸化物層に低誘電率層を堆積させることと、
高密度化低誘電率層を形成するために、前記低誘電率層を高密度化することと
を含む方法。 - 前記シリコン及びシリコンゲルマニウムの交互層は、選択的エピタキシャル成長(SEG)プロセスによって形成される、請求項1に記載の方法。
- 前記熱酸化物層を形成することは、強化型インシトゥ蒸気発生(eISSG)プロセスを含む、請求項1に記載の方法。
- 前記熱酸化物層は、約3から約10Åの範囲の厚さを有する、請求項1に記載の方法。
- 前記低誘電率層は、約2nm未満の厚さを有する、請求項1に記載の方法。
- 前記低誘電率層は、約1.5nm未満の厚さを有する、請求項5に記載の方法。
- 前記熱酸化物層は、酸化ケイ素を含む、請求項1に記載の方法。
- 前記低誘電率層は、酸化ケイ素、オキシ炭化ケイ素、酸窒化ケイ素、SiCOH、SiCONH、又は酸化アルミニウムの1又は複数を含む、請求項1に記載の方法。
- 前記低誘電率層は、約1から約6の範囲の誘電率を有する、請求項1に記載の方法。
- 前記安定化熱酸化物層に前記低誘電率層を堆積させることは、原子層堆積プロセスを含む、請求項1に記載の方法。
- 前記原子層堆積プロセスは、プラズマ原子層堆積プロセスである、請求項10に記載の方法。
- 前記熱酸化物層を安定化処理することは、アニールプロセス又はプラズマ処理プロセスのうちの1又は複数を含む、請求項1に記載の方法。
- 前記熱酸化物層を安定化処理することは、前記熱酸化物層をRTH2又はRTN2のうちの1又は複数を用いてアニールすることを含む、請求項12に記載の方法。
- 前記熱酸化物層を安定化処理することは、DPHe、DPH2、DPN2、又はDPNH3のうちの1又は複数を用いたプラズマ処理プロセスを含む、請求項12に記載の方法。
- 前記低誘電率層を高密度化することは、RTH2又はRTN2の1又は複数を用いて前記低誘電率層をアニールすることを含む、請求項1に記載の方法。
- 前記低誘電率層を高密度化することは、DPHe、DPH2、DPN2、又はDPNH3のうちの1又は複数を用いたプラズマ処理プロセスを含む、請求項1に記載の方法。
- 前記電子デバイスは、ゲートオールアラウンド(GAA)トランジスタである、請求項1に記載の方法。
- 前記ゲートオールアラウンドトランジスタは、ソースとソースコンタクトとを有し且つ前記基板の上面にあるソース領域と、ドレインとドレインコンタクトとを有し且つ前記基板の上面にあるドレイン領域と、前記ソースと前記ドレインとの間に位置し且つ前記基板の上面と実質的に直交する軸を有するチャネルと、前記ソース領域と前記ドレイン領域との間で前記チャネルを囲むゲートと、前記ゲート、前記ソースコンタクト、又は前記ドレインコンタクトの1又は複数の上に重なり、接触している前記熱酸化物層と、前記熱酸化物層の上に重なる前記低誘電率層とを備える、請求項17に記載の方法。
- 半導体デバイスを形成するための処理ツールであって、
中央移送ステーションの周囲に配置された複数の処理チャンバを有する中央移送ステーションと、
前記複数の処理チャンバ間で基板を移動させるように構成された、前記中央移送ステーション内のロボットと、
前記中央移送ステーションに接続され、熱酸化物層を堆積させるためにインシトゥ蒸気発生プロセスを実行するように構成された第1の処理チャンバと、
前記ロボットがアクセス可能な前記処理ツール内の計測ステーションであって、基板上の前記熱酸化物層の厚さを決定するように構成された計測ステーションと、
前記中央移送ステーションに接続され、原子層堆積プロセスを実行するように構成された第2の処理チャンバと、
前記中央移送ステーション、前記ロボット、前記第1の処理チャンバ、前記計測ステーション、又は前記第2の処理チャンバのうちの1又は複数に接続されたコントローラであって、前記複数の処理チャンバと前記計測ステーションとの間で前記ロボット上の基板を移動させる第1の構成、前記第1の処理チャンバ内で基板に熱酸化物層を堆積させるインシトゥ蒸気発生プロセスを実行する第2の構成、前記計測ステーション内で前記熱酸化物層の厚さを決定する解析を実行する第3の構成、又は前記第2の処理チャンバ内で前記熱酸化物層の厚さに対して調整される原子層堆積プロセスを実行する第4の構成から選択される1又は複数の構成を有する、コントローラと
を備える、処理ツール。 - 命令を含む非一過性コンピュータ可読媒体であって、前記命令は、処理チャンバのコントローラによって実行されると、前記処理チャンバに、
基板にシリコン及びシリコンゲルマニウムの交互層を形成する工程と、
少なくとも1つの側壁を露出させるために、前記シリコン及びシリコンゲルマニウムの交互層をパターニング及びエッチングする工程と、
シリコンゲルマニウム層を選択的にエッチングする工程と、
シリコン層に熱酸化物層を形成するために、強化型インシトゥ蒸気発生プロセスを実行する工程と、
前記熱酸化物層を安定化処理する工程と、
低誘電率層を堆積させる工程と、
前記低誘電率層を高密度化及び/又は安定化処理する工程と
を実行させる、非一過性コンピュータ可読媒体。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962909329P | 2019-10-02 | 2019-10-02 | |
US62/909,329 | 2019-10-02 | ||
US17/037,941 | 2020-09-30 | ||
US17/037,941 US11450759B2 (en) | 2019-10-02 | 2020-09-30 | Gate all around I/O engineering |
PCT/US2020/053672 WO2021067525A1 (en) | 2019-10-02 | 2020-10-01 | Gate all around i/o engineering |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2022550433A true JP2022550433A (ja) | 2022-12-01 |
Family
ID=75274470
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022520218A Ceased JP2022550433A (ja) | 2019-10-02 | 2020-10-01 | ゲートオールアラウンドi/oエンジニアリング |
Country Status (6)
Country | Link |
---|---|
US (2) | US11450759B2 (ja) |
JP (1) | JP2022550433A (ja) |
KR (1) | KR20220070518A (ja) |
CN (1) | CN114514597A (ja) |
TW (1) | TW202129061A (ja) |
WO (1) | WO2021067525A1 (ja) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW202405950A (zh) * | 2022-05-17 | 2024-02-01 | 美商應用材料股份有限公司 | 圖案化基板以調整電壓性質的方法 |
WO2024123430A1 (en) * | 2022-12-07 | 2024-06-13 | Applied Materials, Inc. | Multilayer inner spacer for gate-all-around device |
US20240234544A1 (en) * | 2023-01-10 | 2024-07-11 | Applied Materials, Inc. | Inner spacer liner for gate-all-around device |
US20240234531A1 (en) * | 2023-01-10 | 2024-07-11 | Applied Materials, Inc. | Inner spacer liner for gate-all-around device |
Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030045098A1 (en) * | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
JP2004128508A (ja) * | 2002-10-01 | 2004-04-22 | Samsung Electronics Co Ltd | 多重チャンネルを有するモストランジスター及びその製造方法 |
JP2004311739A (ja) * | 2003-04-08 | 2004-11-04 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法および半導体装置 |
WO2004097922A1 (ja) * | 2003-04-30 | 2004-11-11 | Fujitsu Limited | 半導体装置の製造方法 |
JP2006190822A (ja) * | 2005-01-06 | 2006-07-20 | Sony Corp | 絶縁ゲート電界効果トランジスタの製造方法および絶縁ゲート電界効果トランジスタ |
JP2006253267A (ja) * | 2005-03-09 | 2006-09-21 | Sony Corp | 半導体装置の製造方法および半導体装置 |
JP2007067048A (ja) * | 2005-08-30 | 2007-03-15 | Elpida Memory Inc | 半導体装置の製造方法 |
JP2009021608A (ja) * | 2007-07-16 | 2009-01-29 | Applied Materials Inc | 不揮発性メモリデバイス用インターポリ誘電体を形成するための統合スキーム |
JP2010034237A (ja) * | 2008-07-28 | 2010-02-12 | Panasonic Corp | 半導体装置及びその製造方法 |
JP2010505274A (ja) * | 2006-09-29 | 2010-02-18 | 東京エレクトロン株式会社 | 歪みゲルマニウム含有層を有するデバイスのためのuv支援による誘電層形成 |
WO2012165263A1 (ja) * | 2011-06-03 | 2012-12-06 | 東京エレクトロン株式会社 | ゲート絶縁膜の形成方法およびゲート絶縁膜の形成装置 |
JP2015073019A (ja) * | 2013-10-03 | 2015-04-16 | Jswアフティ株式会社 | 原子層堆積装置および原子層堆積方法 |
WO2018057539A1 (en) * | 2016-09-20 | 2018-03-29 | Advanced Micro Devices, Inc. | Gate all around device architecture with local oxide |
JP2019500756A (ja) * | 2016-01-05 | 2019-01-10 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 |
US20190280107A1 (en) * | 2018-03-09 | 2019-09-12 | International Business Machines Corporation | Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth |
Family Cites Families (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070196011A1 (en) * | 2004-11-22 | 2007-08-23 | Cox Damon K | Integrated vacuum metrology for cluster tool |
KR100630764B1 (ko) | 2005-08-30 | 2006-10-04 | 삼성전자주식회사 | 게이트 올어라운드 반도체소자 및 그 제조방법 |
US8753942B2 (en) | 2010-12-01 | 2014-06-17 | Intel Corporation | Silicon and silicon germanium nanowire structures |
WO2013112702A1 (en) | 2012-01-26 | 2013-08-01 | Applied Materials, Inc. | Devices including metal-silicon contacts using indium arsenide films and apparatus and methods |
US10038053B2 (en) * | 2015-10-12 | 2018-07-31 | International Business Machines Corporation | Methods for removal of selected nanowires in stacked gate all around architecture |
US9741792B2 (en) | 2015-10-21 | 2017-08-22 | International Business Machines Corporation | Bulk nanosheet with dielectric isolation |
US9754840B2 (en) | 2015-11-16 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Horizontal gate-all-around device having wrapped-around source and drain |
US9484267B1 (en) * | 2016-02-04 | 2016-11-01 | International Business Machines Corporation | Stacked nanowire devices |
US9853129B2 (en) | 2016-05-11 | 2017-12-26 | Applied Materials, Inc. | Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth |
FR3057702B1 (fr) | 2016-10-13 | 2018-12-07 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de fabrication d'un transistor a effet de champ a grille enrobante |
US9923055B1 (en) * | 2016-10-31 | 2018-03-20 | International Business Machines Corporation | Inner spacer for nanosheet transistors |
WO2018201066A1 (en) * | 2017-04-27 | 2018-11-01 | Tokyo Electron Limited | Method for fabricating nfet and pfet nanowire devices |
US10283414B2 (en) * | 2017-06-20 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation manufacturing method for semiconductor structures |
US10934485B2 (en) * | 2017-08-25 | 2021-03-02 | Versum Materials Us, Llc | Etching solution for selectively removing silicon over silicon-germanium alloy from a silicon-germanium/ silicon stack during manufacture of a semiconductor device |
US10741641B2 (en) * | 2018-06-20 | 2020-08-11 | International Business Machines Corporation | Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices |
US11315785B2 (en) * | 2019-09-17 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial blocking layer for multi-gate devices and fabrication methods thereof |
-
2020
- 2020-09-22 TW TW109132663A patent/TW202129061A/zh unknown
- 2020-09-30 US US17/037,941 patent/US11450759B2/en active Active
- 2020-10-01 WO PCT/US2020/053672 patent/WO2021067525A1/en active Application Filing
- 2020-10-01 CN CN202080068741.7A patent/CN114514597A/zh active Pending
- 2020-10-01 JP JP2022520218A patent/JP2022550433A/ja not_active Ceased
- 2020-10-01 KR KR1020227014473A patent/KR20220070518A/ko not_active Application Discontinuation
-
2022
- 2022-08-16 US US17/888,894 patent/US11923441B2/en active Active
Patent Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030045098A1 (en) * | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
JP2004128508A (ja) * | 2002-10-01 | 2004-04-22 | Samsung Electronics Co Ltd | 多重チャンネルを有するモストランジスター及びその製造方法 |
JP2004311739A (ja) * | 2003-04-08 | 2004-11-04 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法および半導体装置 |
WO2004097922A1 (ja) * | 2003-04-30 | 2004-11-11 | Fujitsu Limited | 半導体装置の製造方法 |
JP2006190822A (ja) * | 2005-01-06 | 2006-07-20 | Sony Corp | 絶縁ゲート電界効果トランジスタの製造方法および絶縁ゲート電界効果トランジスタ |
JP2006253267A (ja) * | 2005-03-09 | 2006-09-21 | Sony Corp | 半導体装置の製造方法および半導体装置 |
JP2007067048A (ja) * | 2005-08-30 | 2007-03-15 | Elpida Memory Inc | 半導体装置の製造方法 |
JP2010505274A (ja) * | 2006-09-29 | 2010-02-18 | 東京エレクトロン株式会社 | 歪みゲルマニウム含有層を有するデバイスのためのuv支援による誘電層形成 |
JP2009021608A (ja) * | 2007-07-16 | 2009-01-29 | Applied Materials Inc | 不揮発性メモリデバイス用インターポリ誘電体を形成するための統合スキーム |
JP2010034237A (ja) * | 2008-07-28 | 2010-02-12 | Panasonic Corp | 半導体装置及びその製造方法 |
WO2012165263A1 (ja) * | 2011-06-03 | 2012-12-06 | 東京エレクトロン株式会社 | ゲート絶縁膜の形成方法およびゲート絶縁膜の形成装置 |
JP2015073019A (ja) * | 2013-10-03 | 2015-04-16 | Jswアフティ株式会社 | 原子層堆積装置および原子層堆積方法 |
JP2019500756A (ja) * | 2016-01-05 | 2019-01-10 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 |
WO2018057539A1 (en) * | 2016-09-20 | 2018-03-29 | Advanced Micro Devices, Inc. | Gate all around device architecture with local oxide |
US20190280107A1 (en) * | 2018-03-09 | 2019-09-12 | International Business Machines Corporation | Multiple work function nanosheet field effect transistor using sacrificial silicon germanium growth |
Also Published As
Publication number | Publication date |
---|---|
US20210104617A1 (en) | 2021-04-08 |
US11923441B2 (en) | 2024-03-05 |
CN114514597A (zh) | 2022-05-17 |
WO2021067525A1 (en) | 2021-04-08 |
US11450759B2 (en) | 2022-09-20 |
US20220399457A1 (en) | 2022-12-15 |
TW202129061A (zh) | 2021-08-01 |
KR20220070518A (ko) | 2022-05-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11923441B2 (en) | Gate all around I/O engineering | |
JP4212435B2 (ja) | 半導体装置およびその製造方法 | |
US20150132938A1 (en) | Methods and Systems for Forming Reliable Gate Stack on Semiconductors | |
US10395080B2 (en) | Simplified gate stack process to improve dual channel CMOS performance | |
KR102293862B1 (ko) | 반도체 소자의 제조 방법 | |
JP2011014689A5 (ja) | ||
JP2011014689A (ja) | 半導体装置及びその製造方法 | |
KR101078498B1 (ko) | 절연체 박막의 제조 방법 | |
KR101562955B1 (ko) | 반도체 구조에 물질층을 형성하는 방법 | |
JP2009016500A (ja) | 半導体装置の製造方法 | |
TWI716441B (zh) | 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法 | |
JP2007123662A (ja) | 半導体装置の製造方法および半導体装置 | |
CN110993603A (zh) | 半导体结构及其形成方法 | |
KR20230129520A (ko) | Cd 의존 갭 충전 및 컨포멀 막들 | |
TW202230805A (zh) | 用於降低接觸電阻之金屬蓋 | |
KR102441996B1 (ko) | 3차원 구조들을 등각적으로 도핑하기 위한 방법들 | |
US20210280451A1 (en) | Low temperature steam free oxide gapfill | |
KR102488508B1 (ko) | 실리콘-함유 층들을 형성하는 방법들 | |
JP2008235397A (ja) | 半導体装置の製造方法 | |
US20220389568A1 (en) | Seamless Gapfill Of Metal Nitrides | |
CN106856172A (zh) | 鳍式场效应晶体管的形成方法 | |
KR100650758B1 (ko) | 반도체 소자의 게이트 형성방법 | |
JP2009260004A (ja) | 半導体装置の製造方法 | |
JP2006120816A (ja) | 半導体装置の製造方法 | |
CN106711041A (zh) | 半导体器件的形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20220530 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20230425 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20230420 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20230706 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20230815 |
|
A045 | Written measure of dismissal of application [lapsed due to lack of payment] |
Free format text: JAPANESE INTERMEDIATE CODE: A045 Effective date: 20231219 |