JP2022552417A - 水平ゲートオールアラウンド(hGAA)ナノワイヤ及びナノスラブトランジスタ - Google Patents

水平ゲートオールアラウンド(hGAA)ナノワイヤ及びナノスラブトランジスタ Download PDF

Info

Publication number
JP2022552417A
JP2022552417A JP2022523177A JP2022523177A JP2022552417A JP 2022552417 A JP2022552417 A JP 2022552417A JP 2022523177 A JP2022523177 A JP 2022523177A JP 2022523177 A JP2022523177 A JP 2022523177A JP 2022552417 A JP2022552417 A JP 2022552417A
Authority
JP
Japan
Prior art keywords
layers
layer
semiconductor material
dopant
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022523177A
Other languages
English (en)
Inventor
ベンジャミン コロンボー,
ハンス-ヨアヒム ゴスマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022552417A publication Critical patent/JP2022552417A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic

Abstract

水平ゲートオールアラウンド素子及びその製造方法が記載される。hGAA素子は、当該素子のソース領域とドレイン領域との間のドープされた半導体材料を含む。本方法は、電子デバイスのソース領域とドレイン領域との間の半導体材料層にドープすることを含む。【選択図】図2F

Description

本開示の実施形態は、概して、半導体素子に関し、より詳細には、水平ゲートオールアラウンド素子構造、並びに、水平ゲートオールアラウンド素子構造を形成するための方法及び装置に関する。
トランジスタは、大抵の集積回路の重要な構成要素である。トランジスタの駆動電流、及びしたがって速度は、トランジスタのゲート幅に比例するため、より速いトランジスタでは、一般に、より大きなゲート幅が必要となる。したがって、トランジスタのサイズと速度の間にはトレードオフの関係があり、最大駆動電流と最小サイズとを有するトランジスタという矛盾する目標に対処するために、「フィン」電界効果トランジスタ(finFET:“fin” field-effect transistor)が開発されている。FinFETは、トランジスタのフットプリントを著しく増加させることなくトランジスタのサイズを大幅に増大させるフィン形状のチャネル領域を特徴としており、現在多くの集積回路に適用されている。しかしながら、finFETは、それ自体の欠点を有する。
より高い回路密度及びより高い性能を実現するために、トランジスタ素子のフィーチャのサイズが縮小し続けるにつれて、静電結合を改善し、寄生容量及びオフ状態漏れといったマイナスの影響を低減するためには、トランジスタ素子構造を改良する必要がある。トランジスタ素子構造の例として、平面構造、フィン電界効果トランジスタ(FinFET)構造、及び水平ゲートオールアラウンド(hGAA:horizontal gate all around)構造が挙げられる。hGAA素子の構造は、積層された構成において別々に離れた状態になった幾つかの格子整合チャネルであって、ソース領域/ドレイン領域が接続している格子整合チャネルを含む。発明者らは、hGAA構造が良好な静電制御をもたらし、相補型金属酸化膜半導体(CMOS:complementary metal oxide semiconductor)ウエハ製造において広く採用されるようになりうると考える。
論理ゲートの性能は、構造層の厚さ及び面積と同様に、使用される材料の特性に関連している。しかしながら、素子のスケーリングに対応するためにいくつかのゲート特性が調整されるため、課題が生じる。さらに、水平ゲートオールアラウンド(hGAA)素子上のワイヤ間のスペースの制約によって、I/Oトランジスタのゲート誘電材料の厚さが制限される。
最先端のシステムオンチップ(System on a Chip)回路は、各種の回路について最大7つのはっきりと異なる閾値電圧を必要とする。このことは、現在、(i)様々なドーズ量をチャネルに注入することによってチャネルにドープし、続いてアニールすること、又は(ii)チャネルを覆う複数の超薄金属層の堆積、エッチング、及びアニールによる仕事関数の修正、のいずれかによって達成されている。前者は、チャネルに欠陥を生じさせ、後者は、非常に高価であり、歩留まり損失を生じやすく、スぺースの制約によって制限される。
従って、水平ゲートオールアラウンド素子を形成するための改良された方法が必要とされている。
本開示の1つ以上の実施形態は、半導体素子を形成する方法を対象とする。複数の積層された対において交互に配置された複数の第1の層及び対応する複数の第2の層を含む超格子構造が、第1の層のそれぞれ又は第2の層のそれぞれを除去するために選択的にエッチングされ、超格子構造内の複数のボイドと、ソース領域とドレイン領域との間に延在する複数の半導体材料層と、を形成する。複数の半導体材料層にドープされて、ドープされた半導体材料層が形成される。
本開示のさらなる実施形態は、ソース領域とドレイン領域との間の複数の水平ドープ半導体材料層を含む水平ゲートオールアラウンド素子を対象とする。
本開示のさらなる実施形態は、命令が格納されたコンピュータ可読媒体であって、命令は、実行されると、半導体素子を形成する方法を引き起こす、コンピュータ可読媒体を対象とする。上記方法は、複数の積層された対において交互に配置された複数の第1の層及び対応する複数の第2の層を含む超格子構造を、第1の層のそれぞれ又は第2の層のそれぞれを除去するために選択的にエッチングして、超格子構造内の複数のボイドと、ソース領域とドレイン領域との間に延在する複数の半導体材料層と、を形成することと、複数の半導体材料層にドープして、ドープされた半導体材料層を形成することと、を含む。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得られる。一部の実施形態は、添付図面に示されている。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面は本開示の典型的な実施形態のみを示しており、従って、本開示の範囲を限定すると見做すべきではないことに留意されたい。
本開示のいくつかの実施形態に係る半導体素子を形成する方法のフローチャートを示す。 本開示のいくつかの実施形態に係る半導体素子を形成する方法の間の一製造段階を示す。 本開示のいくつかの実施形態に係る半導体素子を形成する方法の間の一製造段階を示す。 本開示のいくつかの実施形態に係る半導体素子を形成する方法の間の一製造段階を示す。 本開示のいくつかの実施形態に係る半導体素子を形成する方法の間の一製造段階を示す。 本開示のいくつかの実施形態に係る半導体素子を形成する方法の間の一製造段階を示す。 本開示のいくつかの実施形態に係る半導体素子を形成する方法の間の一製造段階を示す。
理解が容易になるよう、可能な場合には、各図に共通する同一の要素を示すために同一の参照番号を使用した。図は縮尺どおりではなく、分かりやすくするために簡略化されていることがある。1の実施形態の要素及び特徴は、更なる記述がなくても、他の実施形態に有益に組み込まれうる。
本開示の幾つかの例示的な実施形態を説明する前に、本開示が、以下の明細書の記載において記載される構成又は処理ステップの詳細には限定されないと理解されたい。本開示は、他の実施形態も可能であり、様々なやり方で実践又は実行することが可能である。
本明細書及び添付の特許請求の範囲では、「基板(substrate)」という用語は、処理が行われる表面又は表面の部分を指す。これも当業者には当然のことであるが、基板に対して言及がなされるとき、文脈上他のことが明示されない限り、基板の一部のみを指すこともありうる。さらに、基板上への堆積に対して言及がなされるとき、それは、ベア基板と、1つ以上の膜又はフィーチャが堆積又は形成された基板と、の両方を意味しうる。
本明細書では、「基板(substrate)」は、製造プロセスの間に表面上に膜処理が実施される任意の基板、又は基板上に形成された任意の材料面のことである。例えば、処理が実施されうる基板表面は、用途に従って、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI:silicon on insulator)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった材料、並びに、金属、金属窒化物、金属合金、及び他の導電性材料といった、他の任意の材料を含む。基板は、半導体ウエハを含むが、これに限定されない。基板表面を、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために、基板を前処理プロセスに曝露してよい。基板自体の表面上で直接膜処理することに加えて、本開示では、開示された任意の膜処理ステップが、以下でより詳細に開示される基板上に形成された下層上で実施されてよい。「基板表面」という用語は、その文脈が示すように、こうした下層を含むことが意図されている。従って、例えば、膜/層又は部分的な膜/層が基板表面に堆積している場合には、新たに堆積した膜/層の露出面が基板表面となる。
本明細書及び添付の特許請求の範囲では、「前駆体(precursor)」、「反応体(reactant)」、「反応性ガス(reactive gas)」などの用語は、基板表面と反応しうる任意のガス種を表わすために、互換可能に使用される。
トランジスタは、しばしば半導体素子上に形成される回路部品又は要素である。回路設計に従って、キャパシタ、インダクタ、抵抗器、ダイオード、導電線、又は他の素子に加えて、トランジスタが半導体素子上に形成される。一般に、トランジスタは、ソース領域とドレイン領域との間に形成されたゲートを含む。1つ以上の実施形態において、ソース領域及びドレイン領域は、基板のドープされた領域を含み、特定の用途に適したドーピングプロファイルを示す。ゲートは、チャネル領域の上に配置され、ゲート電極と基板内のチャネル領域との間に配置されたゲート誘電体を含む。
本明細書では、「電界効果トランジスタ」又は「FET」という用語は、電界を使用して素子の電気的挙動を制御するトランジスタを指す。エンハンスメントモード電界効果トランジスタは、一般に、低温で非常に高い入力インピーダンスを示す。ドレイン端子とソース端子間の導電率は、素子内の電界によって制御され、この電界は、素子のボディとゲートとの間の電圧差によって形成される。FETの3つの端子は、キャリアがそれを通ってチャネルに入るソース(S)、キャリアがそれを通ってチャネルから出るドレイン(D)、及び、チャネルの導電率を変更する端子であるゲート(G)である。従来では、ソース(S)でチャネルに入る電流はIで示され、ドレイン(D)でチャネルに入る電流はIで示される。ドレイン-ソース間の電圧は、VDSで示される。ゲート(G)に電圧を印加することによって、ドレインでチャネルに入る電流(すなわちI)が制御されうる。
金属酸化膜半導体電界効果トランジスタ(MOSFET:metal-oxide-semiconductor field-effect transistor)は、電界効果トランジスタ(FET)の一種である。金属酸化膜半導体電界効果トランジスタは、絶縁ゲートを有しており、絶縁ゲートの電圧が、素子の導電率を決定する。印加される電圧の量により導電率を変えるこの能力は、電子信号を増幅させ又はスイッチングするために使用される。MOSFETは、ボディ電極と、ボディの上方に位置しておりゲート誘電体層によって他の全ての素子領域から絶縁されたゲート電極と、の間の金属酸化膜半導体(MOS:metal-oxide-semiconductor)キャパシタンスによる電荷濃度の変更に基づいている。MOSキャパシタと比較して、MOSFETは、2つの追加の端子(ソース及びドレイン)を含み、この2つの端子のそれぞれが、ボディ領域によって隔てられた個別の高度にドープされた領域に接続されている。これらの領域は、p型又はn型のいずれかとすることができるが、当該領域は両方とも同じ型であって、ボディ領域とは反対の型である。ソース及びドレインは(ボディとは異なって)、ドーピングの種類の後の符号「+」によって示されるように、高度にドープされている。
MOSFETが、nチャネル又はn型MOS FETである場合には、ソース及びドレインがn+型領域であり、ボディがp型領域である。MOSFETが、pチャネル又はp型MOS FETである場合には、ソース及びドレインがp+型領域であり、ボディがn型領域である。ソースは、チャネルを通って流れる電荷キャリア(nチャネルのための電子、pチャネルのためのホール(正孔))のソースであるため、このように名付けられており、同様に、ドレインは、電荷キャリアがそこでチャネルから離れるところである。
本明細書では、「フィン電界効果トランジスタ(FinFET:fin field-effect transistor)」という用語は、基板上に構築されたMOSFETトランジスタであって、ゲートがチャネルの2つ又は3つの側面上に配置され、二重又は三重のゲート構造を形成するMOSFETトランジスタを指している。FinFET素子は、チャネル領域が基板上に「フィン(fin)」を形成するため、FinFETという総称が与えられている。FinFET素子は、スイッチング時間が速く、電流密度が高い。
本明細書では、「ゲートオールアラウンド(GAA:gate all-around)」という用語は、ゲート材料がチャネル領域の全ての側面を取り囲んでいる電子デバイス、例えばトランジスタを指すために使用されている。GAAトランジスタのチャネル領域は、ナノワイヤ又はナノスラブ、バー形状のチャネル、又は当業者に知られた他の適切なチャネル構成を含みうる。1つ以上の実施形態において、GAA素子のチャネル領域が、垂直方向に離間した複数の水平ナノワイヤ又は水平バーを有しており、GAAトランジスタを、積層された水平ゲートオールアランド(hGAA:horizontal gate-all-around)トランジスタとする。
1つ以上の実施形態において、水平ゲートオールアラウンド(hGAA)トランジスタが、
上面を有する基板と、
基板の上面上の、ソース及びソース接点を有するソース領域と、
基板の上面上の、ドレイン及びドレイン接点を有するドレイン領域と、
ソースとドレインとの間に位置するチャネルであって、基板の上面に対して実質的に平行な軸を有するチャネルと、
ソース領域とドレイン領域との間のチャネルを包囲するゲートと、
ゲート、ソース接点、又はドレイン接点のうちの1つ以上の上に存在し、これらと接触する熱酸化物層と、
熱酸化物層の上にある低誘電率誘電体層と、
を含む。
1つ以上の実施形態において、低誘電率誘電体層は、約5nm未満の厚さを有する。
本開示の1つ以上の実施形態は、水平ゲートオールアラウンド素子を形成する方法を対象とする。いくつかの実施形態は有利に、活性領域内にドーパントを拡散させてチャネルにドープし、閾値電圧(ゲート電圧とも呼ばれる)をずらす方法を提供する。いくつかの実施形態において、チャンネル表面が、適切なドーパント含有ガス(例えば、B)に曝露され、続いて、適切なアニーリング(例えば、800℃のレーザアニール)が行われる。いくつかの実施形態は有利に、hGAA素子を形成するための欠陥のないシンプルな方法を提供する。いくつかの実施形態は有利に、ナノワイヤ又はナノスラブの間の開放空間によって制約されない。
いくつかの実施形態において、hGAA素子を形成する方法が、ワイヤリリース(ナノワイヤの周りに空間ができるようにSiGe剥離層をエッチング)と、置換金属ゲート(ゲート誘電体、仕事関数金属膜及び関連する材料膜の堆積)と、の間に1つ以上のプロセスを挿入するように増強される。いくつかの実施形態において、本方法は、ワイヤをドーパント含有ガスに曝露し、(例えば、レーザアニール又はスパイクアニールによって)ウエハをアニールする。いくつかの実施形態は有利に、原子的に見て清浄な(例えば、酸化物を含まない)表面を提供する。いくつかの実施形態において、チャネルのドーピング、及び得られるVtが、温度、又はガスへの曝露のうちの1つ以上によって調整される。
本開示の実施形態は、ホウ素ドーピング及びnFETに関して記載されるが、当業者は、本開示がこの種のトランジスタに限定されないことが分かるであろう。いくつかの実施形態において、pFETのチャネルドーピング及び閾値電圧のための1つ以上の方法が、適切なp型ドーピングガスを使用して開示される。
図1は、本開示のいくつかの実施形態に係る半導体素子を形成する方法100のフローチャートを示している。方法100は、以下では、本開示のいくつかの実施形態に係る半導体構造の作製段階を示す図2A~図2Hに関して記載される。発明の方法100は、半導体素子の、複数ステップによる製造プロセスの一部でありうる。これに対応して、本方法は、クラスタツールに結合された任意の適切な処理チャンバ内で実施されうる。クラスタツールは、エッチング、堆積、物理的気相堆積(PVD:physical vapor deposition)、化学気相堆積(CVD:chemical vapor deposition)、酸化のために構成されたチャンバ、又は半導体素子の作製に使用される任意の他の適切なチャンバといった、半導体素子を製造するための処理チャンバを含みうる。
方法100は、102において、(図2Aに示すような)上面202を有する基板200を提供することによって開始される。いくつかの実施形態において、基板200は、バルク半導体基板でありうる。バルク半導体基板という用語は、基板の全体が半導体材料で構成された基板を指している。バルク半導体基板は、半導体構造を形成するための任意の適切な半導体材料及び/又は半導体材料の組み合わせを含みうる。例えば、半導体層は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、パターニングされた又はパターニングされていないウエハ、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、又は他の適切な半導体材料といった、1つ以上の材料を含みうる。いくつかの実施形態において、半導体材料がケイ素(Si)である。いくつかの実施形態において、半導体材料が、N型ドープされたケイ素(n-Si)、又はP型ドープされたケイ素(p-Si)といった、ドープされた材料でありうる。いくつかの実施形態において、基板は、イオン注入プロセスといった任意の適切なプロセスを使用してドープされうる。いくつかの実施形態において、基板は、底部の寄生素子のターンオンを防止するために基板200の表面の第1の位置で大量のドーパントを供給するように、ドープされうる。超格子構造が、上記第1の位置の上に形成される。例えば、いくつかの実施形態において、基板の表面が、約1018atoms/cm~約1019atoms/cmのドーパント密度を有しうる。
少なくとも1つの超格子構造204が、(図2Aに描かれているように)基板200の上面202の上に形成される。超格子構造204は、複数の積層された対において交互に配置された複数の第1の層及び対応する複数の第2の層を含む。いくつかの実施形態において、上記複数の積層された層群が、ケイ素(Si)及びシリコンゲルマニウム(SiGe)の群と、インジウムリン(InP)及びインジウムガリウムリン(InGaP)の群と、を含む。いくつかの実施形態において、複数の第1の層及び対応する複数の第2の層は、超格子構造204を形成するのに適した任意の数の格子整合材料対を含みうる。いくつかの実施形態において、複数の第1の層及び対応する複数の第2の層は、2~50対の格子整合材料を含む。
典型的に、寄生素子が、超格子構造204の底部に存在することになるであろう。いくつかの実施形態において、上述したように、基板へのドーパントの注入が、寄生素子のターンオンを抑制するために使用される。いくつかの実施形態において、基板200は、除去されない基板部分を超格子構造204の底部が含むように、エッチングされ、当該基板部分が超格子構造204の底面剥離層として機能することが可能となる。
いくつかの実施形態における第1の層及び第2の層の厚さは、約2nm~約50nmの範囲内、又は約3nm~約20nmの範囲内にある。いくつかの実施形態において、第1の層の平均厚さは、第2の層の平均厚さの0.5~2倍の範囲内にある。
いくつかの実施形態において、誘電材料246が、従来の化学気相堆積方法を使用して基板200上に堆積させられる。いくつかの実施形態において、誘電材料246は、基板200の上面202より下方へと凹んでおり、これにより、超格子構造204の底部が基板200から形成される。
いくつかの実施形態において、置換ゲート構造(例えば、ダミーゲート構造208)が、超格子構造204の上に形成される。ダミーゲート構造208は、トランジスタ素子のチャネル領域を画定する。ダミーゲート構造208は、当該技術分野で知られた任意の適切な従来の堆積及びパターニングプロセスを使用して形成されうる。
いくつかの実施形態において、側壁スペーサ210が、ダミーゲート構造208の外側側壁に沿って形成される。いくつかの実施形態の側壁スペーサ210は、当該技術分野で知られる適切な絶縁材料、例えば、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、炭化ケイ素などを含む。いくつかの実施形態において、側壁スペーサ210が、原子層堆積、プラズマ強化原子層堆積、プラズマ強化化学気相堆積、又は減圧化学気相堆積といった、当該技術分野で知られた任意の適切な従来の堆積及びパターニングプロセスを使用して形成される。
いくつかの実施形態において、埋め込まれたソース領域232及びドレイン領域234が、ソーストレンチ内及びドレイントレンチ内にそれぞれ形成される。いくつかの実施形態において、ソース領域232が、超格子構造204の第1の端部に隣接して形成され、ドレイン領域234が、超格子構造の対向する第2の端部に隣接して形成される。図2Aに示される実施形態では、ソース領域232又はドレイン領域234の一方が、超格子構造204の正面では示されていない。超格子構造204の他方の端部が、ソース領域232又はドレイン領域234の他方を有する。いくつかの実施形態において、ソース領域232及び/又はドレイン領域234が、ケイ素、ゲルマニウム、シリコンゲルマニウムなどといった任意の適切な半導体材料から形成されるが、これらに限定されない。いくつかの実施形態において、ソース領域232及びドレイン領域234が、エピタキシャル堆積プロセスといった任意の適切な堆積プロセスを使用して形成されうる。
いくつかの実施形態において、層間誘電体(ILD:inter-layer dielectric)層220が、基板200、ソース領域232/ドレイン領域234、ダミーゲート構造208、及び側壁スペーサ210の上にブランケット堆積される。ILD層220は、従来の化学気相堆積方法(例えば、プラズマ強化化学気相堆積及び減圧化学気相堆積)を使用して堆積されうる。一実施形態において、ILD層220が、ドープされていない酸化ケイ素、ドープされた酸化ケイ素(例えば、BPSG、PSG)、窒化ケイ素、及び酸窒化ケイ素といった任意の良く知られた誘電材料から形成されるが、これらには限定されない。その後、ILD層220が、従来の化学機械的な平坦化方法を使用して研磨されて、元のようにダミーゲート構造208の上部が露出する。いくつかの実施形態において、ILD層220が研磨されて、ダミーゲート構造208の上部及び側壁スペーサ210の上部が露出する。
プロセス104では、図2Bに示すように、ダミーゲート構造208が除去されて、超格子構造204のチャネル領域214が露出する。ダミーゲート構造208が除去される間、ILD層220が、ソース領域232/ドレイン領域234を保護する。ダミーゲート構造208は、プラズマドライエッチング又はウェットエッチングといった従来のエッチング方法を使用して除去されうる。いくつかの実施形態において、ダミーゲート構造208がポリシリコンを含み、ダミーゲート構造が選択的エッチングプロセスによって除去される。いくつかの実施形態において、ダミーゲート構造208がポリシリコンを含み、超格子構造204が、ケイ素(Si)とシリコンゲルマニウム(SiGe)とが交互になった層を含む。
プロセス106では、図2Cに示すように、超格子構造204内の半導体材料層224の間の剥離層226が選択的にエッチングされる。例えば、超格子構造204が、ケイ素層及びシリコンゲルマニウム層で構成される場合には、シリコンゲルマニウムが選択的にエッチングされて、チャネルナノワイヤ240を形成する。剥離層226、例えばシリコンゲルマニウムは、半導体材料層224の層に対して選択的な任意の周知のエッチャントを使用して除去することができ、ここで、エッチャントは、半導体材料層224の層よりも著しく速い速度で、剥離層226の層をエッチングする。いくつかの実施形態において、選択的ドライエッチング又はウェットエッチングプロセスが使用されうる。いくつかの実施形態において、半導体材料層224がケイ素であり、剥離層226がシリコンゲルマニウムである場合には、シリコンゲルマニウムの層が、限定はしないが、カルボン酸/硝酸/HF水溶液、及びクエン酸/硝酸/HF水溶液といったウェットエッチング剤を使用して、選択的に除去されうる。剥離層226が除去されると、半導体材料層224の間にボイド228が残る。半導体材料層224間のボイド228は、厚さが約3nm~約20nmである。残った半導体材料層224が、ソース領域232/ドレイン領域234に結合されたチャネルナノワイヤ240の垂直アレイを形成する。チャネルナノワイヤ240は、基板200の上面202に対して平行に延びており、チャネルナノワイヤ240の単一の列を形成するよう互いに揃えられている。ソース領域232及びドレイン領域234の形成、並びに、任意の横方向エッチング停止層(図示せず)の形成によって、有利に、チャネル構造の形成における自己整合及び構造的完全性がもたらされる。
プロセス108では、図2Dに示されるように、チャネル領域214内で露出した半導体材料層224が、1つ以上のドーピングプロセスに曝されて、ドープされた半導体材料層245を形成する。いくつかの実施形態において、露出した半導体材料層224にドープすることが、半導体材料層224をドーパントガスに曝すことによって行われる。ドーパントガスは、半導体材料層224と反応しうる当業者に知られた任意の適切なガスとすることができる。いくつかの実施形態において、ドーパントがn型ドーパントである。いくつかの実施形態において、ドーパントがp型ドーパントである。いくつかの実施形態において、ドーパントが、ホウ素(B)、アルミニウム(Al)、インジウム(In)、リン(P)、ガリウム(Ga)、ヒ素(As)、窒素(N)、又はアンチモン(Sb)のうちの1つ以上から選択される。いくつかの実施形態において、ドーパントガスがBを含む。いくつかの実施形態において、ドーパントガスが、本質的にBからなる。このように使用されるとき、「本質的に~からなる(consists essentially of)」という表現は、活性ドーパント種の合計が、総ドーピング種の約95%、98%、99%又は99.5%以上であることを意味する。例えば、半導体材料層224又は他の気体種に対して不活性のままである希釈ガス及び/又はキャリアガスは含まれない。
いくつかの実施形態において、1つ以上のドーピングプロセスが、アニールプロセスを含む。1つ以上の実施形態において、半導体材料層224をドーパントガスに曝すことによって、ドーパントの濃度勾配は、ドープされた半導体材料層245の外側端面におけるドーパント濃度がドープされた半導体材料層245の内側部分における濃度よりも高くなるように、形成される。いくつかの実施形態において、ドーパント濃度が、アニーリング後で不均一のままである。いかなる特定の動作理論にも拘束されることなく、ドーパントの均一性の度合が、素子をターンオンするためのゲート電圧、又は半導体部分の通電能力に影響を与えると考えられる。いくつかの実施形態において、ドーパント濃度勾配が、最も外側の0.5nm、0.75nm、1nm、1.25nm、1.5nm、1.75nm又は2nmにわたって濃度が一桁下がるように制御される。
いくつかの実施形態において、アニーリングによって、ドープされた半導体材料層245内のドーパント濃度が、ドープされた半導体材料層245の厚さ全体で均一化され又はより均一に分布するようになる。いくつかの実施形態において、ドープされた半導体材料層245が、当該層の表面からの任意の所与の距離において、平均ドーパント濃度の50%、60%、70%、80%、90%又は95%の範囲内にあるドーパント濃度を有する。
いくつかの実施形態において、ドープされた半導体材料層245のいずれかの総ドーパント濃度が、約1017~1021atoms/cmの範囲内にある。いくつかの実施形態において、ドープされた半導体材料層245のいずれかの総ドーパント濃度が、約1018~1019atoms/cmの範囲内にある。いくつかの実施形態において、ドーパントは、約1019atoms/cmの総ドーパント濃度を有するホウ素を含む。
ドープされた半導体材料層245のアニーリングは、当業者に知られた任意の適切なアニーリングプロセス及び条件によって行われる。いくつかの実施形態において、アニーリングは、スパイクアニール又はレーザアニールのうちの1つ以上を含む。
方法100のプロセス110は、いくつかの実施形態に係る1つ以上のポストドーピング処理を表している。1つ以上のポストドーピングプロセスは、hGAA素子を完成させるために、当業者に知られたプロセスのいずれかによって行われうる。図2E及び図2Fを参照すると、いくつかの実施形態において、酸化物層252が、ドープされた半導体材料層245上で形成され又は成長する。酸化物層252は、当業者に知られた任意の適切な技術によって形成される任意の適切な酸化物でありうる。
図示の実施形態では、高誘電率誘電体254が、酸化物層252上に形成されている。高誘電率誘電体254は、当業者に知られた任意の適切な堆積技術によって堆積させられた任意の適切な高誘電率誘電材料とすることができる。いくつかの実施形態の高誘電率誘電体254は、酸化ハフニウムを含む。いくつかの実施形態において、窒化チタン、タングステン、コバルト、アルミニウム等といった導電性材料256が、高誘電率誘電体254上に存在する。導電性材料256は、原子層堆積(ALD)といった任意の適切な堆積プロセスを使用して形成され、各ドープされた半導体材料層245の周囲の、均一な厚さを有する層の形成を保証する。
いくつかの実施形態において、ゲート電極242が、基板200上に形成されており、ドープされた半導体材料層245のそれぞれを囲んでいる。ゲート電極242は、当該技術分野で知られた任意の適切なゲート電極材料から形成されうる。ゲート電極材料は、原子層堆積(ALD)といった任意の適切な堆積プロセスを使用して堆積させられており、ゲート電極242が、ドープされた半導体材料層245のそれぞれの周り及びドープされた半導体材料層245のそれぞれの間に形成されることを保証する。本明細書に記載の方法を使用して形成された、結果的に得られた素子は、本開示の一実施形態に係る水平ゲートオールアラウンド素子である。本開示のいくつかの実施形態は、ソース領域とドレイン領域との間のチャネル内のナノワイヤ又はナノシートとしてのドープされた半導体材料層245を含む水平ゲートオールアラウンド素子を対象とする。
いくつかの実施形態は、ゲート電圧が約0.400V以上の水平ゲートオールアラウンド素子を含む半導体素子を対象とする。いくつかの実施形態において、上記素子のゲート電圧は、約0.425V以上であり、すなわち0.450Vである。いくつかの実施形態において、上記素子をターンオンするために要するゲート電圧が、ドーパントを含まない類似した素子をターンオンするために必要なゲート電圧の約140%以上である。いくつかの実施形態において、上記素子をターンオンにするために要するゲート電圧が、ドーパントを含まない類似した素子をターンオンするためのゲート電圧の1~2倍の範囲内にある。このように使用される場合、「類似した素子(similar device)」は、ドーピングプロセス無しで、注目する素子と同じやり方で準備される。
本明細書全体を通じての、「一実施形態(one embodiment)」、「或る特定の実施形態(certain embodiments)」、「1つ以上実施形態(one or more embodiments)」、又は、「或る実施形態(an embodiment)」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、本明細書全体の様々な箇所での「1つ以上の実施形態で」、「或る特定の実施形態で」、「一実施形態で」、又は「或る実施形態において」などの表現の表出は、必ずしも、本開示の同じ実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特性は、1つ以上の実施形態において、任意の最適なやり方で組み合わされうる。
本明細書の開示は具体的な実施形態を参照して説明されているが、当業者は、記載される実施形態が本開示の原則及び用途の単なる例示であることが分かるであろう。本開示の思想及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な修正及び変更を行い得ることが、当業者には明らかであろう。ゆえに、本開示は、添付の特許請求の範囲及びその均等物の範囲にある修正例及び変形例を含みうる。

Claims (19)

  1. 半導体素子を形成する方法であって、
    複数の積層された対において交互に配置された複数の第1の層及び対応する複数の第2の層を含む超格子構造を、前記第1の層のそれぞれ又は前記第2の層のそれぞれを除去するために選択的にエッチングして、前記超格子構造内の複数のボイドと、ソース領域とドレイン領域との間に延在する複数の半導体材料層と、を形成することと、
    前記複数の半導体材料層にドーパント含有ガスをドープして、前記半導体材料層に損傷を生じさせることなく、ドープされた半導体材料層を形成することと、
    を含む、方法。
  2. ドーパントガス源が、前記半導体材料層上のドーパントの表面濃度を形成する、請求項1に記載の方法。
  3. 前記ドーパントの表面濃度を含む前記半導体材料層をアニール環境に曝露して、前記半導体材料層の厚さ全体にわたって、ドーパントの濃度を均一化することをさらに含む、請求項2に記載の方法。
  4. 前記アニール環境は、温度が約750℃以上である、請求項3に記載の方法。
  5. アニーリングが約5秒以下の間行われる、請求項4に記載の方法。
  6. 前記半導体材料層の前記厚さ全体にわたる前記ドーパントの濃度が、約1017atoms/cm~約1021atoms/cmの範囲内にある、請求項3に記載の方法。
  7. 前記ドーパントが、約1019atoms/cmのホウ素を含む、請求項6に記載の方法。
  8. 前記半導体素子が、ゲート電圧が約0.400V以上の水平ゲートオールアラウンド素子を含む、請求項6に記載の方法。
  9. 前記素子をターンオンするために要する前記ゲート電圧が、前記ドーパントを含まない素子をターンオンするために要する前記ゲート電圧の約140%以上である、請求項8に記載の方法。
  10. 前記素子をターンオンするために要する前記ゲート電圧が、前記ドーパントを含まない素子をターンオンするための前記ゲート電圧の1~2倍の範囲内にある、請求項8に記載の方法。
  11. 基板の上面上に前記超格子構造を形成することをさらに含む、請求項1に記載の方法。
  12. 前記超格子構造の第1の端部に隣接する前記ソース領域と、前記超格子構造の対向する第2の端部に隣接する前記ドレイン領域と、を形成することを更に含む、請求項11に記載の方法。
  13. 前記第1の層が、少なくとも1つのIII-V族材料を含み、前記第2の層が、少なくとも1つのIII-V族材料を含み、前記第1の層と前記第2の層とは異なる材料を含む、請求項1に記載の方法。
  14. 前記第1の層がシリコンゲルマニウム(SiGe)を含み、前記第2の層がケイ素(Si)を含む、請求項13に記載の方法。
  15. 前記超格子構造を選択的にエッチングすることが、シリコンゲルマニウム(SiGe)を含む前記第1の層をエッチングし、ケイ素(Si)を含む前記第2の層を残すことを含む、請求項14に記載の方法。
  16. 前記第1の層及び前記第2の層の厚さは、それぞれ約3nm~約20nmである、請求項1に記載の方法。
  17. ソース領域とドレイン領域との間に複数の水平ドープ半導体材料層を含む、水平ゲートゲートオールアラウンド素子。
  18. 前記水平ドープ半導体材料層が、均一濃度のドーパントを含む、請求項17に記載の素子。
  19. 命令が格納されたコンピュータ可読媒体であって、前記命令は、実行されると、半導体素子を形成する方法を引き起こす、コンピュータ可読媒体であって、
    前記方法が、
    複数の積層された対において交互に配置された複数の第1の層及び対応する複数の第2の層を含む超格子構造を、前記第1の層のそれぞれ又は前記第2の層のそれぞれを除去するために選択的にエッチングして、前記超格子構造内の複数のボイドと、ソース領域とドレイン領域との間に延在する複数の半導体材料層と、を形成することと、
    前記複数の半導体材料層にドーパント含有ガスをドープして、前記半導体材料層に損傷を生じさせることなく、ドープされた半導体材料層を形成することと、を含む、コンピュータ可読媒体。
JP2022523177A 2019-10-21 2020-10-19 水平ゲートオールアラウンド(hGAA)ナノワイヤ及びナノスラブトランジスタ Pending JP2022552417A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201962924120P 2019-10-21 2019-10-21
US62/924,120 2019-10-21
US202063014389P 2020-04-23 2020-04-23
US63/014,389 2020-04-23
US17/073,505 2020-10-19
PCT/US2020/056282 WO2021080908A1 (en) 2019-10-21 2020-10-19 Horizontal gaa nano-wire and nano-slab transistors
US17/073,505 US11495500B2 (en) 2019-10-21 2020-10-19 Horizontal GAA nano-wire and nano-slab transistors

Publications (1)

Publication Number Publication Date
JP2022552417A true JP2022552417A (ja) 2022-12-15

Family

ID=75491418

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022523177A Pending JP2022552417A (ja) 2019-10-21 2020-10-19 水平ゲートオールアラウンド(hGAA)ナノワイヤ及びナノスラブトランジスタ

Country Status (6)

Country Link
US (2) US11495500B2 (ja)
JP (1) JP2022552417A (ja)
KR (2) KR20230004951A (ja)
CN (1) CN114616654A (ja)
TW (1) TWI805947B (ja)
WO (1) WO2021080908A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220077508A (ko) * 2020-12-02 2022-06-09 삼성전자주식회사 반도체 소자 및 그의 제조 방법

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2589539A1 (en) 2004-12-07 2006-06-15 Thunderbird Technologies, Inc. Strained silicon, gate engineered fermi-fets
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
KR101009350B1 (ko) 2007-08-30 2011-01-19 주식회사 하이닉스반도체 폴리실리콘 도핑방법 및 이를 이용한 듀얼-폴리 게이트제조방법
US8846511B2 (en) 2013-02-12 2014-09-30 Globalfoundries Inc. Methods of trimming nanowire structures
US9000536B2 (en) * 2013-06-28 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor having a highly doped region
US9673277B2 (en) * 2014-10-20 2017-06-06 Applied Materials, Inc. Methods and apparatus for forming horizontal gate all around device structures
TWI723993B (zh) * 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
US9460920B1 (en) 2015-05-11 2016-10-04 Applied Materials, Inc. Horizontal gate all around device isolation
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9929266B2 (en) 2016-01-25 2018-03-27 International Business Machines Corporation Method and structure for incorporating strain in nanosheet devices
CN109564934B (zh) * 2016-04-25 2023-02-21 应用材料公司 水平环绕式栅极元件纳米线气隙间隔的形成
US9842835B1 (en) * 2016-10-10 2017-12-12 International Business Machines Corporation High density nanosheet diodes
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10276452B1 (en) 2018-01-11 2019-04-30 International Business Machines Corporation Low undercut N-P work function metal patterning in nanosheet replacement metal gate process
CN109273441B (zh) * 2018-09-03 2020-12-08 芯恩(青岛)集成电路有限公司 半导体器件结构及其制作方法

Also Published As

Publication number Publication date
TW202129766A (zh) 2021-08-01
KR20210049121A (ko) 2021-05-04
US20210119005A1 (en) 2021-04-22
CN114616654A (zh) 2022-06-10
US11495500B2 (en) 2022-11-08
WO2021080908A4 (en) 2021-06-03
TWI805947B (zh) 2023-06-21
WO2021080908A1 (en) 2021-04-29
TW202339262A (zh) 2023-10-01
KR20230004951A (ko) 2023-01-06
US20230014586A1 (en) 2023-01-19

Similar Documents

Publication Publication Date Title
US10103264B2 (en) Channel strain control for nonplanar compound semiconductor devices
US9153657B2 (en) Semiconductor devices comprising a fin
US6645797B1 (en) Method for forming fins in a FinFET device using sacrificial carbon layer
US9640660B2 (en) Asymmetrical FinFET structure and method of manufacturing same
TWI819327B (zh) 用於環繞式閘極電晶體的選擇性矽蝕刻
US9911601B2 (en) Epitaxial silicon germanium fin formation using sacrificial silicon fin templates
US20230014586A1 (en) Horizontal gaa nano-wire and nano-slab transistors
US9875944B2 (en) Method to improve HCI performance for FinFET
US10714477B2 (en) SiGe p-channel tri-gate transistor based on bulk silicon and fabrication method thereof
KR20240038102A (ko) 최하부 유전체 아이솔레이션 층들을 형성하는 방법
US11749744B2 (en) Fin structure for vertical transport field effect transistor
TW202230533A (zh) 環繞式閘極元件之形成
JP2023536856A (ja) ゲートオールアラウンドナノシート入出力デバイスのためのコンフォーマル酸化
US10388575B2 (en) Semiconductor devices and fabrication methods thereof
TWI838260B (zh) 水平gaa奈米線及奈米平板電晶體
US20220246742A1 (en) Gate all around device with fully-depleted silicon-on-insulator
US20240014214A1 (en) INTEGRATING STRAIN SiGe CHANNEL PMOS FOR GAA CMOS TECHNOLOGY
KR20230032967A (ko) 게이트-올-어라운드 트랜지스터에서의 소스 드레인 형성
KR20230020364A (ko) 최하부 유전체를 이용한 나노시트 소스 드레인 형성을 위한 템플레이트

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220613

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230511

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230912

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20231219