JP2023536856A - ゲートオールアラウンドナノシート入出力デバイスのためのコンフォーマル酸化 - Google Patents

ゲートオールアラウンドナノシート入出力デバイスのためのコンフォーマル酸化 Download PDF

Info

Publication number
JP2023536856A
JP2023536856A JP2023506032A JP2023506032A JP2023536856A JP 2023536856 A JP2023536856 A JP 2023536856A JP 2023506032 A JP2023506032 A JP 2023506032A JP 2023506032 A JP2023506032 A JP 2023506032A JP 2023536856 A JP2023536856 A JP 2023536856A
Authority
JP
Japan
Prior art keywords
semiconductor material
layer
layers
oxide
superlattice structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023506032A
Other languages
English (en)
Inventor
ミョンソン キム,
マイケル ストルフィ,
ベンジャミン コロンボー,
アンディ ロー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023536856A publication Critical patent/JP2023536856A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/157Doping structures, e.g. doping superlattices, nipi superlattices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1604Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

水平ゲートオールアラウンドデバイスとその製造方法について記載されている。hGAAデバイスは、デバイスのソース領域とドレイン領域との間の半導体材料の上に酸化層を含む。方法は、電子デバイスのソース領域とドレイン領域との間の半導体材料層のラジカルプラズマ酸化(RPO)を含む。【選択図】図2F

Description

[0001] 本開示の実施形態は、概して、基板特徴を充填する方法に関する。より具体的には、本開示の実施形態は、高品質なI/O酸化物を形成するための方法を対象としている。
[0002] トランジスタは、ほとんどの集積回路において重要な構成要素となっている。トランジスタの駆動電流、及びその結果である速度は、トランジスタのゲート幅に比例するため、一般的に高速なトランジスタほどゲート幅を大きくする必要がある。そのため、トランジスタのサイズと速度はトレードオフの関係にあり、最大駆動電流と最小サイズという相反する目標を達成するために、フィン型電界効果トランジスタ(finFET)が開発された。FinFETは、トランジスタの実装面積を著しく増大させずにトランジスタのサイズを大幅に増大できるフィン型チャネル領域を特徴とし、現在多くの集積回路に適用されている。しかし、FinFETには特有の欠点がある。
[0003] 回路密度の向上と高性能化を実現するため、トランジスタデバイスの特徴サイズは縮小し続けており、静電結合を改善し、寄生容量やオフ状態でのリーク電流などの悪影響を低減するためのトランジスタデバイス構造の改良が求められている。トランジスタの構造の例としては、平面構造、フィン型電界効果トランジスタ(FinFET)構造、水平ゲートオールアラウンド(hGAA)構造などが挙げられる。hGAAデバイス構造は、積層構成でサスペンドされ、かつソース/ドレイン領域によって接続された、いくつかの格子整合チャネルを含む。hGAA構造は、優れた静電制御をもたらし、相補型金属酸化物半導体(CMOS)ウエハの製造に広く採用することが可能である。
[0004] 従来のI/O酸化物処理は、現場以外で予洗浄するため、低品質な自然酸化物の再成長、低密度のALD型酸化物堆積、ナノシート間のスペースが直線的に減少する堆積、ダウンストリーム集積(すなわちマルチVt)の制限、並びに、ALD膜を高密度化するための追加の後処理をもたらし、コストが増大し、複雑さを増す。
[0005] 本開示の1つ又は複数の実施形態は、半導体デバイスを形成する方法を対象とする。この方法は、複数の半導体材料層を予洗浄し、自然酸化物及び/又は残留物を除去すること、及び複数の半導体材料層上に酸化物層を形成することを含む。
[0006] 本開示の別の実施形態は、水平ゲートオールアラウンドデバイスを対象としている。処理装置は、ソース領域とドレイン領域との間の複数の水平半導体材料層を取り囲む酸化物層を含む。
[0007] 本開示の他の実施形態は、処理チャンバのコントローラによって実行されると、処理チャンバに、自然酸化物及び/又は残留物を除去するため複数の半導体材料層を予洗浄する工程と、ラジカルプラズマ酸化を使用して複数の半導体材料層上に酸化物層を形成する工程とを行わせる命令を含む非一時的コンピュータ可読媒体を対象とする。
[0008] 上述の本開示の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、添付の図面に例示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面は、この開示の典型的な実施形態のみを例示しており、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
1つ又は複数の実施形態による、方法の処理フロー図である。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施態様による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、電子デバイスの断面図を示す。 1つ又は複数の実施形態による、クラスタツールを示す。
[0013] 本開示のいくつかの例示的な実施形態について説明する前に、本開示は以下の説明で明示される構造又は処理ステップの詳細に限定されるわけではないことを理解されたい。本開示は、他の実施形態も実現可能であり、様々な方法で実践又は実行することが可能である。
[0014] 本明細書及び添付の特許請求の範囲において使用される「基板」という用語は、処理が作用する表面又は表面の一部分を表している。基板に対して言及がなされるとき、文脈上他のことが明示されない限り、基板の一部のみを指すことがありうることも、当業者は理解されたい。さらに、基板上への堆積に対して言及がなされるとき、それは、ベア基板と、1つ又は複数の膜又は特徴が堆積又は形成された基板との両方を意味しうる。
[0015] 本明細書で使用される「基板」とは、製造処理中に膜処理が実施される任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実施されうる基板表面には、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(silicon on insulator:SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及びその他の導電性材料など、他の任意の材料が含まれる。基板は、半導体ウエハを含むが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化(又はさもなければ化学官能性を付与するためにターゲット化学部分(chemical moieties)を生成又は接合する)、アニール、及び/又はベークするための前処理プロセスに曝露されてもよい。基板自体の表面上で直接膜処理することに加えて、本開示では、開示された任意の膜処理ステップは、以下でより詳細に開示される基板上に形成された下層にも実施されうる。「基板表面」という用語は、文脈が示すように、かかる下層を含むことが意図される。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積している場合、新たに堆積した膜/層の露出面が基板表面となる。所与の基板表面が何を含むかは、どのような膜が堆積されるか、並びに使用される特定の化学的性質に左右されることになる。
[0016] 本明細書及び添付の特許請求の範囲で使用される「前駆体」、「反応物」、「反応性ガス」などの用語は、基板表面と反応しうる任意のガス種を指すために、交換可能に使用される。
[0017] トランジスタは、半導体デバイス上に形成されることが多い、回路構成要素又は回路素子である。回路設計に応じて、キャパシタ、インダクタ、抵抗、ダイオード、導電線、又は他の素子に加えて、トランジスタが半導体デバイス上に形成される。一般的に、トランジスタは、ソース領域とドレイン領域との間に形成されるゲートを含む。1つ又は複数の実施形態では、ソース領域及びドレイン領域は、ドープされた基板領域を含んでよく、特定の応用に適したドーピングプロファイルを示しうる。ゲートは、チャネル領域の上に配置されるものであり、基板のゲート電極とチャネル領域との間に介在するゲート誘電体を含む。
[0018] 本明細書で使用される「電界効果トランジスタ」又は「FET」という用語は、電界を使用してデバイスの電気的挙動を制御するトランジスタを指す。エンハンスメントモード電界効果トランジスタは、一般的に低温で非常に高い入力インピーダンスを示す。ドレイン端子とソース端子との間の伝導度は、本体とデバイスのゲートとの間の電圧差によって発生するデバイス内の電界によって制御される。FETの3つの端子は、キャリアがチャネルに入るソース(S)と、キャリアがチャネルから出るドレイン(D)と、チャネルの伝導度を調整するゲート(G)である。慣例的に、ソース(S)からチャネルに入る電流はI、ドレイン(D)からチャネルに入る電流はIと表記される。ドレイン-ソース間電圧はVDSと表記される。ゲート(G)に電圧を印加することによって、ドレインでチャネルに入る電流(すなわち、I)を制御することができる。
[0019] 金属酸化膜半導体電界効果トランジスタ(MOSFET)は電界効果トランジスタ(FET)の一種である。これは絶縁ゲートを有し、その電圧がデバイスの導電率を決定する。印加される電圧量に応じて導電率を変化させるこの能力は、電子信号を増幅させるか、又は切り替えるために使用される。MOSFETは、本体電極と本体上部に位置し、ゲート誘電体層によって他のすべてのデバイス領域から絶縁されたゲート電極との間の金属酸化膜半導体(MOS)容量による電荷集中の変調に基づいている。MOSキャパシタと比較して、MOSFETは2つの端子(ソースとドレイン)を有し、それぞれが本体領域によって分離された個々の高ドープ領域に接続されている。これらの領域は、p型でもn型でもよいが、いずれも同じ型であり、本体領域とは逆の型である。ドーピングの型名の後に「+」符号が示されているように、ソースとドレインは(本体とは異なり)高濃度ドーピングされている。
[0020] MOSFETがnチャネル型すなわちnMOS FETの場合には、ソースとドレインはn+領域で、本体はp領域となる。MOSFETがpチャネル型すなわちpMOS FETの場合には、ソースとドレインはp+領域で、本体はn領域となる。ソースは、チャネルを流れる電荷キャリア(nチャネルは電子、pチャネルは正孔)の供給源であることからこのように名付けられており、同様にドレインは、電荷キャリアがチャネルから離れる場所であることから、このように名付けられている。
[0021] 本明細書で使用される「フィン型電界効果トランジスタ(FinFET)」という用語は、チャネルの2面又は3面にゲートを配置し、ダブルゲート構造又はトリプルゲート構造を形成した基板上に作られたMOSFETトランジスタを指す。FinFETデバイスは、チャネル領域が基板上で「フィン」を形成していることから、FinFETという一般名が付けられている。FinFETデバイスは、高速なスイッチング時間と高い電流密度を有する。
[0022] 本明細書で使用される「ゲートオールアラウンド(GAA)」という用語は、ゲート材料がチャネル領域をすべての面で取り囲む電子デバイス(例えば、トランジスタ)を指す。GAAトランジスタのチャネル領域は、ナノワイヤ又はナノスラブ、バー型チャネル、又は当業者に既知の他の適切なチャネル構成を含みうる。1つ又は複数の実施形態では、GAAデバイスのチャネル領域は、複数の水平ナノワイヤ又は水平バーを垂直方向に間隔を空けて有し、GAAトランジスタを積層型水平ゲートオールアラウンド(hGAA)トランジスタにする。
[0023] 本明細書で使用される「ナノワイヤ」という用語は、ナノメートル(10-9メートル)の単位の直径を有するナノ構造を指す。ナノワイヤはまた、長さと幅の比が1000を超えると定義することもできる。あるいは、厚さや直径が数十ナノメートル以下に制限され、長さが制限されていない構造体をナノワイヤと定義することもできる。ナノワイヤは、トランジスタや一部のレーザ用途に使用され、1つ又は複数の実施形態では、半導体材料、金属材料、絶縁材料、超伝導材料、又は分子材料で作られている。1つ又は複数の実施形態では、ナノワイヤは、ロジックCPU、GPU、MPU、及び揮発性(例えば、DRAM)及び不揮発性(例えば、NAND)デバイス用のトランジスタに使用される。
[0024] 従来のI/O酸化物処理は、現場以外で予洗浄するため、低品質な自然酸化物の再成長、低密度のALD型酸化物堆積、ナノシート間のスペースが直線的に減少する堆積、ダウンストリーム集積(すなわちマルチVt)の制限、並びに、ALD膜を高密度化するための追加の後処理をもたらし、コストが増大し、複雑さを増す。したがって、1つ又は複数の実施形態は、低品質な自然酸化物を除去し、再成長を抑制し、予洗浄とI/O酸化物形成との間のq時間を排除する、統合的かつ選択的な予洗浄処理を有利に提供する。1つ又は複数の実施形態では、酸化は密度が高いという利点があり、処理後の高密度化のステップが不要となる。1つ又は複数の実施形態では、シリコンナノシート(NS)は、成長する間に消費されるという利点があり、より多くのNS-NS空間を作り出し、ダウンストリーム集積化窓(すなわち、マルチVt)を促進することができる。いくつかの実施形態では、表面を部分的に酸化することによって、ゲートとインナースペーサの誘電率(k値)を低下させる利点がある。
[0025] 本開示の1つは複数の実施形態は、図を参照して説明される。1つ又は複数の実施形態の方法では、標準的な処理フローを使用してオールアラウンドトランジスタが製造される。1つ又は複数の実施形態では、ダミーゲート除去後、統合されたインシトゥ(その場)予洗浄が行われ、ダミーゲート酸化物が除去される。これに続いて、コンフォーマル酸化、スペーサ処理が真空を破らずに行われる。
[0026] 図1は、本開示のいくつかの実施形態による半導体デバイスを形成するための方法100のフロー図を示す。方法100は、本開示のいくつかの実施形態による半導体構造の製造の段階を示す図2A~図2Fに関して、以下で説明される。図2A~図2Fは、1つ又は複数の実施形態による電子デバイス(例えば、hGAA)の断面図である。方法100は、半導体デバイスの製造処理の複数のステップの一部であってもよい。これに従って、方法100は、クラスタツールに連結された任意の適切な処理チャンバで実行することができる。クラスタツールは、エッチング、堆積、物理的気相堆積(PVD)、化学気相堆積(CVD)、酸化、又は半導体デバイスの製造に使用される他の任意の適切なチャンバなど、半導体デバイスを製造するための処理チャンバを含んでもよい。
[0027] 方法100は、工程102で、(図2Aに示したように)上面202を有する基板200を提供することによって開始される。いくつかの実施形態では、基板200は、バルク半導体基板であってもよい。本明細書で使用される「バルク半導体基板」という用語は、基板全体が半導体材料からなる基板を指す。バルク半導体基板は、半導体構造を形成するための任意の適切な半導体材料及び/又は半導体材料の組み合わせを含みうる。例えば、半導体層は、結晶シリコン(例えば、Si<100>又は、Si<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、パターニングされた又はパターニングされていないウエハ、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、又は他の適切な半導体材料など、1つ又は複数の材料を含みうる。いくつかの実施形態では、半導体材料はケイ素(Si)である。1つ又は複数の実施形態では、半導体基板200は、例えば、ケイ素(Si)、炭素(C)、ゲルマニウム(Ge)、シリコンゲルマニウム(SiGe)、ゲルマニウムスズ(GeSn)、他の半導体材料、又はこれらの任意の組合せなどの半導体材料を含む。1つ又は複数の実施形態では、基板200は、シリコン(Si)、ゲルマニウム(Ge)、ガリウム(Ga)、ヒ素(As)、又はリン(P)のうちの1つ又は複数を含む。基板を形成しうる材料のいくつかの例が本明細書に記載されているが、パッシブ及びアクティブ電子デバイス(例えば、トランジスタ、メモリ、コンデンサ、インダクタ、抵抗器、スイッチ、集積回路、増幅器、光電子デバイス、又は任意の他の電子デバイス)を構築できる基礎として機能しうる任意の材料が、本開示の主旨及び範囲内に含まれる。
[0028] いくつかの実施形態では、半導体材料は、n型ドープされた(n-Si)、又はp型ドープされた(p-Si)などのドープされた材料であってよい。いくつかの実施形態では、基板は、イオン注入処理などの任意の適切な処理を使用してドープされてもよい。本明細書で使用される「n型」という用語は、製造時に真性半導体に電子供与体元素をドープすることによって作られる半導体を指す。n型という用語は、電子が持つ負の電荷に由来している。n型半導体では、電子が多数キャリアで、正孔が少数キャリアとなる。本明細書で使用される「p型」という用語は、ウェル(又は孔)の正電荷を指す。n型半導体とは逆に、p型半導体は電子濃度よりも高い正孔濃度を有する。p型半導体では、正孔が多数キャリアで、電子が少数キャリアとなる。1つ又は複数の実施形態では、ドーパントは、ホウ素(B)、ガリウム(Ga)、リン(P)、ヒ素(As)、他の半導体ドーパント、又はこれらの組み合わせのうちの1つ又は複数から選択される。いくつかの実施形態では、寄生ボトムデバイスのターンオンを防止するため、基板は、基板200の表面の第1の位置に高用量のドーパントを提供するようにドープされてもよい。例えば、いくつかの実施形態では、基板の表面は、約1018atoms/cm~約1019atoms/cmのドーパント密度を有しうる。
[0029] 少なくとも1つの超格子構造204は、(図2Aに描かれているように)基板200の上面202の上に形成される。超格子構造204は、複数の積層ペアになるように交互に配置された、複数の犠牲層224と、これに対応する複数のチャネル層226とを含む。いくつかの実施形態では、複数の積層された層群は、シリコン(Si)及びシリコンゲルマニウム(SiGe)群を含む。いくつかの実施形態では、複数の犠牲層224及び対応する複数のチャネル層226は、超格子構造204の形成に適した任意の数の格子整合材料ペアを含みうる。いくつかの実施形態では、複数の犠牲層224及び対応する複数のチャネル層226は、約2~約50ペアの格子整合材料を含む。
[0030] 典型的には、寄生デバイスは、超格子構造204の底部に存在することになる。いくつかの実施形態では、寄生デバイスのターンオンを抑制するために、上述したように、基板へのドーパントの注入が使用される。いくつかの実施形態では、基板200は、超格子構造204の底部が除去されない基板部分を含むようにエッチングされ、基板部分が超格子構造204の底部リリース層として機能することを可能にする。
[0031] 1つ又は複数の実施形態では、いくつかの実施形態における犠牲層224及びチャネル層226の厚さは、約2nm~約50nmの範囲、約3nm~約20nmの範囲、又は約2nm~約15nmの範囲にある。いくつかの実施形態では、犠牲層224の平均厚さは、チャネル層226の平均厚さの0.5倍から2倍以内である。
[0032] いくつかの実施形態では、誘電体材料246は、従来の化学気相堆積法を使用して基板200上に堆積される。いくつかの実施形態では、誘電体材料246は、超格子構造204の底部が基板200から形成されるように、基板200の上面202の下に凹設される。
[0033] 図2Bを参照すると、いくつかの実施形態では、置換ゲート構造(例えば、ダミーゲート構造208)は、超格子構造204の上に、かつ、超格子構造204に隣接して形成される。ダミーゲート構造208は、トランジスタデバイスのチャネル領域を画定する。ダミーゲート構造208は、当技術分野で既知の任意の適切な従来の堆積及びパターニング処理を使用して形成されうる。
[0034] いくつかの実施形態では、側壁スペーサ210は、ダミーゲート構造208の外側側壁に沿って形成される。いくつかの実施形態の側壁、側壁スペーサ210は、例えば、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、炭化ケイ素など、当技術分野で既知の適切な絶縁材料からなる。いくつかの実施形態では、側壁、側壁スペーサ210は、原子層堆積、プラズマ強化原子層堆積、プラズマ強化化学気相堆積又は低圧化学気相堆積など、当技術分野で既知の任意の適切な従来の堆積及びパターニング処理を使用して形成されている。
[0035] いくつかの実施形態では、埋め込まれたソース領域232及びドレイン領域234は、それぞれソーストレンチ及びドレイントレンチ内に形成される。いくつかの実施形態では、ソース領域232は超格子構造204の第1の端部に隣接して形成され、ドレイン領域234は超格子構造の第2の反対側の端部に隣接して形成される。図2Cに示される実施形態では、ソース領域232又はドレイン領域234のうちの1つが、超格子構造204の前面に示されている。超格子構造204の他端は、ソース領域232又はドレイン領域234のうちの他方を有する。いくつかの実施形態では、ソース領域232及び/又はドレイン領域234は、シリコン、ゲルマニウム、シリコンゲルマニウムなどの任意の適切な半導体材料から形成されるが、これらに限定されるわけではない。いくつかの実施形態では、ソース領域232及びドレイン領域234は、エピタキシャル堆積処理などの任意の適切な堆積処理を使用して形成されてもよい。
[0036] いくつかの実施形態では、層間誘電体(ILD)層220が、ソース/ドレイン領域232、234、ダミーゲート構造208、及び側壁スペーサ210を含む基板200上にブランケット堆積される。ILD層220は、従来の化学気相堆積法(例えば、プラズマ化学気相堆積法及び低圧化学気相堆積法)を使用して堆積されてもよい。1つ又は複数の実施形態では、ILD層220は、ドープされていない酸化ケイ素、ドープされた酸化ケイ素(例えば、BPSG、PSG)、窒化ケイ素、及び酸窒化ケイ素などの任意の適切な誘電体材料から形成されるが、これらに限定されるわけではない。1つ又は複数の実施形態では、ILD層220は次に、ダミーゲート構造208の上部を露出させるため、従来の化学機械平坦化法を使用して研磨される。いくつかの実施形態では、ILD層220は、ダミーゲート構造208の上部及び側壁スペーサ210の上部を露出させるため、研磨される。
[0037] 図2Dに示すように、工程104では、超格子構造204のチャネル領域214を露出させるため、ダミーゲート構造208が除去される。ILD層220は、ダミーゲート構造208を除去する間、ソース/ドレイン領域232、234を保護する。ダミーゲート構造208は、プラズマドライエッチング又は湿式エッチングなど、任意の従来のエッチング方法を使用して除去することができる。いくつかの実施形態では、ダミーゲート構造208はポリシリコンを含み、ダミーゲート構造208は、選択的エッチング処理によって除去される。いくつかの実施形態では、ダミーゲート構造208はポリシリコンを含み、超格子構造204は、シリコン(Si)とシリコンゲルマニウム(SiGe)の交互層を含む。
[0038] 図2Eに示すように、工程106では、チャネル層226は、超格子構造204の犠牲層224の間で選択的にエッチングされる。例えば、超格子構造204がシリコン(Si)層とシリコンゲルマニウム(SiGe)層とで構成されている場合、シリコンゲルマニウム(SiGe)を選択的にエッチングしてチャネルナノワイヤ240を形成することができる。チャネル層226、例えばシリコンゲルマニウム(SiGe)は、エッチャントがチャネル層226の層を犠牲層224の層よりも著しく高速でエッチングするように、犠牲層224の層に対して選択的な任意の周知のエッチャントを使用して除去されてもよい。いくつかの実施形態では、選択的ドライエッチング又は湿式エッチングが使用されうる。いくつかの実施形態では、犠牲層224がシリコン(Si)であり、チャネル層226がシリコンゲルマニウム(SiGe)である場合、シリコンゲルマニウムの層は、カルボン酸/硝酸/HF水溶液及びクエン酸/硝酸/HF水溶液などの湿式エッチャントを使用して選択的に除去されるが、これらに限定されるわけではない。チャネル層226が除去されると、犠牲層224の間にボイド228が残る。犠牲層224の間のボイド228は、約3nm~約20nmの厚さを有する。残存する犠牲層224は、ソース/ドレイン領域232、234に連結されたチャネルナノワイヤ240の垂直アレイを形成する。チャネルナノワイヤ240は、基板200の上面202と平行に走り、互いに整列して1列のチャネルナノワイヤ240を形成する。ソース領域232及びドレイン領域234の形成、並びに任意選択による横方向エッチング停止層の形成は、有利には、チャネル構造の形成において自己整合及び構造的完全性を提供する。
[0039] 図2F及び図3A~図3Bに示されるように、工程108では、デバイスは、ゲート上の任意の酸化物を除去するためにインシトゥ(その場)で予洗浄される。予洗浄は、ゲートの表面に存在する自然酸化物を除去することができる。予洗浄処理は、ドライエッチング処理、湿式エッチング処理、又はこの両方の組み合わせを含みうる。
[0040] このような実施形態では、ドライエッチング処理は、従来のプラズマエッチング、又はカリフォルニア州サンタクララのApplied Materials, Inc.から入手可能なSiCoNi(商標)エッチング処理などの遠隔プラズマ支援ドライエッチング処理を含んでもよく、デバイスは、H、NF、及び/又はNHプラズマ核種、例えば、プラズマ励起水素及びフッ素核種に曝露される。例えば、いくつかの実施形態では、デバイスは、H、NF、及びNHプラズマへの同時曝露が行われうる。SiCoNi(商標)エッチング処理は、SiCoNi(商標)Precleanチャンバ内で実行することができる。SiCoNi(商標)Precleanチャンバは、Centura(登録商標)、Dual ACP、Producer(登録商標)GT、及びEndura(登録商標)プラットフォームを含む様々なマルチ処理プラットフォームのうちの1つに統合可能で、これらはApplied Materials(登録商標)から入手可能である。湿式エッチング処理は、フッ化水素(HF)酸最終処理(すなわち、いわゆる「HF最終(HF last)」処理)を含んでよく、この処理では表面のHFエッチングが実施され、これにより、表面は水素終端されたままとなる。あるいは、エピタキシャル成長前の他の任意の液体ベースでの予洗浄処理が採用されうる。いくつかの実施形態では、処理は、自然酸化物除去のための昇華エッチングを含んでいる。エッチング処理は、プラズマ又は熱ベースのものになりうる。プラズマ処理は、任意の適切なプラズマ(例えば、導電結合プラズマ、誘導結合プラズマ、マイクロ波プラズマ)になりうる。
[0041] 図3A及び図3Bを参照すると、インナースペーサ210は、異なる材料を含みうる。いくつかの実施形態では、2つの隣接するナノシート犠牲層224の間に位置するインナースペーサ210bは、窒化ケイ素(SiN)を含みうる。他の実施形態では、ナノシート半導体層224の上面の上に位置するインナースペーサ210aは、インナースペーサ210bとは異なる低誘電率材料を含みうる。
[0042] 図2F及び図3C~図3Dを参照すると、工程110では、犠牲層224上に酸化物層250が形成される。1つ又は複数の実施形態では、酸化物層250は、環境気圧下の水素(H)ガスと酸素(O)ガスの雰囲気中、約700℃~約900℃の範囲の温度でラジカルプラズマ酸化(RPO)により形成される。酸化物層250は、当業者に既知の任意の適切な材料を含みうる。1つ又は複数の実施形態では、酸化物層250は、酸化ケイ素(SiO)を含む。図3Dは、犠牲層224上に酸化物層250が成長する様子を示す模式図である。1つ又は複数の実施形態では、酸化物層250は、犠牲層224の表面上にコンフォーマルに成長する(又は形を成す)。酸化物層250は、犠牲層224が直接酸化されるため、高密度である。酸化により犠牲層224(例えばシリコン(Si))ナノシートが消費され、各ナノシート犠牲層224の間の空間、例えば距離、幅がより多く確保され、したがってダウンストリーム集積化窓(すなわちマルチVt)が促進される。1つ又は複数の実施形態では、犠牲層224はシリコン(Si)を含み、かつ酸化物層250は酸化ケイ素(SiOx)を含み、シリコン(Si)に対する酸化ケイ素(SiOx)の比率は約3:1である。いくつかの実施形態では、酸化物層250は酸化物層厚tを有し、かつ犠牲層224は半導体材料層厚tを有し、酸化物層厚と半導体材料層厚の比率は3:1である。
[0043] 犠牲層224を消費する酸化に加えて、工程112では、スペーサ210が部分的に酸化され、スペーサ210の誘電率(k値)を押し下げる。
[0044] 1つ又は複数の実施形態では、方法100の工程114は、1つ又は複数の酸化後処理工程を表す。1つ又は複数の酸化後処理は、hGAAデバイスを完成させるために当業者に既知の処理のいずれかによって可能である。図3E~図3Gを参照すると、いくつかの実施形態では、高誘電率誘電体252が酸化物層250上に形成される。高誘電率誘電体252は、当業者に既知の任意の適切な堆積技法によって堆積される、任意の適切な高誘電率誘電体材料でありうる。いくつかの実施形態の高誘電率誘電体252は、ハフニウム酸化物を含む。いくつかの実施形態では、窒化チタン(TiN)、タングステン(W)、コバルト(Co)、アルミニウム(Al)などの導電性材料254が、高誘電率誘電体252上に堆積される。導電性材料254は、犠牲層224の各々の周囲に均一な厚さを有する層を確実に形成するために、限定するものではないが、原子層堆積(ALD)などの任意の適切な堆積処理を使用して形成されうる。
[0045] いくつかの実施形態では、ゲート電極256は基板200上に形成され、導電性材料254を取り囲む。ゲート電極256は、当技術分野で既知の任意の適切なゲート電極材料から形成されうる。ゲート電極材料256は、ゲート電極256が犠牲層224のそれぞれの周囲及び間に形成されるように、原子層堆積(ALD)などの任意の適切な堆積処理を使用して堆積される。
[0046] 本明細書に記載の方法を使用して結果的に形成されるデバイスは、本開示の実施形態による、水平ゲートオールアラウンド(hGAA)デバイスである。本開示のいくつかの実施形態は、ソース領域とドレイン領域との間のチャネルにおけるナノワイヤ又はナノスラブとしての犠牲層224の周りにRPO酸化物層250を含む水平ゲートオールアラウンドデバイスを対象とする。
[0047] 本開示の1つ又は複数の実施形態は、半導体デバイスを形成する方法を対象とする。1つ又は複数の実施形態では、半導体デバイスを形成する方法は、複数の積層ペアに交互に配置された、複数の半導体材料層と、対応する複数のリリース層とを含む超格子構造を、選択的にエッチングして、半導体材料層の各々又はリリース層の各々を除去して、超格子構造内に複数のボイドと、ソース領域とドレイン領域との間に延びる複数の半導体材料層とを形成することと、複数の半導体材料層を酸化させて酸化半導体材料層を形成することと、を含む。
[0048] 本開示の追加の実施形態は、図4に示されるように、GAAデバイスの形成のための処理ツール300及び記載された方法を対象とする。Applied Materials(登録商標)から入手可能なCentura(登録商標)、Dual ACP、Producer(登録商標)GT、Endura(登録商標)プラットフォーム、並びにその他の処理システム含む様々なマルチプロセッシングプラットフォームが利用されうる。図4を参照すると、クラスタツール300は、複数の側面を有する少なくとも1つの中央移送ステーション314を含む。ロボット316は、中央移送ステーション314内に配置され、ロボットブレード及びウエハを複数の側面の各々に移動させるように構成される。
[0049] 概して、クラスタツールは、基板の中心検出及び配向、ガス抜き、アニーリング、堆積及び/又はエッチングを含む様々な機能を実行する、複数のチャンバを備えるモジュールシステムである。1つ又は複数の実施形態によれば、クラスタツールは、少なくとも第1のチャンバ及び中央移送チャンバを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で基板を往復搬送することができるロボットを収容することができる。移送チャンバは、通常、真空状態に維持され、基板を1つのチャンバから別のチャンバへ、及び/又はクラスタツールの前端に位置決めされたロードロックチャンバへ往復搬送するための中間ステージを提供する。しかし、実際のチャンバの構成及び組合せは、本明細書に記載の処理の具体的なステップを実施するという目的のために、変更されうる。使用されうるその他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、熱処理(RTPなど)、プラズマ窒化、ガス抜き、配向付け、ヒドロキシル化、及びその他の基板処理を含むが、これらに限定されるわけではない。クラスタツールのチャンバ内で処理を実行することにより、後続膜の堆積に先立って酸化を起こすことなく、空気中の不純物による基板の表面汚染が回避されうる。
[0050] 図4を参照すると、クラスタツール300は、中央移送ステーションに接続され、処理ステーションとも称される複数の処理チャンバ308、310、及び312を備える。様々な処理チャンバは、隣接する処理ステーションから分離された別個の処理領域を提供する。処理チャンバは、限定するものではないが、予洗浄チャンバ、堆積チャンバ、アニーリングチャンバ、エッチングチャンバ、選択的エッチングチャンバなどを含む、任意の適切なチャンバとすることができる。処理チャンバ及び構成要素の特定の配置は、クラスタツールに応じて変更することができ、本開示の範囲を限定するものとして解釈されるべきではない。
[0051] いくつかの実施形態では、クラスタツール300は、犠牲層224を選択的にエッチング/トリミングするための等方性エッチングチャンバを含む。いくつかの実施形態の等方性エッチングチャンバは、1つ又は複数のフッ素ベースのドライエッチングチャンバーを含む。いくつかの実施形態では、クラスタツール300は、中央移送ステーションに接続された予洗浄チャンバを含む。
[0052] 図4に示す実施形態では、ファクトリインターフェース318がクラスタツール300の前面に接続されている。ファクトリインターフェース318は、ファクトリインターフェース318の前面319上のローディング及びアンローディング用のチャンバ302を含む。
[0053] ローディングチャンバ及びアンローディングチャンバ302のサイズ及び形状は、例えば、クラスタツール300内で処理される基板に応じて変化しうる。図示された実施形態では、ローディングチャンバ及びアンローディングチャンバ302は、複数のウエハがカセット内に配置されたウエハカセットを保持するようにサイズ決定される。
[0054] ロボット304は、ファクトリインターフェース318内にあり、ローディングチャンバとアンローディングチャンバ302との間を移動することができる。ロボット304は、ファクトリインターフェース318を通してローディングチャンバ302内のカセットからロードロックチャンバ320までウエハを移送することができる。ロボット304はまた、ファクトリインターフェース318を通してロードロックチャンバ320からアンローディングチャンバ302内のカセットまでウエハを移送することができる。
[0055] いくつかの実施形態のロボット316は、一度に複数のウエハを独立して移動させることができるマルチアームロボットである。ロボット316は、移送チャンバ314の周囲のチャンバ間でウエハを移動させるように構成される。個々のウエハは、第1のロボット式機構の遠位端に位置するウエハ移送ブレード上に担持される。
[0056] システムコントローラ357は、ロボット316、及び複数の処理チャンバ308、310及び312と通信している。システムコントローラ357は、処理チャンバ及びロボットを制御することができる任意の適切な構成要素でありうる。例えば、システムコントローラ357は、中央処理装置(CPU)392、メモリ394、入出力396、適切な回路398、及びストレージを含むコンピュータでありうる。
[0057] 処理は一般的に、システムコントローラ357のメモリに、ソフトウェアルーチンとして記憶されてよく、プロセッサによって実行されると、本開示の処理を処理チャンバに実行させる。当該ソフトウェアルーチンは、プロセッサによって制御されるハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によって記憶及び/又は実行されてもよい。本開示の方法の一部又はすべてをハードウェアで実行されてもよい。このように、処理は、ソフトウェア内に実装され、ハードウェア(例えば、特定用途向け集積回路又は他の種類のハードウェア実装形態)又はソフトウェアとハードウェアとの組合せで、コンピュータシステムを使用して実行されうる。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、処理が実行されるようにチャンバの動作を制御する特定用途コンピュータ(コントローラ)に変換する。
[0058] いくつかの実施形態では、システムコントローラ357は、犠牲層224及びスペーサ210上への酸化物層250の堆積を制御する構成を有する。
[0059] 1つ又は複数の実施形態では、処理ツールは、ウエハを移動させるように構成されたロボットを備える中央移送ステーションと、複数の処理ステーションであって、各処理ステーションが中央移送ステーションに接続され、隣接する処理ステーションの処理領域から分離された処理領域を提供し、堆積チャンバ、プラズマチャンバ、硬化チャンバ、エッチングチャンバを含む、複数の処理ステーションと、中央移送ステーション及び複数の処理ステーションに接続されたコントローラであって、ロボットを起動して、処理ステーションの間でウエハを移動させ、かつ処理ステーションの各々で発生する処理を制御するように構成された、コントローラと、を備える。
[0060] 本明細書全体を通じて、「一実施形態」、「特定の実施形態」、「1つ又は複数の実施形態」、又は「実施形態」に対する言及は、その実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な箇所で登場する「1つ又は複数の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」など文言は、必ずしも、本開示の同一の実施形態に言及するものではない。さらに、1つ又は複数の実施形態において特定の特徴、構造、材料、又は特性を任意の適切な態様で組み合わせてもよい。
[0061] 本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び応用の例示にすぎないことを理解されたい。本開示の主旨及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行いうることが、当業者には自明であろう。したがって、本開示は、添付の特許請求の範囲及びその均等物の範囲に含まれる改変及び変形を含むことが意図されている。

Claims (20)

  1. 複数の半導体材料層を予洗浄し、自然酸化物及び/又は残留物を除去することと、
    前記複数の半導体材料層上に酸化物層を形成することと、
    を含む、半導体デバイスを形成する方法。
  2. 前記酸化物層を形成することが、前記半導体材料層のラジカルプラズマ酸化(RPO)を含む、請求項1に記載の方法。
  3. 前記ラジカルプラズマ酸化が、環境気圧下の水素(H)ガスと酸素(O)ガスの雰囲気中、約700℃~約900℃の範囲の温度で行われる、請求項2に記載の方法。
  4. 予洗浄の前に、複数の積層ペアに交互に配置された、前記複数の半導体材料層と、対応する複数のリリース層とを含む超格子構造を、選択的にエッチングして、前記半導体材料層の各々又は前記リリース層の各々を除去して、前記超格子構造内に複数のボイドと、ソース領域とドレイン領域との間に延びる前記複数の半導体材料層とを形成することをさらに含む、請求項1に記載の方法。
  5. 前記超格子構造の第1の端部に隣接して前記ソース領域と、前記超格子構造の第2の反対側の端部に隣接して前記ドレイン領域とを形成することをさらに含む、請求項4に記載の方法。
  6. 基板の上面の上に前記超格子構造を形成することをさらに含む、請求項4に記載の方法。
  7. 前記超格子構造を選択的にエッチングすることは、前記半導体材料層をエッチングし、前記リリース層を残すことを含む、請求項4に記載の方法。
  8. 半導体デバイスは、水平ゲートオールアラウンドデバイスを含む、請求項1に記載の方法。
  9. 前記第1の層がシリコンゲルマニウム(SiGe)を含み、前記第2の層がシリコン(Si)を含む、請求項1に記載の方法。
  10. 前記超格子構造を選択的にエッチングすることは、前記シリコンゲルマニウム(SiGe)の第1の層をエッチングし、前記シリコン(Si)の第2の層を残すことを含む、請求項9に記載の方法。
  11. 前記酸化物層が酸化ケイ素(SiO)を含む、請求項9に記載の方法。
  12. 前記第1の層及び前記第2の層の厚さはそれぞれ、約3nm~約20nmである、請求項1に記載の方法。
  13. 前記酸化物層上に高誘電率誘電体層を形成することと、
    前記高誘電率誘電体層上に導電層を形成することと、
    をさらに含む、請求項1に記載の方法。
  14. 前記高誘電率誘電体252がハフニウム酸化物を含み、前記導電層が窒化チタン(TiN)、タングステン(W)、コバルト(Co)、及びアルミニウム(Al)のうちの1つ又は複数を含む、請求項13に記載の方法。
  15. 前記方法は、真空を破壊せずに、処理チャンバ内で実行される、請求項1に記載の方法。
  16. ソース領域とドレイン領域との間の複数の水平半導体材料層を取り囲む酸化物層を含む、水平ゲートオールアラウンドデバイス。
  17. 前記酸化物層が酸化物層厚を有し、前記半導体材料層が半導体材料層厚を有し、前記酸化物層厚と前記半導体材料層厚の比率は3:1である、請求項16に記載のデバイス。
  18. 複数の前記水平半導体材料層は、シリコン(Si)を含み、前記酸化物層は、酸化ケイ素(SiO)を含む、請求項16に記載のデバイス。
  19. 記憶された命令を有する非一時的なコンピュータ可読媒体であって、前記命令は、実行されると、半導体デバイスを形成する方法を引き起こし、前記方法は、
    複数の半導体材料層を予洗浄して、自然酸化物及び/又は残留物を除去することと、
    ラジカルプラズマ酸化を使用して、複数の前記半導体材料層上に酸化物層を形成することと、
    を含む、非一時的なコンピュータ可読媒体。
  20. 処理チャンバのコントローラによって実行されると、前記処理チャンバに、
    予洗浄の前に、複数の積層ペアに交互に配置された、複数の半導体材料層と対応する複数のリリース層とを含む超格子構造を選択的にエッチングして、前記半導体材料層の各々又は前記リリース層の各々を除去して、前記超格子構造内に複数のボイドと、ソース領域とドレイン領域との間に延びる前記複数の半導体材料層とを形成する、さらなる工程を実行させる命令をさらに含む、請求項19に記載の非一時的なコンピュータ可読媒体。
JP2023506032A 2020-08-02 2021-07-30 ゲートオールアラウンドナノシート入出力デバイスのためのコンフォーマル酸化 Pending JP2023536856A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063060087P 2020-08-02 2020-08-02
US63/060,087 2020-08-02
US17/386,711 US20220037529A1 (en) 2020-08-02 2021-07-28 Conformal oxidation for gate all around nanosheet i/o device
US17/386,711 2021-07-28
PCT/US2021/043820 WO2022031527A1 (en) 2020-08-02 2021-07-30 Conformal oxidation for gate all around nanosheet i/o device

Publications (1)

Publication Number Publication Date
JP2023536856A true JP2023536856A (ja) 2023-08-30

Family

ID=80004567

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023506032A Pending JP2023536856A (ja) 2020-08-02 2021-07-30 ゲートオールアラウンドナノシート入出力デバイスのためのコンフォーマル酸化

Country Status (6)

Country Link
US (1) US20220037529A1 (ja)
JP (1) JP2023536856A (ja)
KR (1) KR20220016788A (ja)
CN (1) CN116250075A (ja)
TW (1) TW202230452A (ja)
WO (1) WO2022031527A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240015016A (ko) * 2022-07-26 2024-02-02 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스들을 형성하기 위한 프로세싱 방법들및 클러스터 툴들

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6583014B1 (en) * 2002-09-18 2003-06-24 Taiwan Semiconductor Manufacturing Company Horizontal surrounding gate MOSFETS
KR101631778B1 (ko) * 2011-12-23 2016-06-24 인텔 코포레이션 랩-어라운드 컨택트들을 가진 나노와이어 구조들
US8889497B2 (en) * 2012-12-28 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9673277B2 (en) * 2014-10-20 2017-06-06 Applied Materials, Inc. Methods and apparatus for forming horizontal gate all around device structures
US10914703B2 (en) * 2016-12-02 2021-02-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Computer implemented method for determining intrinsic parameter in a stacked nanowires MOSFET
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US10790183B2 (en) * 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10741660B2 (en) * 2018-06-12 2020-08-11 International Business Machines Corporation Nanosheet single gate (SG) and extra gate (EG) field effect transistor (FET) co-integration
JP7348442B2 (ja) * 2018-06-22 2023-09-21 東京エレクトロン株式会社 ナノワイヤデバイスを形成する方法
US10559566B1 (en) * 2018-09-17 2020-02-11 International Business Machines Corporation Reduction of multi-threshold voltage patterning damage in nanosheet device structure
US11335604B2 (en) * 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11201060B2 (en) * 2019-04-17 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack

Also Published As

Publication number Publication date
WO2022031527A1 (en) 2022-02-10
US20220037529A1 (en) 2022-02-03
KR20220016788A (ko) 2022-02-10
TW202230452A (zh) 2022-08-01
CN116250075A (zh) 2023-06-09

Similar Documents

Publication Publication Date Title
TWI819327B (zh) 用於環繞式閘極電晶體的選擇性矽蝕刻
US20220037529A1 (en) Conformal oxidation for gate all around nanosheet i/o device
US20220123123A1 (en) Formation of gate all around device
US20230014586A1 (en) Horizontal gaa nano-wire and nano-slab transistors
US20230040606A1 (en) Template for nanosheet source drain formation with bottom dielectric
US20230067331A1 (en) Source drain formation in gate all around transistor
US20240194757A1 (en) Multilayer inner spacer for gate-all-around device
US20220246742A1 (en) Gate all around device with fully-depleted silicon-on-insulator
US20230260908A1 (en) Gate all around backside power rail formation with multi-color backside dielectric isolation scheme
US20240014214A1 (en) INTEGRATING STRAIN SiGe CHANNEL PMOS FOR GAA CMOS TECHNOLOGY
US20230170400A1 (en) Gate all around transistor architecture with fill-in dielectric material
US20240128355A1 (en) Sacrificial source/drain for metallic source/drain horizontal gate all around architecture
TW202420465A (zh) 用於形成半導體設備的處理方法和集群工具

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230324

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240528