JP6204917B2 - アルゴンガス希釈によるシリコン含有層を堆積するための方法 - Google Patents

アルゴンガス希釈によるシリコン含有層を堆積するための方法 Download PDF

Info

Publication number
JP6204917B2
JP6204917B2 JP2014534591A JP2014534591A JP6204917B2 JP 6204917 B2 JP6204917 B2 JP 6204917B2 JP 2014534591 A JP2014534591 A JP 2014534591A JP 2014534591 A JP2014534591 A JP 2014534591A JP 6204917 B2 JP6204917 B2 JP 6204917B2
Authority
JP
Japan
Prior art keywords
silicon
gas
substrate
layer
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014534591A
Other languages
English (en)
Other versions
JP2015501078A5 (ja
JP2015501078A (ja
Inventor
クンフア ワン
クンフア ワン
ウェイジエ ワン
ウェイジエ ワン
ヤング ジン チョイ
ヤング ジン チョイ
セオン−ミー チョー
セオン−ミー チョー
イー クイ
イー クイ
べオム スー パーク
べオム スー パーク
スー ヤング チョイ
スー ヤング チョイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015501078A publication Critical patent/JP2015501078A/ja
Publication of JP2015501078A5 publication Critical patent/JP2015501078A5/ja
Application granted granted Critical
Publication of JP6204917B2 publication Critical patent/JP6204917B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L2021/775Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate comprising a plurality of TFTs on a non-semiconducting substrate, e.g. driving circuits for AMLCDs

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

発明の背景
(発明の分野)
本発明の実施形態は、概して、シリコン含有層を形成するための方法に関する。特に、本発明は、薄膜トランジスタ(TFT)デバイス内で使用することができるシリコン含有層を形成するための方法に関する。
(関連技術の説明)
プラズマディスプレイパネル及び液晶ディスプレイは、しばしばフラットパネルディスプレイ用に使用される。液晶ディスプレイ(LCD)は、一般に、液晶材料の層を間に挟んで共に接合された2枚のガラス基板を含む。ガラス基板は、半導体基板であることができ、又は、透明基板(例えば、ガラス、石英、サファイア、又は透明なプラスチックフィルム)であることができる。LCDは、背面照明用の発光ダイオードを含むこともできる。
LCDに対する解像度の要求が高まるにつれて、画素と呼ばれる多数の液晶セルの別々の領域を制御することが望ましくなっている。現代のディスプレイパネルでは、100万を超える画素が存在する場合がある。少なくとも同じ数のトランジスタが、ガラス基板上に形成され、これによって各画素は、基板上に配置された他の画素に対して、通電・非通電状態間の切り替えができる。
シリコン含有材料は、ほとんどのTFTの構成要素となっている。シリコン含有材料は、例えば、低温多結晶シリコン(LTPS)TFT用の多結晶シリコンや、TFT内にゲート誘電体層、界面層、パッシベーション層、又は更にはエッチストップ層を形成する際に使用される要素として、チャネル材料を形成するために使用されてきた。
したがって、シリコン含有材料を用いることによって、安定した信頼性の高い性能を有するTFTを形成する方法に対するニーズが、当該技術分野にはある。
本開示の実施形態は、概して、TFT、OLED、LED、及び太陽電池デバイス内にシリコン含有層を形成する方法を提供する。シリコン含有層は、LTPS、金属酸化物TFTデバイス等を含むTFTデバイス内にアクティブチャネルを形成するために使用する、又はゲート誘電体層、界面層、パッシベーション層、又は更にはエッチストップ層内の要素として使用することができる。シリコン含有層は、気相堆積プロセスによって堆積され、これによって不活性ガス(アルゴン等)が、シリコン含有前駆体と共に導入される。不活性ガスは、ケイ素−水素又はケイ素−ケイ素の弱いダングリングボンドを追い出すように機能し、これによってケイ素−ケイ素又はケイ素−酸素の強い結合が残る。
一実施形態では、基板上にシリコン層を形成する方法が開示される。本方法は、処理チャンバ内に基板を搬送する工程と、シリコン系ガス及び不活性ガスを有し、実質的に水素ガスを有さないガス混合物を処理チャンバ内に供給する工程を含む。ガス混合物は、不活性ガスの基板の表面積当たりの体積流量が、シリコン系ガスの基板の表面積当たりの体積流量の約1.8倍〜約79倍である。本方法はまた、ガス混合物を点火してプラズマ化するためにRF電力を印加する工程と、基板上にアモルファスシリコン層を形成する工程を含む。
別の一実施形態では、酸化ケイ素層を形成するための方法が開示される。本方法は、処理チャンバ内にシリコン系ガス、不活性ガス、及び酸素含有ガスを有するガス混合物を供給する工程を含む。ガス混合物は、不活性ガスの基板の表面積当たりの体積流量が、シリコン系ガスの基板の表面積当たりの体積流量の約11倍〜約80倍である。本方法は、また、ガス混合物を点火してプラズマ化するためにRF電力を印加する工程と、基板上に酸化ケイ素層を形成する工程を含む。
更に別の一実施形態では、金属酸化物TFTデバイスは、基板と、基板上に配置され、実質的に水素を含まない酸化ケイ素層を含むゲート絶縁層と、ゲート絶縁層上に配置され、InGaZnO、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuO、LaCuOS、GaN、InGaN、AlGaN、又はInGaAlNのうちの少なくとも1つを含むアクティブチャネルと、アクティブチャネル上に配置されたソース・ドレイン電極と、ソース・ドレイン電極層上に配置され、実質的に水素を含まない酸化ケイ素層を含むパッシベーション層を含む。
更に別の一実施形態では、金属酸化物TFTデバイスは、基板と、基板上のソース・ドレイン電極とゲート絶縁層の間に配置されたアクティブチャネルを含み、アクティブチャネルとゲート絶縁層との間に形成される界面は、実質的に水素を含まない誘電体表面を含む。
本発明の上述した構成を達成し、詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、その実施形態を参照して行う。実施形態は添付図面に示されている。
薄膜トランジスタデバイス構造の断面図である。 本発明の一実施形態に係る、アモルファスシリコン層を堆積するために使用することができる処理チャンバの断面図を示す。 アモルファスシリコン層を形成し、後にデバイス構造内で使用することができる多結晶シリコン層に形質転換される方法の一実施形態のプロセスフロー図を示す。 本発明の一実施形態に係る、アモルファスシリコン層を多結晶シリコン層に形質転換するためのシーケンスを段階的に示したアモルファスシリコン層を有するデバイス構造の一実施形態を示す。 一実施形態に係るTFTデバイスの概略断面図である。 一実施形態に係るTFTデバイスの概略断面図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。
しかしながら、添付図面は本発明の例示的な実施形態を示しているに過ぎず、したがってこの範囲を制限されていると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
詳細な説明
本開示の実施形態は、概して、TFTデバイス内にシリコン含有層を形成する方法を提供する。シリコン含有層は、LTPS TFT又は他の適当な金属酸化物TFTデバイス内にアクティブチャネルを形成するために使用する、又はゲート誘電体層、界面層、パッシベーション層、又は更にはエッチストップ層内の要素として利用することができる。シリコン含有層は、気相堆積プロセスによって堆積され、これによって不活性ガス(アルゴン等)がシリコン前駆体と共に導入される。不活性ガスは、ケイ素−水素又はケイ素−ケイ素の弱いダングリングボンドを追い出すように機能し、これによってケイ素−ケイ素又はケイ素−酸素の強い結合が残る。
一実施形態では、後に多結晶シリコン層に形質転換することができるアモルファスシリコン層を形成する方法が開示される。アモルファスシリコン層は、チャネル材料としてLTPS TFTデバイス内で使用することができる。あるいはまた、アモルファスシリコン層、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、又は本明細書に記載の方法により形成される他の適切なシリコン含有層も、適切なTFTデバイス(例えば、金属酸化物TFTデバイス)内で使用することができる。アモルファスシリコン層、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、又は他の適切なシリコン含有層等はまた、フォトダイオード、半導体ダイオード、発光ダイオード(LED)、又は有機発光ダイオード(OLED)、又は他のディスプレイアプリケーション内でも使用することができる。アモルファスシリコン層、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層は、最小の水素含有量により、高い膜品質及び安定性と低い膜の漏れを提供し、これによってトランジスタデバイスの電気的性能を効率的に向上させる。なお、アモルファスシリコン層は、上記の用途に加えて、他の適切なデバイス内で使用可能であることに留意すべきである。
LTPS TFTデバイス150の例示的な一実施形態が図1に示されている。LTPS TFTデバイスは、ソース領域109a、チャネル領域109c、及びドレイン領域109bが光学的に透明な基板102上に形成され、その上に配置された任意選択の誘電体層104を伴って又は伴わないで作られたMOSデバイスである。ソース領域109a、チャネル領域109c、及びドレイン領域109bは、一般に、最初に堆積されたアモルファスシリコン(a−Si)層から形成され、アモルファスシリコン(a−Si)層は後に多結晶シリコン層を形成するために熱処理(例えば、アニーリング)される。ソース、チャネル及びドレイン領域109a、109c、109bは、光学的に透明な基板102上の領域をパターニングし、堆積された初期のa−Si層にイオンドーピングを行うことによって形成することができ、初期のa−Si層は、後に多結晶シリコン層を形成するために熱処理される。その後、ゲート誘電体層106が、堆積された多結晶シリコン層の最上部の上に堆積され、これによってチャネル領域109c、ソース領域109a、及びドレイン領域109bからゲート電極114を分離する。ゲート電極114は、ゲート誘電体層106の最上部の上に形成される。その後、絶縁層112及びデバイス接続部110a、110bが、絶縁層112を貫通して作られ、これによってTFTデバイス150の制御を可能にする。
LTPS TFTデバイス150の性能は、MOS構造を形成するように堆積される膜の品質に依存する。MOSデバイスの主要な性能要素は、多結晶シリコンチャネル層108、ゲート誘電体層106、及び多結晶シリコンチャネル層/ゲート誘電体層界面の品質である。多結晶シリコンチャネル層108の品質は、近年多くの注目を浴びている。上述したように、多結晶シリコンチャネル層108は、最初にアモルファスシリコン層として形成され、次いで、摂氏約450度まで又はそれ以上に加熱され、これによってアモルファスシリコン層から水素を除去する脱水素化処理を行う。脱水素化処理の後、レーザアニーリング処理が実行され、これによってアモルファスシリコン層を多結晶シリコン層に形質転換することができる。続いて、ゲート絶縁層又は他の適切な層がその上に形成され、これによってデバイス構造を完了することができる。
アモルファスシリコン層中の水素元素の過剰量(例えば、過度に高濃度の水素含有量)は、多結晶シリコンチャネル層108を形成する前に、隣接するゲート誘電体層106又は他の隣接する層の中に浸透し、これによって電流のリーク又は他のタイプのデバイス故障をもたらす可能性がある。アモルファスシリコン層は、プラズマ強化化学蒸着(PECVD)などの適切な蒸着プロセスによって形成することができる。
図2は、アモルファスシリコン層又は他のシリコン含有層(例えば、酸化ケイ素)を形成することができるPECVDチャンバ200の一実施形態の概略断面図である。1つの適切なPECVDチャンバは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社(Applied Materials, Inc.)から入手可能である。なお、他の製造業者からのものを含む他の堆積チャンバが本発明を実施するために利用可能であることが理解される。
チャンバ200は、一般的に、壁部202、底部204、及び蓋212を含む。ガス分配プレート210と基板支持アセンブリ230は、処理容積206を画定する。処理容積206は、壁部202を貫通して形成された開口部208を介してアクセスされ、これによって基板102をチャンバ200の内外へ搬送することができる。
基板支持アセンブリ230は、基板102を上で支持するための基板受け面232を含む。基板受け面232は、一般的に、基板102と同じサイズであるか、又は基板102よりもわずかに大きい。ステム234は、基板搬送位置と基板処理位置との間で基板支持アセンブリ230を昇降させるリフトシステム236に基板支持アセンブリ230を結合する。基板102の縁部上への堆積を防止するように処理する場合、シャドウフレーム233をオプションで基板102の周縁部の上方に配置することができる。リフトピン238は、基板支持アセンブリ230を貫通して可動に配置され、基板102の挿入及び除去中に、基板受け面232から基板102を離間させるように用いられる。基板支持アセンブリ230はまた、基板支持アセンブリ230を所望の温度に維持するために利用される加熱及び/又は冷却要素239を含むことができる。基板支持アセンブリ230は、また、基板支持アセンブリ230の周囲に、RFリターンパスを短縮するためにRFリターンストラップ231を含むことができる。
ガス分配プレート210は、サスペンション214によって、その周辺で、チャンバ200の蓋212又は壁部202に結合される。ガス分配プレート210はまた、1以上の中央支持体216によって蓋212に結合され、これによってガス分配板210の垂下を防止し、及び/又はガス分配板210の真直度/曲率を制御するのを助けることができる。一実施形態では、ガス分配プレート210は、異なる寸法の異なる構成を有する。例示的な一実施形態では、ガス分配プレート210は、四辺形の下流面250を有する。下流面250は、基板支持アセンブリ230上に配置された基板102の上面218に対向して、複数の開口部211が内部に形成されている。開口部211は、ガス分配プレート210全域に亘って、異なる形状、数、密度、寸法、及び分布を有することができる。
ガス源220は蓋212に結合され、これによって蓋212を通って、次いで、ガス分配プレート210内に形成された開口部211を通って、処理容積206へとガスを供給する。真空ポンプ209はチャンバ200に結合され、これによって所望の圧力で処理容積206内のガスを維持する。
RF電源222は、蓋212及び/又はガス分配プレート210に結合され、これによってガス分配プレート210と基板支持アセンブリ230との間に電界を作るRF電力を供給し、これによってガス分配プレート210と基板支持アセンブリ230との間でガスからプラズマを生成することができる。RF電力は、1以上のRF周波数で印加することができる。例えば、RF電力は、約0.3MHz〜約200MHzの周波数で印加することができる。一実施形態では、RF電力は、13.56MHzの周波数で供給される。
リモートプラズマ源224(例えば、誘導結合リモートプラズマ源)もまた、ガス源とバッキングプレートとの間に結合することができる。基板を処理する間に、洗浄ガスをリモートプラズマ源224内で通電し、これによってチャンバコンポーネントを洗浄するために利用されるプラズマを遠隔で供給することができる。洗浄ガスは、RF電源222によってガス分配プレート210に供給されるRF電力によって更に励起してもよい。適切な洗浄ガスとしては、NF、F、及びSFを含むが、これらに限定されない。
一実施形態では、チャンバ200内で処理することができる基板102は、10000cm以上(例えば、40000cm以上(例えば、約55000cm以上))の表面積を有することができる。処理後に、基板は、より小さなデバイスを形成するために切断することができることが理解される。
一実施形態では、加熱要素及び/又は冷却要素239は、堆積中に約400℃以下(例えば、約100℃〜約400℃又は約150℃〜約300℃(例えば、約200℃))の基板支持アセンブリの温度を提供するように設定することができる。
基板受け面232上に配置された基板102の上面218とガス分配プレート210との間の堆積中の間隔は、一般的に、400ミル〜約1200ミル(例えば、400ミル〜約800ミル)に、又は所望の堆積結果を提供するように選択された基板102とガス分配プレート210との間の他の距離に変えることができる。凹面下流面ガス分配プレート210が利用される例示的な一実施形態では、プレート210の縁部の中央部と基板受け面232との間の間隔は、約400ミル〜約1400ミルとの間であり、プレート210の角部と基板受け面232との間の間隔は、約300ミル〜約1200ミルの間である。
図3は、図2に示されるようなチャンバ200又は他の適切な処理チャンバ内で実施することができる堆積プロセス300の一実施形態のフロー図を示す。プロセス300は、アモルファスシリコン層や、TFTデバイス又はダイオードデバイス内で使用することができる他の適切なシリコン含有層を堆積する方法を示す。一実施形態では、シリコン含有層は、単独で、又は任意の他の適切な膜と組み合わせて使用し、これによってTFT又はダイオードデバイス内の電気的特性及び性能を改善することができる。特定の一実施形態では、説明したようなシリコン含有層は、アモルファスシリコン層であり、後に多結晶シリコン層を形成するように熱処理することができる。
図4Aに示されるように、プロセス300は、基板102を処理チャンバ(例えば、図2に示されるPECVDチャンバ200)内へ搬送することによってステップ302で始まる。基板102は、オプションの誘電体層104を上部に配置してもよい。なお、基板102は、以前に上に形成された膜、構造、又は層とは異なる組み合わせを有し、これによって基板102上に異なるデバイス構造を形成することを促進してもよいことに留意すべきである。誘電体層104が存在しない実施形態では、アモルファスシリコン層は、直接基板102上に形成することができる。
一実施形態では、基板102は、ガラス基板、プラスチック基板、ポリマー基板、金属基板、単一化された基板、ロールツーロール基板、又は上に薄膜トランジスタを形成するのに好適な他の適切な透明基板のうちのいずれであってもよい。
ステップ304では、ガス混合物が、ガス分配プレート210を介して処理チャンバ内へ供給され、これによって図4Bに示されるように、基板102上にアモルファスシリコン層402を堆積する。ガス混合物を処理チャンバ内へ供給し、これによってアモルファスシリコン層402を堆積する場合、ガス混合物は、シリコン系ガス、不活性ガスを含み、実質的に水素ガス(H)を含まないことができる。用語「実質的に水素ガスを含まない」は、ガス混合物を形成するために水素ガスの直接的な供給源を利用しないことを意味することを意図している。微量の水素ガスが不活性ガス及び/又はシリコン系ガスの供給源内に存在してもよい。適切なシリコン系ガスとしては、シラン(SiH)、ジシラン(Si)、四フッ化ケイ素(SiF)、テトラオルトシロキサン(TEOS)、四塩化ケイ素(SiCl)、ジクロロシラン(SiHCl)、及びこれらの組み合わせが挙げられるが、これらに限定されない。不活性ガスの適切な例としては、He、Ar、Ne、Kr等が挙げられる。一実施形態では、本明細書に記載のシリコン系ガスはシラン(SiH4)ガスであり、不活性ガスはアルゴンである。
シリコン系ガス及び不活性ガスは、所定のガス流量比で供給される。不活性ガスのシリコン系ガスに対する所定のガス流量比は、膜内に含まれる水素原子の最小数を有するアモルファスシリコン層の堆積を助長する。一実施形態では、シリコン系ガス及び不活性ガスは、処理チャンバ内へ所定の比で(例えば、1:20を超えて)供給される。一実施形態では、不活性ガス(例えば、アルゴンガス)のシリコン系ガス(例えば、シラン)に対する比率(R)は、約20(Ar/SiH)を超えるように、例えば、50を超えるように、例えば、約60〜約200、別の一例では、約70〜100(例えば、約75)となるように制御される。あるいはまた、処理チャンバ内に供給されるシリコン系ガス及び不活性ガスは、基板表面積(又はおおよそ等価として、基板支持面)当たりの体積流量によって供給することができる。一実施形態では、SiHガスは、処理チャンバ内へ約0.042sccm/cm〜約0.31sccm/cmで供給することができ、一方、不活性ガスは、処理チャンバ内へ約0.55sccm/cm〜約3.29sccm/cmの流量で供給することができる。従って、シリコン系ガスに対する不活性ガスの基板表面積当たりの体積流量の比は、約1.8:1〜約79:1の間である。換言すれば、ガス混合物は、シリコン系ガスの基板の表面積当たりの体積流量の約1.8倍〜約79倍の不活性ガスの基板の表面積当たりの体積流量を有する。一実施形態では、シリコン系ガスはシランであり、不活性ガスはアルゴンである。
ガス混合物に供給される不活性ガス(例えば、アルゴン)は、シリコン系ガス(例えば、シランガス)内で供給されるケイ素及び水素原子よりも相対的に高い分子量を有すると考えられる。処理中にガス混合物を供給する場合、混合ガス中のアルゴン原子は、シリコン層内のケイ素−水素及び/又は弱いケイ素−ケイ素結合の弱いダングリングボンドを追い出すのを助長し、これによってシリコン層内のケイ素原子が、シランガスからのケイ素−水素結合ではなく、強いケイ素−ケイ素結合を形成するのを可能にする。上述のように、強いケイ素−ケイ素結合は、膜の純度及び高いシリコン結合エネルギーを高め、これによってアモルファスシリコン層402内に形成された膜の品質及び純度を増加させる。更に、Ar原子は、強く頑強なシリコン結合の形成を助長し、不純物を追い出すので、シリコン層内の欠陥が低減されるだけでなく、アモルファスシリコン層内で良好な均一性を得ることができ、これによって望ましくないランダムな粒界及び粒界の欠陥を低減することができる。また、従来の水素希釈の代わりにアルゴン希釈を用いることによって、堆積プロセス中における水素原子の供給が最小化又は排除され、これによって結果として得られるアモルファスシリコン層402内に水素元素を形成する可能性を低減させることができる。アルゴン希釈堆積プロセスはまた、良好な(例えば、毎分300Åより大きい)堆積速度を提供し、これによって製造のスループットを向上させることができるとも考えられている。
いくつかのプロセスパラメータは、堆積プロセス中に制御することができる。RFソース電力は、堆積中、プラズマを維持するために印加することができる。一実施形態では、RFソース電力密度は、約10ミリワット/cm〜約200ミリワット/cmで供給することができる。あるいはまた、VHF電力を利用して、これによって約27MHz〜約200MHzまでの周波数を提供することができる。処理圧力は、約0.1トール〜約10トール(例えば、約0.5トール〜約5トール(例えば、約0.8トール〜約2トール))に維持される。ガス分配プレートアセンブリに対する基板の間隔は、基板寸法に応じて制御することができる。一実施形態では、1平方メートルより大きな基板の処理間隔は、約400ミル〜約1200ミル(例えば、約400ミル〜約850ミル(例えば、580ミル))に制御される。基板温度は、摂氏約150度〜摂氏約500度(例えば、摂氏約370度)に制御することができる。
一実施形態では、比較的低い(例えば、1500ワット未満又は100ミリワット/cm未満の)RF電力を利用することができる。堆積中に利用されるより低いRF電力は、良好な均一性制御を有するアモルファスシリコン層402の形成を助長することができると考えられる。使用されるような比較的低いRF電力は、不活性ガスによって生成される可能性のあるスパッタリング効果を低減し、これによって比較的穏やかなプラズマ環境内でアモルファスシリコン層402を堆積するのを助長し、これによって良好な均一性及び表面粗さ制御を有するアモルファスシリコン層402を形成することができると考えられる。
ステップ306では、図4Cに示されるように、アモルファスシリコン層402を基板102上に形成した後、脱水素化ポストベークプロセスを実行して、これによってアモルファスシリコン層402から水素を除去することができる。脱水素化ポストベークプロセスの後、アモルファスシリコン層402に含まれる水素含有量は、図4Cに示されるように、大部分が追い出され、これによって脱水素化されたアモルファスシリコン層404を形成することができる。上述のように、脱水素化されたアモルファスシリコン層404は、水素ガスの代わりに希釈ガスとして不活性ガス(例えば、アルゴンガス)を用いた実質的に水素を含まないガス混合物によって形成されるので、脱水素化ポストベークプロセスは、比較的短い時間フレームの間(例えば、5分未満)実行することができ、又は必要に応じて省略してもよい。
一実施形態では、脱水素化ポストベークプロセスは、アモルファスシリコン層402を堆積させた処理チャンバ内で、インサイチューで処理を行ってもよい。脱水素化ポストベークプロセスは、摂氏400度を超える(例えば、摂氏約450度〜摂氏約550度の間の)温度まで基板102を加熱し、これによって水素元素を蒸発させて脱水素化されたアモルファスシリコン層404を形成するのを助長することができる。
アモルファスシリコン層402内で水素含有量が高くない実施形態では、ステップ306で実行されるポストベーク脱水素化プロセスは、必要に応じて省略してもよい。
ステップ308では、図4Dに示すように、ポストベーク脱水素化プロセスの後、レーザアニーリングプロセスが実行され、これによって脱水素化されたアモルファスシリコン層404を多結晶シリコン層406に形質転換する。レーザプロセスは、脱水素化されたアモルファスシリコン層404を結晶化させて多結晶シリコン層406にするのを助長する。レーザアニーリングプロセスの間に提供された熱エネルギーは、多結晶シリコン層406からの粒子を成長させて大きなサイズにして、多結晶シリコン層406を形成する結晶化された粒子にするのを助長する。一実施形態では、アモルファスシリコン層402を結晶化させるのに使用されるようなレーザアニーリングプロセスは、エキシマレーザアニーリングプロセスである。レーザアニーリングプロセスは、摂氏約100度〜摂氏約1500度の間の温度に基板を熱的に処理することができる。
レーザアニーリングプロセスの後、脱水素化されたアモルファスシリコン層404は、主に(111)面の結晶方位を有し、部分的に(220)面を有する多結晶シリコン層406に形質転換される。多結晶シリコン層406の所望の結晶が形成されるので、高い光/暗伝導度比を得ることができ、多結晶シリコン層406全体の電気的特性を向上させることができる。
図1を参照して図示及び上記したように、脱水素化されたアモルファスシリコン層404が多結晶シリコン層406になった後で、パターニングプロセス、イオン注入又は他の堆積プロセスが実行され、これによってソース・ドレイン領域、ゲート誘電体層、及びソース・ドレイン電極層を形成することができ、これによってTFTデバイス構造が完成する。
上述したように、シリコン含有層は、TFTデバイス中に他の層を製造する際に利用することができる。図5Aは、本発明の別の一実施形態に係るTFTデバイス500の別の一実施形態の概略断面図である。TFTデバイス500は、ゲート電極層504が上に形成された基板502を含む。基板502は、ガラスを含むことができるが、他の基板材料(例えば、ポリマー系の基板及びフレキシブル基板)も考えられる。一実施形態では、ゲート電極層504は、任意の適切な金属材料(例えば、インジウムスズ酸化物(ITO)、酸化インジウム亜鉛(IZO)、インジウム錫亜鉛酸化物(ITZO)、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)、モリブデン(Mo)、銅(Cu)、チタン(Ti)、それらの合金、又はそれらの組み合わせから製造することができる。
基板502及びゲート電極層504の上に、ゲート絶縁層506が形成されている。ゲート絶縁層506に適した材料は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、窒化ケイ素(SiN)、又はそれらの組み合わせ等であることができる。ゲート絶縁層506は、必要に応じて、単層、複合層、二重層、複数の層、又はそれらの他の組み合わせの形態であることができる。一実施形態では、ゲート絶縁層506は、点線520で示されるように、酸化ケイ素上に配置された窒化ケイ素層又はその逆を有し、これによって基板520上に二重層として形成される。あるいはまた、ゲート絶縁層506は、必要に応じて、酸化ケイ素単層又は窒化ケイ素単層であってもよい。酸化ケイ素層及び窒化ケイ素層(又は酸窒化ケイ素層)は、上述のようにプロセス300から製造することができる。酸化ケイ素及び/又は窒化ケイ素層は、シリコン系ガスと不活性ガス(例えば、アルゴン)を有し、水素ガスを有さないガス混合物を供給することによって製造することができる。
酸化ケイ素層が形成されるように構成される実施形態では、ガス混合物は、シリコン系ガス、酸素含有ガス、及び不活性ガスを含む。シリコン系ガスの適切な例としては、シラン(SiH)、ジシラン(Si)、テトラオルトシロキサン(TEOS)、四フッ化ケイ素(SiF)、四塩化ケイ素(SiCl)、ジクロロシラン(SiHCl)、及びこれらの組み合わせが挙げられるが、これらに限定されない。酸素含有ガスの適切な例としては、O、NO、NO、HO、H、O等が挙げられる。不活性ガスの適切な例としては、He、Ar、Ne、Kr等が挙げられる。特定の一実施形態では、ここで形成される酸化ケイ素層を形成するためのガス混合物は、SiH、O、及びArガスか、又はSiH、NO又はNO、及びArガスを含む。しかしながら、もしもTEOSがシリコン系前駆体として使用されるならば、チャンバ内の全酸素含有量が高くなるので、Oを使用しないのが好ましいことに留意すべきである。
窒化ケイ素層が形成された実施形態において、ガス混合物は、シリコン系ガス、窒素含有ガス、及び不活性ガスを含む。シリコン系ガス及び不活性ガスの種類は、上記のものを使用することができる。窒素含有ガスの適切な例としては、N、NO、NO、NO、又はNH等が挙げられる。特定の一実施形態では、ここで形成される酸化ケイ素層を形成するためのガス混合物は、SiH4、N又はNH、及びArガスを含む。
不活性ガスが使用されているので、不活性ガスを使用しない場合と比較して、必要とされるRFパワーがより少ない。具体的には、RF電力の約20%が低減可能である。不活性ガス原子は重く、したがって処理中にイオン衝撃を増強するため、RF電力の低減が可能である。印加することができる適切なRF電力は、約1200mW/cm〜約1300mW/cmである。更に、シリコン系ガスと不活性ガスを特定の比率でチャンバに送出するときに、必要なRF電力量が減少するだけでなく、成膜厚さの均一性が増加する。このように、不活性ガスの添加は、再現性があり、信頼性が高く、高品質の酸化ケイ素層をもたらす。一実施形態では、不活性ガス(例えば、アルゴン)の基板表面積当たりの体積流量は、約1.05sccm/cm〜約1.828sccm/cm(例えば、1.65sccm/cm)であることができる。シリコン含有前駆体は、約0.095sccm/cm〜約0.023sccm/cm(例えば、約0.025sccm/cm)の基板面積当たりの体積流量で送出することができる。酸素含有前駆体は、約1.05sccm/cm〜約1.66 sccm/cm(例えば、約1.16sccm/cm)の基板面積当たりの体積流量で送出することができる。このように、不活性ガスの量は、送出されるシリコン系前駆体の量よりも約11〜約80倍多い。不活性ガスの量は、送出される酸素系ガスの量よりも約0.6〜約1.70倍多い。酸素系ガスの量は、送出されるシリコン系前駆体の量よりもよりも約11〜約72倍多い。
更に、プロセスパラメータは必要に応じて、プロセス300を参照してステップ304を参照して上述したアモルファスシリコン層を形成するために制御されたプロセスパラメータから同様に制御することができることに留意すべきである。
続いて、アクティブチャネル508をゲート絶縁層506上に配置することができる。アクティブチャネル508は、図3を参照して上述したプロセスによって製造された低温多結晶シリコン層(LTPS)であることができる。適切なドーパント(例えば、n型又はp型ドーパント)は、必要に応じて低温多結晶シリコン層(LTPS)内に配置して、これによってアクティブチャネル508を形成することができる。アクティブチャネル508の上にオプションのエッチストップ514を形成し、これによってソース・ドレイン電極510、512の形成時に、アクティブチャネル508を保護することができる。エッチストップ514用に利用することができる適切な材料は、酸化ケイ素、窒化ケイ素、及び酸窒化ケイ素を含む。エッチストップ514は、上述したように、ゲート絶縁層506を形成するために利用されるプロセスと同様のプロセスによって形成することができる。いくつかの実施形態では、ソース・ドレイン電極510、512の前に、別の活性層511、513を形成することができる。活性層511、513は、p型活性層又はn型活性層(例えば、n型シリコン含有層又はp型シリコン含有層)であることができる。
ソース・ドレイン電極510、512、及び(存在するならば)オプションのエッチストップ514の上に、パッシベーション層518を形成することができる。パッシベーション層518のために利用することができる適切な材料は、酸化ケイ素、窒化ケイ素、及び酸窒化ケイ素を含む。一実施形態では、上述のゲート絶縁層506と同様に、パッシベーション層518は、必要に応じて、単層、複合層、二重層、複数の層、又はこれらの他の組み合わせの形態であることができる。一実施形態では、パッシベーション層518は、点線516で示されるように、酸化ケイ素上に配置された窒化ケイ素層又はその逆を有し、これによってソース・ドレイン電極510、512上に二重層として形成される。酸化ケイ素層及び窒化ケイ素層(又は酸窒化ケイ素層)は、上記のようにプロセス300から製造することができ、又は酸化ケイ素層及び窒化ケイ素層は、ゲート絶縁層506を形成するために利用される上述のプロセスから形成することもできる。酸化ケイ素及び/又は窒化ケイ素層は、シリコン系ガスと不活性ガス(例えば、アルゴン)を有し水素ガスを含まないガス混合物を供給することによって製造することができる。あるいはまた、パッシベーション層は、酸化ケイ素単層又は窒化ケイ素単層であってもよい。
図5Bは、本発明の一実施形態に従って利用することができる金属酸化物TFTデバイス550の一実施形態を示す。金属酸化物TFTデバイス550は、アクティブチャネル508の材質が異なる点を除いて、図5Aを参照して上述したLTPS TFTデバイス500と類似の構造を有することができる。金属酸化物TFTデバイス550は、金属含有層から製造されるアクティブチャネル530が含む。金属酸化物TFTデバイス550内に形成されたアクティブチャネル530の適切な例は、とりわけInGaZnO、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuO、LaCuOS、GaN、InGaN、AlGaN、又はInGaAlNを含む。特定の一実施形態では、アクティブチャネル530は、InGaZnO(IGZO)層である。同様に、ゲート絶縁層506及びパッシベーション層518は、必要に応じて、単層、複合層、二重層、複数の層、又はこれらの他の組み合わせの形態であることができる。一例では、パッシベーション層518及びゲート絶縁層506は、酸化ケイ素上に配置された窒化ケイ素層を有する二重層であることができる。
アルゴン希釈ガスから製造された実質的に水素を含まない酸化ケイ素層が、金属酸化物TFTデバイスに使用される場合、金属酸化物TFTデバイスは、電気的特性を向上させることができる。例えば、Von(電源オン時の電圧)及びS値(サブスレッショルド電圧振幅)の両方が顕著に低減される。一例では、Vonは、約−5.5 Vから約−0.25Vへ低減する。S値は、0.7V/decadeから0.4 V/decadeへ低減する。Ion(オン電流)は、3.3E−04から1.4E−04Aへ低減する。Ioff(オフ電流)は、4.8E−12から1.4E−13Aへ低減する。移動度(MO)は、約9.8cm/(V・s)から約9.9cm/(V・s)へ増加する。
図6は、本発明の一実施形態に従って利用することができる金属酸化物TFTデバイス600の一実施形態を示す。金属酸化物TFTデバイス600は、図5Bを参照して上述した金属酸化物TFTデバイス550と類似の構造を有することができる。金属酸化物TFTデバイス600はまた、金属含有層から製造されたアクティブチャネル530も含む。金属酸化物TFTデバイス600内に形成されるアクティブチャネル530の適当な例は、とりわけInGaZnO、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuO、LaCuOS、GaN、InGaN、AlGaN、又はInGaAlNを含む。更に、アクティブチャネル530と接触している上部界面540及び下部界面542は、実質的に水素なしの膜特性を有するように構成される。上部界面540及び下部界面542は、水素を含まない材料から作られる。例えば、下部界面542は、アクティブチャネル530とゲート絶縁層506との間に形成される。この場合、ゲート絶縁層506は、図5A〜図5Bに記載のTFTデバイスを参照して上述したように、実質的に水素を含まない酸化ケイ素層から形成するように選択することができる。ゲート絶縁層506が二層となるように構成される実施形態では、ゲート絶縁層506は、基板502上に配置された窒化ケイ素層と、アクティブチャネル530と接触している窒化ケイ素層上に配置された実質的に水素を含まない酸化ケイ素層を有することができる。同様に、上部界面540は、アクティブチャネル530と、ソース・ドレインチャネル532の開口部によって画定されるパッシベーション層518との間に形成される。図5A〜図5Bに記載のTFTデバイスを参照して上述したように、上部界面540もまた、実質的に水素を含まない酸化ケイ素層から形成するように選択することができる。パッシベーション層518が二層となるように構成される実施形態では、パッシベーション層518は、アクティブチャネル530と接触してアクティブチャネル530上に配置された水素を含まない酸化ケイ素層と、水素を含まない酸化ケイ素層上に配置された窒化ケイ素層を有することができる。
あるいはまた、追加の層を界面保護層として界面542、540に形成することもできる。一実施形態では、エッチストップ層を界面542、540に形成される界面保護層として使用し、これによって実質的に水素を含まない界面を維持することもできる。同様に、一実施例では、界面保護層は、図5A〜図5Bに記載のTFTデバイスを参照して上記したように、実質的に水素を含まない酸化ケイ素層である。別の一実施例では、界面保護層は、金属含有誘電体層(例えば、TaN、TiN、WN、CuN、及び水素を実質的に含まない任意の他の適切な材料(例えば、最小の水素含有量を有する))である。
なお、実質的に水素を含まない界面540、542のアクティブチャネル530との接触を維持することにより、アクティブチャネルへの水素攻撃の可能性を低減し、これによって高品質の界面を得て、金属酸化物TFTデバイス600の電子性能を改善することができると考えられる。
なお、本出願において使用される窒化ケイ素層はまた、当該技術分野で利用可能な任意の他の適切なプロセス又は技術によって得ることができることに留意すべきである。
このように、本明細書に記載の方法は、有利なことに、シリコン含有層の水素含有量を最小化することによって、電気的デバイスの電子移動度、安定性、及び均一性を改善し、これによってデバイス性能を向上させる。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (12)

  1. 酸化ケイ素層を形成するための方法であって、
    処理チャンバ内にシリコン系ガス、不活性ガス、及び酸素含有ガスを有するガス混合物を供給する工程であって、ガス混合物は、不活性ガスの基板の表面積当たりの体積流量が、シリコン系ガスの基板の表面積当たりの体積流量の約11倍〜約80倍であるガス混合物を供給する工程と、
    ガス混合物を点火してプラズマ化するためにRF電力を印加する工程と、
    基板上に酸化ケイ素層を形成する工程と、
    酸化ケイ素層が基板上に形成された後の基板を処理チャンバ内で摂氏約450度〜摂氏約500度の温度に5分未満の間、インサイチューで熱処理する工程を含む方法。
  2. シリコン系ガスはシランを含む請求項記載の方法。
  3. 酸素含有ガスはOを含む請求項記載の方法。
  4. 酸素含有ガスはNOを含む請求項記載の方法。
  5. シリコン系ガスはTEOSを含む請求項記載の方法。
  6. 酸素含有ガスはNOを含む請求項記載の方法。
  7. ガス混合物は、不活性ガスの基板の表面積当たりの体積流量が、酸素含有ガスの基板の表面積当たりの体積流量の約0.6倍〜約1.7倍である請求項記載の方法。
  8. シリコン系ガスはシランを含む請求項記載の方法。
  9. 酸素含有ガスはO又はNOを含む請求項記載の方法。
  10. ガス混合物は、酸素含有ガスの基板の表面積当たりの体積流量が、シリコン系ガスの基板の表面積当たりの体積流量の約11倍〜約72倍である請求項記載の方法。
  11. ガス混合物は、酸素含有ガスの基板の表面積当たりの体積流量が、シリコン系ガスの基板の表面積当たりの体積流量の約11倍〜約72倍である請求項記載の方法。
  12. 基板と、
    基板上に配置され、実質的に水素を含まない酸化ケイ素層を含むゲート絶縁層と、
    ゲート絶縁層上に配置され、InGaZnO、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuO、LaCuOS、GaN、InGaN、AlGaN、又はInGaAlNのうちの少なくとも1つを含むアクティブチャネルと、
    アクティブチャネル上に配置されたソース・ドレイン電極と、
    ソース・ドレイン電極層上に配置され、実質的に水素を含まない酸化ケイ素層を含むパッシベーション層を含み、実質的に水素を含まない酸化ケイ素層は、
    処理チャンバ内にシリコン系ガス、不活性ガス、及び酸素含有ガスを有するガス混合物を供給する工程であって、ガス混合物は、不活性ガスの基板の表面積当たりの体積流量が、シリコン系ガスの基板の表面積当たりの体積流量の約11倍〜約80倍であるガス混合物を供給する工程と、
    ガス混合物に点火してプラズマ化するRF電力を印加する工程と、
    基板上に実質的に水素を含まない酸化ケイ素層を形成する工程と、
    酸化ケイ素層が基板上に形成された後の基板を処理チャンバ内で摂氏約450度〜摂氏約500度の温度に5分未満の間、インサイチューで熱処理する工程によって作られる金属酸化物TFTデバイス。
JP2014534591A 2011-10-07 2012-09-24 アルゴンガス希釈によるシリコン含有層を堆積するための方法 Active JP6204917B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161544432P 2011-10-07 2011-10-07
US61/544,432 2011-10-07
US201261611532P 2012-03-15 2012-03-15
US61/611,532 2012-03-15
PCT/US2012/056928 WO2013052298A1 (en) 2011-10-07 2012-09-24 Methods for depositing a silicon containing layer with argon gas dilution

Publications (3)

Publication Number Publication Date
JP2015501078A JP2015501078A (ja) 2015-01-08
JP2015501078A5 JP2015501078A5 (ja) 2016-07-21
JP6204917B2 true JP6204917B2 (ja) 2017-09-27

Family

ID=48041504

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014534591A Active JP6204917B2 (ja) 2011-10-07 2012-09-24 アルゴンガス希釈によるシリコン含有層を堆積するための方法

Country Status (6)

Country Link
US (1) US9287137B2 (ja)
JP (1) JP6204917B2 (ja)
KR (3) KR20180118803A (ja)
CN (1) CN103828061B (ja)
TW (1) TWI550722B (ja)
WO (1) WO2013052298A1 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8969867B2 (en) * 2012-01-18 2015-03-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
TW201438199A (zh) * 2013-03-29 2014-10-01 Hon Hai Prec Ind Co Ltd 主動式固態發光顯示器
US20160225913A1 (en) * 2013-08-30 2016-08-04 Japan Science And Technology Agency Ingaaln-based semiconductor device
CN103531640A (zh) * 2013-11-01 2014-01-22 京东方科技集团股份有限公司 薄膜晶体管、阵列基板及其制造方法和显示装置
CN103730373B (zh) * 2013-12-31 2016-09-07 京东方科技集团股份有限公司 一种半导体器件的制备方法及半导体器件
KR102173644B1 (ko) * 2014-01-29 2020-11-03 엘지전자 주식회사 태양 전지 및 이의 제조 방법
JP6412322B2 (ja) * 2014-03-13 2018-10-24 東京エレクトロン株式会社 半導体デバイス、その製造方法、及びその製造装置
CN104538405B (zh) * 2015-01-04 2018-02-27 京东方科技集团股份有限公司 一种阵列基板及其制造方法和显示装置
CN105097550A (zh) * 2015-08-04 2015-11-25 深圳市华星光电技术有限公司 低温多晶硅薄膜晶体管的制作方法及低温多晶硅薄膜晶体管
TWI715645B (zh) * 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積
JP6689140B2 (ja) * 2016-06-17 2020-04-28 東京エレクトロン株式会社 成膜方法およびtftの製造方法
RU2650381C1 (ru) * 2016-12-12 2018-04-11 федеральное государственное бюджетное образовательное учреждение высшего образования "Омский государственный университет им. Ф.М. Достоевского" Способ формирования тонких пленок аморфного кремния
DE112017007929T5 (de) * 2017-09-29 2020-06-04 Intel Corporation Kristalliner mehrschicht-dünnfilm-transistor mit rückseitigem gate hintergrund
TWI648844B (zh) 2017-11-06 2019-01-21 Industrial Technology Research Institute 薄膜電晶體及其製造方法
KR20190061872A (ko) * 2017-11-28 2019-06-05 주식회사 원익아이피에스 비정질 실리콘막의 형성 방법
US10768532B2 (en) * 2018-05-15 2020-09-08 International Business Machines Corporation Co-optimization of lithographic and etching processes with complementary post exposure bake by laser annealing
JP7130548B2 (ja) * 2018-07-30 2022-09-05 東京エレクトロン株式会社 成膜方法及び成膜装置
TWI699892B (zh) * 2018-09-21 2020-07-21 友達光電股份有限公司 電子裝置及其製造方法
US10672797B2 (en) * 2018-09-30 2020-06-02 Chongqing Hkc Optoelectronics Technology Co., Ltd. Array substrate, method for fabricating array substrate and display
US10748759B2 (en) 2019-01-15 2020-08-18 Applied Materials, Inc. Methods for improved silicon nitride passivation films
US11037851B2 (en) 2019-08-30 2021-06-15 Applied Materials, Inc. Nitrogen-rich silicon nitride films for thin film transistors
US11495512B2 (en) * 2020-04-30 2022-11-08 Wisconsin Alumni Research Foundation Flexible transistors with near-junction heat dissipation
TWI756757B (zh) * 2020-07-28 2022-03-01 國立中山大學 薄膜電晶體的製造方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5773174A (en) * 1980-10-24 1982-05-07 Semiconductor Energy Lab Co Ltd Manufacturing apparatus for coating film
JP2633551B2 (ja) * 1987-03-18 1997-07-23 株式会社東芝 薄膜形成方法
KR920010885A (ko) 1990-11-30 1992-06-27 카나이 쯔또무 박막반도체와 그 제조방법 및 제조장치 및 화상처리장치
KR100327086B1 (ko) * 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
AUPM982294A0 (en) 1994-12-02 1995-01-05 Pacific Solar Pty Limited Method of manufacturing a multilayer solar cell
JPH08288271A (ja) * 1995-04-18 1996-11-01 Sony Corp 成膜方法およびこれに用いる成膜装置
WO1997022141A1 (fr) * 1995-12-14 1997-06-19 Seiko Epson Corporation Procede de fabrication d'un film semi-conducteur mince et dispositif obtenu par ce procede
FR2743193B1 (fr) 1996-01-02 1998-04-30 Univ Neuchatel Procede et dispositif de depot d'au moins une couche de silicium hydrogene microcristallin ou nanocristallin intrinseque, et cellule photovoltaique et transistor a couches minces obtenus par la mise en oeuvre de ce procede
JPH10117006A (ja) 1996-08-23 1998-05-06 Kanegafuchi Chem Ind Co Ltd 薄膜光電変換装置
JP2985789B2 (ja) * 1996-08-30 1999-12-06 日本電気株式会社 半導体装置の製造方法
US6730368B1 (en) * 1997-09-25 2004-05-04 Kabushiki Kaisha Toshiba Method of preparing a poly-crystalline silicon film
JPH11102861A (ja) * 1997-09-25 1999-04-13 Toshiba Corp 多結晶シリコン薄膜の製造方法
EP1041646B1 (en) 1997-11-10 2012-12-12 Kaneka Corporation Method of producing silicon thin-film photoelectric transducer
EP0994515B1 (en) 1998-10-12 2007-08-22 Kaneka Corporation Method of manufacturing silicon-based thin-film photoelectric conversion device
JP3589581B2 (ja) 1999-02-26 2004-11-17 株式会社カネカ タンデム型の薄膜光電変換装置の製造方法
JP3046965B1 (ja) 1999-02-26 2000-05-29 鐘淵化学工業株式会社 非晶質シリコン系薄膜光電変換装置の製造方法
US6200825B1 (en) 1999-02-26 2001-03-13 Kaneka Corporation Method of manufacturing silicon based thin film photoelectric conversion device
IT1312150B1 (it) 1999-03-25 2002-04-09 Lpe Spa Perfezionata camera di reazione per reattore epitassiale
TW480554B (en) * 1999-07-22 2002-03-21 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
DE19935046C2 (de) 1999-07-26 2001-07-12 Schott Glas Plasma-CVD-Verfahren und Vorrichtung zur Herstellung einer mikrokristallinen Si:H-Schicht auf einem Substrat sowie deren Verwendung
JP2001110724A (ja) * 1999-10-06 2001-04-20 Matsushita Electric Ind Co Ltd アモルファスシリコン薄膜とそれを用いたポリシリコン薄膜の製造方法
JP4493779B2 (ja) * 2000-01-31 2010-06-30 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JP3527496B2 (ja) 2000-03-03 2004-05-17 松下電器産業株式会社 半導体装置
JP2001345272A (ja) 2000-05-31 2001-12-14 Canon Inc シリコン系薄膜の形成方法、シリコン系薄膜及び光起電力素子
JP2001168100A (ja) * 2000-08-25 2001-06-22 Seiko Epson Corp 半導体装置の製造方法
EP1355864A2 (en) * 2000-08-28 2003-10-29 Applied Materials, Inc. Pre-polycoating of glass substrates
US6566159B2 (en) 2000-10-04 2003-05-20 Kaneka Corporation Method of manufacturing tandem thin-film solar cell
JP2002176180A (ja) * 2000-12-06 2002-06-21 Hitachi Ltd 薄膜半導体素子及びその製造方法
JP4610080B2 (ja) * 2000-12-25 2011-01-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
TW523931B (en) * 2001-02-20 2003-03-11 Hitachi Ltd Thin film transistor and method of manufacturing the same
JP4433131B2 (ja) 2001-03-22 2010-03-17 キヤノン株式会社 シリコン系薄膜の形成方法
US6649032B2 (en) * 2001-05-21 2003-11-18 Sharp Laboratories Of America, Inc. System and method for sputtering silicon films using hydrogen gas mixtures
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
JP2003264198A (ja) * 2003-02-06 2003-09-19 Nec Corp 薄膜トランジスタおよび薄膜トランジスタを用いた電子機器
JP4341062B2 (ja) * 2003-02-12 2009-10-07 日本電気株式会社 薄膜トランジスタおよびその製造方法
US6759277B1 (en) 2003-02-27 2004-07-06 Sharp Laboratories Of America, Inc. Crystalline silicon die array and method for assembling crystalline silicon sheets onto substrates
JP2005004183A (ja) * 2003-05-20 2005-01-06 Advanced Lcd Technologies Development Center Co Ltd 発光型表示装置
JP2005247678A (ja) * 2004-02-03 2005-09-15 Seiko Epson Corp 酸化シリコン膜の形成方法および酸化シリコン膜
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100718837B1 (ko) 2004-12-30 2007-05-16 삼성전자주식회사 반구형 실리콘을 갖는 캐패시터의 제조 방법 및 이를이용한 반도체 장치의 제조 방법
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7829159B2 (en) * 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP5309426B2 (ja) 2006-03-29 2013-10-09 株式会社Ihi 微結晶シリコン膜形成方法及び太陽電池
US7655542B2 (en) 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
JP5105842B2 (ja) * 2006-12-05 2012-12-26 キヤノン株式会社 酸化物半導体を用いた表示装置及びその製造方法
KR101344483B1 (ko) * 2007-06-27 2013-12-24 삼성전자주식회사 박막 트랜지스터
US8334537B2 (en) * 2007-07-06 2012-12-18 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device
JP5395384B2 (ja) * 2007-09-07 2014-01-22 株式会社半導体エネルギー研究所 薄膜トランジスタの作製方法
JP4606450B2 (ja) * 2007-11-05 2011-01-05 株式会社日立製作所 表示装置
JP5469851B2 (ja) * 2007-11-27 2014-04-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR101412761B1 (ko) * 2008-01-18 2014-07-02 삼성디스플레이 주식회사 박막 트랜지스터 기판 및 이의 제조 방법
JP5306705B2 (ja) * 2008-05-23 2013-10-02 株式会社半導体エネルギー研究所 半導体装置
US8258511B2 (en) * 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
JP5564331B2 (ja) * 2009-05-29 2014-07-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
CN104681568B (zh) * 2009-10-21 2017-11-21 株式会社半导体能源研究所 显示装置和包括显示装置的电子设备
WO2011055625A1 (en) * 2009-11-06 2011-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and operating method thereof
JP2011139052A (ja) * 2009-12-04 2011-07-14 Semiconductor Energy Lab Co Ltd 半導体記憶装置

Also Published As

Publication number Publication date
TW201320199A (zh) 2013-05-16
US20130087783A1 (en) 2013-04-11
TWI550722B (zh) 2016-09-21
WO2013052298A1 (en) 2013-04-11
CN103828061A (zh) 2014-05-28
CN103828061B (zh) 2018-02-13
KR20180118803A (ko) 2018-10-31
KR20170033917A (ko) 2017-03-27
KR101912888B1 (ko) 2018-12-28
JP2015501078A (ja) 2015-01-08
US9287137B2 (en) 2016-03-15
KR20140074352A (ko) 2014-06-17

Similar Documents

Publication Publication Date Title
JP6204917B2 (ja) アルゴンガス希釈によるシリコン含有層を堆積するための方法
US8101949B2 (en) Treatment of gate dielectric for making high performance metal oxide and metal oxynitride thin film transistors
TWI707969B (zh) 用於含金屬材料的高壓退火過程
US9123707B2 (en) Methods for forming a hydrogen free silicon containing dielectric film
US8110453B2 (en) Low temperature thin film transistor process, device property, and device stability improvement
US7833885B2 (en) Microcrystalline silicon thin film transistor
US10224432B2 (en) Surface treatment process performed on devices for TFT applications
US10170569B2 (en) Thin film transistor fabrication utlizing an interface layer on a metal electrode layer
WO2020257314A1 (en) Method of forming inductively coupled high density plasma films for thin film transistor structures
JP2004288864A (ja) 薄膜半導体、薄膜トランジスタの製造方法、電気光学装置及び電子機器
US10748759B2 (en) Methods for improved silicon nitride passivation films
JPH04186634A (ja) 薄膜半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160530

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20160530

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160812

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20160825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160830

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161129

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170511

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20170519

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170901

R150 Certificate of patent or registration of utility model

Ref document number: 6204917

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250