CN106367730A - 用于沉积第13族金属或类金属氮化物膜的方法 - Google Patents
用于沉积第13族金属或类金属氮化物膜的方法 Download PDFInfo
- Publication number
- CN106367730A CN106367730A CN201610592097.9A CN201610592097A CN106367730A CN 106367730 A CN106367730 A CN 106367730A CN 201610592097 A CN201610592097 A CN 201610592097A CN 106367730 A CN106367730 A CN 106367730A
- Authority
- CN
- China
- Prior art keywords
- plasma
- aluminum
- nitrogen
- reactor
- borine
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 125
- -1 metalloid nitride Chemical class 0.000 title claims abstract description 46
- 229910052752 metalloid Inorganic materials 0.000 title claims abstract description 30
- 229910021482 group 13 metal Inorganic materials 0.000 title abstract 2
- 238000000151 deposition Methods 0.000 title description 47
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 331
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 165
- 238000010926 purge Methods 0.000 claims abstract description 121
- 239000002243 precursor Substances 0.000 claims abstract description 114
- 229910052782 aluminium Inorganic materials 0.000 claims abstract description 92
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims abstract description 92
- 239000007789 gas Substances 0.000 claims abstract description 66
- 239000000758 substrate Substances 0.000 claims abstract description 54
- 239000001257 hydrogen Substances 0.000 claims abstract description 28
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 28
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 22
- 239000011261 inert gas Substances 0.000 claims abstract description 18
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 100
- UORVGPXVDQYIDP-UHFFFAOYSA-N trihydridoboron Substances B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 claims description 59
- 229910000085 borane Inorganic materials 0.000 claims description 57
- 229910052751 metal Inorganic materials 0.000 claims description 57
- 239000002184 metal Substances 0.000 claims description 57
- 229910052786 argon Inorganic materials 0.000 claims description 50
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 45
- 229910052796 boron Inorganic materials 0.000 claims description 45
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical group [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 claims description 41
- 230000004087 circulation Effects 0.000 claims description 37
- 229910017083 AlN Inorganic materials 0.000 claims description 36
- 239000001307 helium Substances 0.000 claims description 32
- 229910052734 helium Inorganic materials 0.000 claims description 32
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 32
- YHAYIJLQKPMRAW-UHFFFAOYSA-N N-alumanyl-N-methylmethanamine Chemical compound CN(C)[AlH2] YHAYIJLQKPMRAW-UHFFFAOYSA-N 0.000 claims description 27
- 125000000217 alkyl group Chemical group 0.000 claims description 26
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 claims description 24
- 229910052582 BN Inorganic materials 0.000 claims description 23
- 150000004767 nitrides Chemical class 0.000 claims description 23
- 238000000231 atomic layer deposition Methods 0.000 claims description 19
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 claims description 18
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 16
- 125000003368 amide group Chemical group 0.000 claims description 14
- 238000006243 chemical reaction Methods 0.000 claims description 14
- 150000002738 metalloids Chemical class 0.000 claims description 14
- 230000009257 reactivity Effects 0.000 claims description 14
- BKVIYDNLLOSFOA-UHFFFAOYSA-N thallium Chemical compound [Tl] BKVIYDNLLOSFOA-UHFFFAOYSA-N 0.000 claims description 14
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 claims description 14
- 125000000304 alkynyl group Chemical group 0.000 claims description 13
- 230000008859 change Effects 0.000 claims description 13
- 238000005516 engineering process Methods 0.000 claims description 13
- 230000008569 process Effects 0.000 claims description 13
- 238000005070 sampling Methods 0.000 claims description 13
- 125000002769 thiazolinyl group Chemical group 0.000 claims description 13
- 125000004429 atom Chemical group 0.000 claims description 11
- 229910052716 thallium Inorganic materials 0.000 claims description 10
- 150000001875 compounds Chemical class 0.000 claims description 9
- ZLOKVAIRQVQRGC-UHFFFAOYSA-N CN(C)[Ti] Chemical compound CN(C)[Ti] ZLOKVAIRQVQRGC-UHFFFAOYSA-N 0.000 claims description 8
- 125000003118 aryl group Chemical group 0.000 claims description 8
- MOOCVRMMLJGZKS-UHFFFAOYSA-N n-alumanyl-n-methylethanamine Chemical compound CCN(C)[AlH2] MOOCVRMMLJGZKS-UHFFFAOYSA-N 0.000 claims description 8
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 claims description 8
- KVKAPJGOOSOFDJ-UHFFFAOYSA-N CN(C)[Ta] Chemical compound CN(C)[Ta] KVKAPJGOOSOFDJ-UHFFFAOYSA-N 0.000 claims description 7
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 claims description 7
- 125000001664 diethylamino group Chemical group [H]C([H])([H])C([H])([H])N(*)C([H])([H])C([H])([H])[H] 0.000 claims description 7
- 229910052733 gallium Inorganic materials 0.000 claims description 7
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical group CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 claims description 7
- 150000002431 hydrogen Chemical class 0.000 claims description 6
- 229910052738 indium Inorganic materials 0.000 claims description 6
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 claims description 6
- MHMBUJVKUFAYFM-UHFFFAOYSA-N C(C)N(C)[Ta] Chemical compound C(C)N(C)[Ta] MHMBUJVKUFAYFM-UHFFFAOYSA-N 0.000 claims description 5
- OTSOGXNIABDRQR-UHFFFAOYSA-N C(C)N(CC)[Ta] Chemical compound C(C)N(CC)[Ta] OTSOGXNIABDRQR-UHFFFAOYSA-N 0.000 claims description 5
- 229910002601 GaN Inorganic materials 0.000 claims description 5
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 claims description 5
- 150000001412 amines Chemical class 0.000 claims description 5
- NWAIGJYBQQYSPW-UHFFFAOYSA-N azanylidyneindigane Chemical compound [In]#N NWAIGJYBQQYSPW-UHFFFAOYSA-N 0.000 claims description 5
- 230000015572 biosynthetic process Effects 0.000 claims description 5
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 claims description 5
- YNAVUWVOSKDBBP-UHFFFAOYSA-N Morpholine Chemical compound C1COCCN1 YNAVUWVOSKDBBP-UHFFFAOYSA-N 0.000 claims description 4
- JGFZNNIVVJXRND-UHFFFAOYSA-N N,N-Diisopropylethylamine (DIPEA) Chemical compound CCN(C(C)C)C(C)C JGFZNNIVVJXRND-UHFFFAOYSA-N 0.000 claims description 4
- SJRJJKPEHAURKC-UHFFFAOYSA-N N-Methylmorpholine Chemical compound CN1CCOCC1 SJRJJKPEHAURKC-UHFFFAOYSA-N 0.000 claims description 4
- 125000001931 aliphatic group Chemical group 0.000 claims description 4
- SKKMWRVAJNPLFY-UHFFFAOYSA-N azanylidynevanadium Chemical compound [V]#N SKKMWRVAJNPLFY-UHFFFAOYSA-N 0.000 claims description 4
- 238000010894 electron beam technology Methods 0.000 claims description 4
- 229910052715 tantalum Inorganic materials 0.000 claims description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 4
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 claims description 4
- 229910052721 tungsten Inorganic materials 0.000 claims description 4
- 239000010937 tungsten Substances 0.000 claims description 4
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 claims description 3
- 241001493498 Ipomoea jalapa Species 0.000 claims description 3
- 238000009616 inductively coupled plasma Methods 0.000 claims description 3
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 claims description 3
- IUBCUJZHRZSKDG-UHFFFAOYSA-N C(C)N(C)[Hf] Chemical compound C(C)N(C)[Hf] IUBCUJZHRZSKDG-UHFFFAOYSA-N 0.000 claims description 2
- GMNCNDKLAZDHRJ-UHFFFAOYSA-N C(C)N(C)[W] Chemical compound C(C)N(C)[W] GMNCNDKLAZDHRJ-UHFFFAOYSA-N 0.000 claims description 2
- FWDQDBTTXSRLHJ-UHFFFAOYSA-N C(C)N(C)[Zr] Chemical compound C(C)N(C)[Zr] FWDQDBTTXSRLHJ-UHFFFAOYSA-N 0.000 claims description 2
- YMDJRROUOWZFPN-UHFFFAOYSA-N C(C)N(CC)[W] Chemical compound C(C)N(CC)[W] YMDJRROUOWZFPN-UHFFFAOYSA-N 0.000 claims description 2
- LJWMXWPHTQLTNI-UHFFFAOYSA-N CCN(C)[Ti] Chemical compound CCN(C)[Ti] LJWMXWPHTQLTNI-UHFFFAOYSA-N 0.000 claims description 2
- VLYNEXSJZWRPQG-UHFFFAOYSA-N CCN([Hf])CC Chemical compound CCN([Hf])CC VLYNEXSJZWRPQG-UHFFFAOYSA-N 0.000 claims description 2
- PWVDYRRUAODGNC-UHFFFAOYSA-N CCN([Ti])CC Chemical compound CCN([Ti])CC PWVDYRRUAODGNC-UHFFFAOYSA-N 0.000 claims description 2
- DVOBFBBUJSCXOR-UHFFFAOYSA-N CCN([Zr])CC Chemical compound CCN([Zr])CC DVOBFBBUJSCXOR-UHFFFAOYSA-N 0.000 claims description 2
- PTDGQDJPIFTKJL-UHFFFAOYSA-N CN(C)[W] Chemical compound CN(C)[W] PTDGQDJPIFTKJL-UHFFFAOYSA-N 0.000 claims description 2
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 claims description 2
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 claims description 2
- SEQDDYPDSLOBDC-UHFFFAOYSA-N Temazepam Chemical compound N=1C(O)C(=O)N(C)C2=CC=C(Cl)C=C2C=1C1=CC=CC=C1 SEQDDYPDSLOBDC-UHFFFAOYSA-N 0.000 claims description 2
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 claims description 2
- NNTOJPXOCKCMKR-UHFFFAOYSA-N boron;pyridine Chemical compound [B].C1=CC=NC=C1 NNTOJPXOCKCMKR-UHFFFAOYSA-N 0.000 claims description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 2
- 229910052737 gold Inorganic materials 0.000 claims description 2
- 239000010931 gold Substances 0.000 claims description 2
- 229910052735 hafnium Inorganic materials 0.000 claims description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 2
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 claims description 2
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 claims description 2
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 claims description 2
- WIDQNNDDTXUPAN-UHFFFAOYSA-I tungsten(v) chloride Chemical compound Cl[W](Cl)(Cl)(Cl)Cl WIDQNNDDTXUPAN-UHFFFAOYSA-I 0.000 claims description 2
- 229910052726 zirconium Inorganic materials 0.000 claims description 2
- DUNKXUFBGCUVQW-UHFFFAOYSA-J zirconium tetrachloride Chemical compound Cl[Zr](Cl)(Cl)Cl DUNKXUFBGCUVQW-UHFFFAOYSA-J 0.000 claims description 2
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 claims 3
- FGUUSXIOTUKUDN-IBGZPJMESA-N C1(=CC=CC=C1)N1C2=C(NC([C@H](C1)NC=1OC(=NN=1)C1=CC=CC=C1)=O)C=CC=C2 Chemical compound C1(=CC=CC=C1)N1C2=C(NC([C@H](C1)NC=1OC(=NN=1)C1=CC=CC=C1)=O)C=CC=C2 FGUUSXIOTUKUDN-IBGZPJMESA-N 0.000 claims 2
- 230000005855 radiation Effects 0.000 claims 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims 1
- 239000000460 chlorine Substances 0.000 claims 1
- 229910052801 chlorine Inorganic materials 0.000 claims 1
- 239000000470 constituent Substances 0.000 claims 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 abstract 2
- 239000010408 film Substances 0.000 description 124
- 230000008021 deposition Effects 0.000 description 38
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 34
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 17
- 229910021529 ammonia Inorganic materials 0.000 description 17
- 239000000203 mixture Substances 0.000 description 16
- 229910052710 silicon Inorganic materials 0.000 description 16
- 239000010703 silicon Substances 0.000 description 16
- 238000013461 design Methods 0.000 description 12
- 230000012010 growth Effects 0.000 description 12
- 230000005587 bubbling Effects 0.000 description 11
- 239000000463 material Substances 0.000 description 10
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 9
- 239000012159 carrier gas Substances 0.000 description 9
- 238000012545 processing Methods 0.000 description 9
- 239000010936 titanium Substances 0.000 description 9
- 229910052719 titanium Inorganic materials 0.000 description 9
- 229910052799 carbon Inorganic materials 0.000 description 7
- 125000004432 carbon atom Chemical group C* 0.000 description 7
- 239000007788 liquid Substances 0.000 description 7
- 229910052754 neon Inorganic materials 0.000 description 6
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 6
- 230000002085 persistent effect Effects 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- 239000004411 aluminium Substances 0.000 description 5
- 230000002708 enhancing effect Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000010409 thin film Substances 0.000 description 5
- 150000001335 aliphatic alkanes Chemical class 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 239000011248 coating agent Substances 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 239000012528 membrane Substances 0.000 description 4
- 229910052756 noble gas Inorganic materials 0.000 description 4
- 150000002835 noble gases Chemical class 0.000 description 4
- 230000003287 optical effect Effects 0.000 description 4
- 239000000376 reactant Substances 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 238000012512 characterization method Methods 0.000 description 3
- 239000003153 chemical reaction reagent Substances 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 125000004122 cyclic group Chemical group 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 238000009472 formulation Methods 0.000 description 3
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 3
- 150000002825 nitriles Chemical class 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 238000009832 plasma treatment Methods 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 239000002904 solvent Substances 0.000 description 3
- 125000001424 substituent group Chemical group 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical group CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 150000001721 carbon Chemical group 0.000 description 2
- 238000001311 chemical methods and process Methods 0.000 description 2
- 125000000753 cycloalkyl group Chemical group 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000005611 electricity Effects 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 229960002050 hydrofluoric acid Drugs 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 125000000250 methylamino group Chemical group [H]N(*)C([H])([H])[H] 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 230000000737 periodic effect Effects 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- SDGKUVSVPIIUCF-UHFFFAOYSA-N 2,6-dimethylpiperidine Chemical compound CC1CCCC(C)N1 SDGKUVSVPIIUCF-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 241000196324 Embryophyta Species 0.000 description 1
- FUGKGAANKMDVCC-UHFFFAOYSA-N N-alumanyl-N-ethylethanamine Chemical compound CCN([AlH2])CC FUGKGAANKMDVCC-UHFFFAOYSA-N 0.000 description 1
- 241000009328 Perro Species 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 125000003545 alkoxy group Chemical group 0.000 description 1
- 150000001349 alkyl fluorides Chemical class 0.000 description 1
- 239000012687 aluminium precursor Substances 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 210000001367 artery Anatomy 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- UORVGPXVDQYIDP-BJUDXGSMSA-N borane Chemical class [10BH3] UORVGPXVDQYIDP-BJUDXGSMSA-N 0.000 description 1
- 244000309464 bull Species 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 125000004803 chlorobenzyl group Chemical group 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 125000004177 diethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- LIWAQLJGPBVORC-UHFFFAOYSA-N ethylmethylamine Chemical compound CCNC LIWAQLJGPBVORC-UHFFFAOYSA-N 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 229910021397 glassy carbon Inorganic materials 0.000 description 1
- 230000007773 growth pattern Effects 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 125000001841 imino group Chemical group [H]N=* 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 238000001802 infusion Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- SOLWORTYZPSMAK-UHFFFAOYSA-N n-[bis(dimethylamino)boranyl]-n-methylmethanamine Chemical compound CN(C)B(N(C)C)N(C)C SOLWORTYZPSMAK-UHFFFAOYSA-N 0.000 description 1
- SDWBRBLWPQDUQP-UHFFFAOYSA-N n-boranyl-n-methylmethanamine Chemical compound BN(C)C SDWBRBLWPQDUQP-UHFFFAOYSA-N 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 125000000587 piperidin-1-yl group Chemical group [H]C1([H])N(*)C([H])([H])C([H])([H])C([H])([H])C1([H])[H] 0.000 description 1
- 239000002574 poison Substances 0.000 description 1
- 231100000614 poison Toxicity 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical class [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000004611 spectroscopical analysis Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 239000004408 titanium dioxide Substances 0.000 description 1
- 125000003944 tolyl group Chemical group 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
- 210000003462 vein Anatomy 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28202—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/301—AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
- C23C16/303—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/342—Boron nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4408—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45531—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/48—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Electromagnetism (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Abstract
本文描述的是用于形成第13族金属或类金属氮化物膜的方法。在一个方面,提供了一种形成氮化铝膜的方法,包括以下步骤:将衬底提供到反应器中;将至少一种铝前体引入到所述反应器中,该铝前体在所述衬底的至少一部分表面上反应以提供化学吸附层;用吹扫气体吹扫所述反应器;将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和任选地,用惰性气体吹扫所述反应器;和其中重复步骤直到获得期望厚度的所述氮化铝膜。
Description
相关申请的交叉引用
本申请根据35U.S.C.§119(e)要求2015年7月24日提交的美国临时专利申请第61/196,494号的优先权,其全文通过援引加入本文。
技术领域
本文描述的是使用一种或多种第13族金属或类金属前体来沉积元素周期表第13族的金属的化学计量或非化学计量的金属或类金属氮化物膜的方法。更具体地,本文描述的是用于沉积膜的基于等离子体的方法,包括但不限于通常用于沉积可用于例如制造集成电路器件的第13族金属或类金属膜(如铝、镓、铟、铊、硼或其组合)的等离子体增强原子层沉积(“PEALD”)法和PEALD样等离子体增强循环化学气相沉积(“PECCVD”)法。因为它们的独特性质的组合,含第13族的金属或类金属膜,例如但不限于氮化铝(AlN)或氮化硼(BN)膜,可以用于各种各样的电子应用。
发明背景
现有技术提供了用于制备和使用第13族金属或类金属膜如AlN膜的不同方法。例如,参考文献“The influence of N2/H2 and ammonia N source materials on opticaland structural properties of AlN films grown by plasma enhanced atomic layerdeposition”,Alevli,M.等人,J.Cryst.Growth,Vol.335(1):51-57(2011)公开了作为N源材料的N2/H2和氨对于使用三甲基铝作为金属通过等离子体增强原子层沉积生长的AlN膜的性质的影响。在N源从NH3改变为N2/H2时,AlN的生长速率/循环从/循环降低至/循环。对于这两种N前体,AlN的生长速率在100-200℃内均保持恒定,证实了ALD窗口中的自限式生长模式。
参考文献“Optical properties of AlN thin films grown by plasmaenhanced atomic layer deposition”,Alevli,M.等人,J.Vac.Sci.Technol.,A,Vol 30(2):021506/021501-021506/021506公开了在100℃至500℃温度范围内通过等离子体增强原子层沉积制备的晶体氮化铝(AlN)膜。该参考文献显示了生长温度与光学性质之间的关系,以及AlN膜的折射率在300-1000nm波长范围内大于1.9。
参考文献“PEALD AlN:Controlling growth and film crystallinity”,Banerjee,S.等人,Physica Status Solidi(C)Current Topics in Solid State Physics公开了用等离子体增强原子层沉积(PEALD)在Si(111)上沉积的氮化铝(AlN)膜的生长动力学和材料性质。三甲基铝(TMA)和NH3-等离子体用作前体。
参考文献“Atomic layer deposition of AlN for thin membranes usingtrimethylaluminum and H2/N2 plasma”,Goerke,S.等人,Applied Surface ScienceVol.338(0):35-41(2015)描述了通过使用三甲基铝(TMA)和H2/N2等离子体混合物的等离子体增强原子层沉积(PEALD),将厚度20至100nm的氮化铝(AlN)薄膜沉积到硅、无定形二氧化硅、氮化硅和玻璃碳上的方法。
参考文献“Atomic Layer Deposition of AlN with Tris(Dimethylamido)aluminum and NH3”,Liu,G.等人,ECS Transactions 41(2):219-225(2011)公开了在180至400℃温度范围中研究使用三(二甲基酰胺基)铝和氨在Si晶片上的氮化铝的原子层沉积。
参考文献“Structural and optical characterization of low-temperatureALD crystalline AlN”,Motamedi,P.等人,J.Cryst.Growth 421:45-52(2015)描述了等离子体增强原子层沉积(PEALD)用于在250℃下使用氮5%氢等离子体和三甲基铝前体沉积晶体AlN薄膜。单晶硅和蓝宝石衬底上生长的膜是具有优选的强(100)取向的晶体。
参考文献“Self-limiting low-temperature growth of crystalline AlN thinfilms by plasma-enhanced atomic layer deposition”,Ozgit,C.等人,Thin SolidFilms 520(7):2750-2755(2012)描述了在各种衬底上使用AlMe3和NH3的含铝膜的PEALD沉积。
参考文献“Influence of plasma chemistry on impurity incorporation inAlN prepared by plasma enhanced atomic layer deposition”,Perros,A.P.等人,Journal of Physics D:Applied Physics 46(50):505502描述了使用NH3、N2/H2和N2等离子体以及TMA作为前体的AlN膜的PEALD沉积。发现等离子体化学过程之间存在不同的原子生长机制。N2等离子体显示出不适合AlN的低温沉积。由基于NH3-和基于N2/H2的工艺沉积的膜是富氮的并且是高度氢化的。对于N2/H2-工艺,碳杂质以较高的浓度存在。在膜中发现腈基表明碳杂质可能部分地归因于在等离子体步骤过程中在氮物质与CH基团之间发生的不期望的反应。
参考文献“Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures”,Alevli,M.等人,Phys.Status Solidi A 209(2):266-271(2012)描述了在100℃至500℃温度范围内通过PEALD制备晶体氮化铝(AlN)膜。
参考文献“Deposition and characterization of BN/Si(001)using tris(dimethylamino)borane”,Dumont,H.等人,Mater.Res.Bull.Vol.37(9),pp.1565-1572(2002)描述了使用化学气相沉积工艺在750℃至1000℃范围的温度下使用三(二甲基氨基)硼烷作为前体在Si(001)上沉积BN薄膜。
美国专利第7,141,500号公开了在衬底上形成含铝膜如氧化铝、氮化铝或氧氮化铝的方法,所述方法包括:提供具有结构Al(NR1R2)(NR3R4)(NR5R6)的前体,其中R1、R2、R3、R4、R5和R6各自独立地选自氢和包含至少2个碳原子的烷基。R1-R6基团各自可以相同或不同,并且可以是直链或支链烷基。可用于形成含Al膜的示例性前体是三(二乙基氨基)铝。
美国公布第2005/0208718号公开了使用原子层沉积(ALD)工艺形成电容器的方法,包括将包含Al前体的反应物提供到衬底上以使反应物的一部分化学吸附到衬底表面上。将NH3等离子体提供到衬底上以在包括下电极的衬底上形成包含Al氮化物的介电层。
因此,本领域需要提供用于沉积保形的、高质量的氮化铝膜的低温(例如,加工温度为400℃或更低)方法,其中与使用其他沉积方法的其他氮化铝膜相比,所述膜具有以下特性中的一个或多个:密度为2.4克/立方厘米(g/cc)或更高、低湿蚀刻速率(如在稀氢氟酸(HF)中所测量的)、氢含量小于20原子%、折射率大于2.00及其组合。
发明内容
本文描述的是将化学计量或非化学计量的含第13族金属或类金属膜如铝、镓、铟、铊、硼或其组合的氮化物膜形成于衬底的至少一部分上的方法。
在一个方面,提供了一种形成第13族氮化物膜如氮化铝、氮化硼、氮化镓、氮化铟、氮化铊或其组合的膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将至少一种由下式I表示的第13族金属或类金属前体引入到所述反应器中:
MRn(NR1R2)3-n I
其中M选自硼(B)、铝(Al)、镓(Ga)、铟(In)、铊(Th)及其组合;R选自C1至C10直链或支链烷基、C2至C10直链或支链烯基、C2至C10直链或支链炔基、C1至C6二烷基氨基、吸电子基团和C6至C10芳基;R1选自氢、C1至C10直链或支链烷基、C3至C10直链或支链烯基、C3至C10直链或支链炔基、C1至C6二烷基氨基、吸电子基团和C6至C10芳基;R2选自C1至C10直链或支链烷基、C3至C6直链或支链烯基、C3至C6直链或支链炔基、C1至C6二烷基氨基、C6至C10芳基、C1至C6直链或支链氟化烷基、吸电子基团和C4至C10芳基;任选地其中R1和R2连接到一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=0、1、2或3,其中所述至少一种第13族金属或类金属前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
c.用吹扫气体吹扫所述反应器;
d.将包含氮的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点(reactive site),其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
e.任选地用惰性气体吹扫所述反应器;和其中重复步骤b至e直到获得期望厚度的所述膜。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在前述或其他实施方式中,R1和R2可以连接到一起以形成环。在更进一步的实施方式中,R1和R2不连接到一起以形成环。在还进一步的实施方式中,等离子体包含选自氮等离子体、氮/氦等离子体、氮/氩等离子体、氮/氖等离子体的非含氢的氮等离子体。
在另一方面,提供了一种通过等离子体增强原子层沉积工艺或PEALD样工艺形成氮化铝膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自三甲基铝、三乙基铝、三(二甲基氨基)铝和三(乙基甲基氨基)铝的至少一种铝前体引入到所述反应器中,其中所述至少一种铝前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
c.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
d.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
e.任选地用惰性气体吹扫所述反应器;和其中重复步骤b至e直到获得期望厚度的所述氮化铝膜。
附图说明
图1提供了使用三(二甲基氨基)铝和氮等离子体沉积的AlN膜的扫描电镜(SEM)图像,显示了几乎100%的保形性;
图2提供了使用三(二甲基氨基)铝和氮等离子体沉积的AlN膜的厚度vs循环数,证实了膜厚度与循环数之间的良好线性;
图3示出了使用三(二甲基氨基)铝与100%氮等离子体、三(二甲基氨基)铝与50%氮/50%氩等离子体以及50%氮/50%氦等离子体沉积的AlN膜,显示了200℃至350℃的ALD窗口。
图4示出了使用三(二甲基氨基)铝与100%氮等离子体、三(二甲基氨基)铝与50%氮/50%氩等离子体以及50%氮/50%氦等离子体沉积的AlN膜的折射率(RI),证实了可以获得RI大于2.0的高质量AlN膜。表3、4和5总结了AlN膜性质;
图5示出了使用三(二甲基氨基)硼烷(TDMAB)和100%氮等离子体沉积的BN膜的厚度vs TDMAB脉冲时间,证实了TDMAB在0.5秒时达到自限;
图6示出了使用三(二甲基氨基)硼烷和100%氮等离子体沉积的BN膜,显示了200℃至400℃的ALD窗口;
图7示出了这样沉积的铝掺杂的氮化钛的电阻率,证实了氮化铝钛的电阻率可以通过改变ALD条件来调节;和
图8示出了这样沉积的硼掺杂的氮化钛的电阻率,证实了氮化硼钛的电阻率可以通过改变ALD条件来调节。
具体实施方式
在低温例如400℃或更低的温度下沉积符合视为高质量膜的一个或多个标准的、保形的第13族金属或类金属氮化物膜(例如但不限于氮化铝膜或氮化硼膜)是长期存在的工业挑战。如果氮化铝膜具有下列特性中的一个或多个,则认为其是“高质量”膜:如通过X射线反射法(XRR)所测量的2.4克/立方厘米(g/cc)或更高的密度、低湿蚀刻速率(如在稀氢氟酸(HF)中所测量的)、如通过二次离子质谱法(SIMS)所测量的20原子(at.)%或更低的氢含量、大于2.00的折射率及其组合。
本文描述的是将化学计量或非化学计量的第13族氮化物膜如氮化铝、氮化硼、氮化镓、氮化铟、氮化铊及其组合形成于衬底的至少一部分上的方法。本文描述的第13族氮化物膜如氮化铝、氮化硼、氮化镓和氮化铟膜使用至少一种由下式I表示的第13族前体沉积:
MRn(NR1R2)3-n I
其中M选自硼(B)、铝(Al)、镓(Ga)、铟(In)和铊(Th);R选自C1至C10直链或支链烷基、C2至C10直链或支链烯基、C2至C10直链或支链炔基、C1至C6二烷基氨基、吸电子基团和C6至C10芳基;R1选自氢、C1至C10直链或支链烷基、C3至C10直链或支链烯基、C3至C10直链或支链炔基、C1至C6二烷基氨基、吸电子基团和C6至C10芳基;R2选自C1至C10直链或支链烷基、C3至C6直链或支链烯基、C3至C6直链或支链炔基、C1至C6二烷基氨基、C6至C10芳基、C1至C6直链或支链氟化烷基、吸电子基团和C4至C10芳基;任选地其中R1和R2连接到一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;和n=0、1、2或3。在式I的某些实施方式中,R、R1和R3是甲基。
在该式中以及整个说明书中,术语“烷基”表示具有1至10或1至6个碳原子的直链或支链官能团。示例性的烷基包括但不限于甲基(Me)、乙基(Et)、丙基(Prn)、异丙基(Pri)、丁基(Bun)、异丁基(Bui)、仲丁基(Bus)、叔丁基(But)、戊基、异戊基、叔戊基(Amt)、己基、异己基和新己基。
在该式中以及整个说明书中,术语“环烷基”表示具有3至10个或4至10个碳原子或5至10个碳原子的环状官能团。示例性的环烷基包括但不限于环丁基、环戊基、环己基和环辛基。
在该式中以及整个说明书中,术语“芳基”表示具有5至12个碳原子或6至10个碳原子的芳族环状官能团。示例性的芳基包括但不限于苯基、苄基、氯苄基、甲苯基和邻-二甲苯基。
在该式中以及整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并且具有2至10或2至6或3至4个碳原子的基团。
在该式中以及整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键并且具有3至10或3至6或3至4个碳原子的基团。
在该式中以及整个说明书中,术语“二烷基氨基”表示具有连接到氮原子的两个烷基并且具有1至10或2至6或2至4个碳原子的基团。示例性的芳基包括但不限于二甲基氨基、二乙基氨基和乙基甲基氨基。
在该式中以及整个说明书中,术语“吸电子基团”表示起到吸引电子离开M-N键的作用的原子或原子团。适合的吸电子基团或取代基的实例包括但不限于腈(CN)。在某些实施方式中,吸电子取代基可以邻近或接近式I的任一个中的N。进一步地,吸电子基团的非限制性实例包括F、Cl、Br、I、CN、NO2、RSO和/或RSO2,其中R可以是C1至C10烷基,例如甲基。
在整个说明书中,术语“非含氢的氮等离子体”表示不具有任何氢原子的等离子体。实例包括但不限于氮等离子体、包含氮和氦的等离子体、包含氮和氩的等离子体、包含氮和氖的等离子体。等离子体中的氮含量可以从0.01%变化到100%。示例性的氮含量包括但不限于5%氮和95%氦、5%氮和95%氩、10%氮和90%氦、10%氮和90%氩、15%氮和85%氦、15%氮和85%氩、20%氮和80%氦、20%氮和80%氩、25%氮和75%氦、25%氮和75%氩、30%氮和70%氦、30%氮和70%氩、40%氮和60%氦、40%氮和60%氩、50%氮和50%氦、50%氮和50%氩、60%氮和40%氩、60%氮和40%氦、70%氮和30%氩、70%氮和30%氦、80%氮和20%氩、80%氮和20%氦、90%氮和10%氩、90%氮和10%氦、95%氮和5%氩、95%氮和5%氦、100%氮。
在整个说明书中,本文所用的术语“保形的(conformal)”定义为在具有通孔或沟槽或二者的结构化的或特征化的衬底中沉积的氮化铝、氮化硼、氮化镓、第13族元素掺杂的金属氮化物膜的两个厚度的百分比,底部阶梯覆盖率(step coverage)是以下比率(以%表示):特征底部的厚度除以特征顶部的厚度;中间阶梯覆盖率是以下比率(以%表示):特征侧壁上的厚度除以特征顶部的厚度。使用本文描述的方法沉积的膜展示出约60%或更高、约70%或更高、约80%或更高、或者约90%或更高的阶梯覆盖率,这表明该膜是保形的。
在某些实施方式中,式I至III中烷基、烯基、炔基、烷氧基、二烷基氨基、芳基和/或吸电子基团的一个或多个可以被取代或者具有取代氢原子的一个或多个原子或原子团。示例性取代基包括但不限于氧、硫、卤素原子(例如,F、Cl、I或Br)、氮和磷。例如,术语“氟化烷基”表示其中烷基的一个或多个原子(如氢原子)被氟原子取代的基团。
示例性的第13族金属或类金属前体包括但不限于三甲基铝、三乙基铝、三(二甲基氨基)铝、三(乙基甲基氨基)铝、三甲基硼烷、三乙基硼烷、三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷和三(二乙基氨基)硼烷。另外的示例性第13族类金属前体包括“硼烷有机胺配合物”。“硼烷有机胺配合物”表示通过使硼烷或乙硼烷与有机胺反应形成的稳定的和挥发性的硼烷配合物。示例性有机胺硼烷配合物包括但不限于硼烷三甲基胺配合物、硼烷三乙基胺配合物、二甲基胺硼烷、硼烷吡啶配合物、硼烷吗啉配合物、硼烷叔丁基胺配合物、硼烷4-甲基吗啉配合物、硼烷N,N-二异丙基乙基胺配合物、硼烷乙二胺配合物和2-甲基吡啶硼烷配合物。
用于形成第13族金属或类金属氮化物或金属掺杂的类金属氮化物膜的方法包括任何适合的沉积工艺。适合的沉积工艺的实例包括但不限于等离子体增强ALD(PEALD)或PEALD样工艺。如本文所用,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体、所述挥发性前体在衬底表面上反应和/或分解以产生期望的沉积的任何工艺。如本文所用,术语“原子层沉积工艺”是指将材料膜沉积到不同组成的衬底上的自限式(例如,在每个反应循环中沉积的膜材料的量是恒定的)顺序表面化学过程。虽然本文所用的前体、试剂和源有时可以描述为是“气体的”,但应理解前体可以是液体或固体,其用或不用惰性气体通过直接汽化、鼓泡或升华运输到反应器中。在一些情况下,汽化的前体可以通过等离子体发生器。如本文所用,术语“反应器”包括但不限于反应室或沉积室,如单晶片ALD反应器、半分批式ALD反应器或批式炉ALD反应器;b)包括铝前体或硼前体或金属前体和反应气体的各反应物通过移动或旋转衬底至反应器的不同区段而暴露于衬底,并且各个区段由惰性气体帘分隔,即空间ALD反应器或卷对卷ALD反应器。“PEALD样”工艺在本文中定义为在衬底上提供如通过具有以下的至少一者而表现出的高保形氮化铝或氮化硼或金属掺杂的氮化铝或金属掺杂的氮化硼膜的等离子体增强循环CVD工艺:如通过椭率仪所测得的约5%或更低的非均一性百分比、/循环或更高的沉积速率或其组合。
在某些实施方式中,本文公开的方法通过使用在前体引入到反应器之前和/或前体引入到反应器的过程中分隔前体的PEALD或PEALD样工艺而避免了前体的预反应。在这方面,沉积技术如PEALD或PEALD样工艺被用于沉积第13族金属或类金属氮化物膜。在一个实施方式中,膜通过使衬底表面交替暴露于氮化铝前体、含氮源或者其他前体或试剂中的一种或多种而经由PEALD工艺沉积。膜生长通过表面反应的自限式控制、各个前体或试剂的脉冲长度以及沉积温度而进行。然而,一旦衬底表面饱和,膜生长就停止。
取决于沉积方法,在某些实施方式中,至少一种第13族金属或类金属前体可以以预定摩尔量,例如约0.1至约1000微摩尔,引入到反应器中。在这个实施方式中,至少一种铝前体可以以预定时间段引入到反应器中。在某些实施方式中,时间段为约0.001至约500秒的范围。
在某些实施方式中,第13族金属或类金属氮化物膜包含铝和/或氮或者硼和/或氮。在这些实施方式中,使用本文描述的方法沉积的氮化铝或氮化硼膜是在含氮源的存在下形成。含氮源可以以至少一种含氮源的形式引入到反应器中和/或可以附带地存在于沉积方法中使用的其他前体中。适合的含氮源气体可以包括例如氮/氩等离子体。在某些实施方式中,含氮源包括以约1至约2000标准立方厘米(sccm)或者约1至约1000sccm范围的流速引入到反应器中的氮/氩等离子体源气体。含氮源可以以约0.1至约100秒范围的时间引入。在膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,含氮源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒或者连续脉冲而没有之间的吹扫。
在本文描述的方法中,包含含氮气体例如但不限于氮气和任选的稀有气体的含氮等离子体可以原位或远程产生,优选具有大于氮的原子质量(即28amu)的原子质量的稀有气体。原子质量大于氮的原子质量的稀有气体的存在据信产生更多的原子氮自由基。氮等离子体源气体以约1至约2000标准立方厘米(sccm)或约1至约1000sccm或更高范围的流速引入到反应器中。含氮等离子体可以以从约0.01至约100秒或更长的范围的时间引入。在实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,含氮等离子体可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,前体脉冲与氮等离子体之间的吹扫持续时间可以低至0秒。在又一个实施方式中,氢等离子体在可以使用氢等离子体时可以使用与稀有气体混合的纯氢气(H2)原位或远程产生。同时含有氮和稀有气体的等离子体中稀有气体的重量百分比可以从1重量%变化至99重量%,而同时含有氢和稀有气体的等离子体中稀有气体的重量百分比也可以从1重量%变化至99重量%。
如本文工作实施例所证实的,现有技术中公开的常规含氮等离子体如氨等离子体和氢/氮等离子体使用现有铝或硼前体如三(二甲基氨基)铝或三(二甲基氨基)硼烷不能提供高质量的第13族金属或类金属氮化物膜。不受特定理论的约束,据信仅含有氮或同时含有氮和稀有气体如氦或氩的等离子体可以帮助形成第13族金属或类金属氮化物膜如氮化铝或氮化硼膜以及在化学吸附表面的至少一部分上提供反应活性位点,以使具有式I的铝或硼前体在后续沉积循环中锚定到这些反应活性位点上。这使得循环沉积能够发生,而常规含氮等离子体如氨等离子体和/或氢/氮等离子体可以毒化表面,由此导致最小的膜沉积。不受任何理论的约束,等离子体密度由电极面积上的等离子体功率定义(例如,用于6”晶片ALD反应器的450W等离子体功率,等离子体密度为约2.5W/cm2,假定电极面积与晶片相同)并且优选低于2W/cm2,以减少对衬底以及衬底上的任何内置结构上的潜在等离子体损伤,但仍产生可以在半导体制造工艺中实施的高质量氮化铝膜。本文描述的方法的等离子体密度为从约0.01至约2W/cm2、或从约0.01至约1.5W/cm2、或从约0.01至1W/cm2的范围。典型的等离子体频率为从10KHz至2.4GHz,优选从10kHz至60MHz的范围。在一些实施方式中,可以采用双重RF等离子体,10kHz至1MHz范围的一个低频率,选自13.56MHz和27.1MHz的另一中间频率。
本文描述的沉积方法可以包括一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性吹扫气体包括但不限于氩(Ar)、氮(N2)、氦(He)、氖(Ne)、氢(H2)及其混合物。在某些实施方式中,用作吹扫气体的惰性气体包含稀有气体。如本文所用,术语“稀有气体”表示见于元素周期表的第18族的那些气体并且包括氦(He)、氖(Ne)、氩(Ar)、氙(Xe)、氪(Kr)及其混合物。在一个特别的实施方式中,用作吹扫气体的稀有气体包含氩。在这个或其他实施方式中,包含Ar的吹扫气体以从约10至约2000sccm范围的流速供应到反应器中约0.1至1000秒,由此吹扫可能保留在反应器中的未反应的前体材料和任何副产物。
供应前体、含氮源和/或其他前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间而进行,以改变所得氮化铝膜的化学计量组成。
能量施加到前体、含氮源、还原剂、其他前体或其组合中的至少一者以诱导反应并在衬底上形成氮化铝膜或涂层。这样的能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,第二RF频率源可以用于改变衬底表面处的等离子体特性。在沉积涉及等离子体的实施方式中,等离子体发生方法可以包括直接等离子体发生方法(其中等离子体直接在反应器中生成)或者远程等离子体发生方法(其中等离子体在反应器之外生成并且供应到反应器中)。
第13族金属或类金属前体可以以各种各样的方式,如鼓泡、蒸汽抽吸或直接液体注射(DLI),以单晶片或分批递送到反应室如PEALD或PEALD样反应器中。在一个实施方式中,可以采用液体递送系统。在替代性实施方式中,可以采用组合的液体递送和闪蒸方法,例如,MSP Corporation of Shoreview,MN制造的涡轮汽化器,以使低挥发性材料能够定量地(volumetrically)输送,这导致可重现的输送和沉积而没有前体的热分解。在液体输送制剂中,本文描述的前体可以以纯液体形式输送,或者可选择地,可以用于溶剂制剂或包含溶剂制剂的组合物中。因此,在某些实施方式中,前体制剂可以包含具有如可能所希望的和在给定的最终应用中有利的适合特性的溶剂组分以在衬底上形成膜。
在某些实施方式中,从前体罐连接到反应室的气体管线被加热到取决于工艺要求的一个或多个温度,并且具有本文描述的式I至III的铝前体的容器被保持在用于鼓泡的一个或多个温度下。在其他实施方式中,包含具有本文描述的式的至少一种铝前体的溶液被注射到保持在用于直接液体注射的一个或多个温度下的汽化器中。
氩和/或其他惰性气体的流可以用作载气以帮助在前体脉冲过程中递送至少一种铝前体的蒸气到反应室。在某些实施方式中,反应室工艺压力为约2托或更低。在其他实施方式中,反应室工艺压力为约10托或更低。在其他实施方式中,反应室工艺压力为约200托或更低。
在典型的PEALVD或PEALD样工艺中,衬底例如但不限于氧化硅、碳掺杂的氧化硅、柔性衬底或金属氮化物衬底在初始暴露于铝前体的反应室中的加热台上加热以使铝化学吸附到衬底表面上。吹扫气体如氮、氩或其他惰性气体从处理室中吹扫掉未吸附的过量铝。在充分吹扫之后,含氮源可以引入到反应室中以与吸附的表面反应,之后进行另一次气体吹扫以从室中除去反应副产物。可以重复工艺循环以获得期望膜厚度。在其他实施方式中,可以使用真空抽吸以从处理室中除去未吸附的过量铝,在抽吸下充分排空之后,含氮源可以引入到反应室中以与吸附表面反应,之后进行另一次抽吸吹扫以从室中除去反应副产物。
在一个方面,提供了一种形成选自氮化铝、氮化硼、氮化镓、氮化铟、氮化铊及其组合的第13族氮化物膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将至少一种由下式I表示的第13族前体引入到所述反应器中:
MRn(NR1R2)3-n I
其中M选自硼(B)、铝(Al)、镓(Ga)、铟(In)、铊(Th)及其组合;R选自C1至C10直链或支链烷基、C2至C10直链或支链烯基、C2至C10直链或支链炔基、C1至C6二烷基氨基、吸电子基团和C6至C10芳基;R1选自氢、C1至C10直链或支链烷基、C3至C10直链或支链烯基、C3至C10直链或支链炔基、C1至C6二烷基氨基、吸电子基团和C6至C10芳基;R2选自C1至C10直链或支链烷基、C3至C6直链或支链烯基、C3至C6直链或支链炔基、C1至C6二烷基氨基、C6至C10芳基、C1至C6直链或支链氟化烷基、吸电子基团和C4至C10芳基;任选地其中R1和R2连接到一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;和其中n=0、1、2或3,其中所述至少一种铝前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
c.用吹扫气体吹扫所述反应器;
d.将包含氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
e.任选地用惰性气体吹扫所述反应器;和其中重复步骤b至e直到获得期望厚度的所述第13族金属或类金属氮化物膜。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在前述或其他实施方式中,R1和R2可以连接到一起以形成环。环的实例包括但不限于吡咯烷基(pyrrolidino)(其中R1=R2=C2直链烷基);2,5-二甲基-吡咯烷基(其中R1=R2=C3支链烷基);哌啶子基(其中R1=R2=C3直链烷基);2,6-二甲基哌啶子基(其中R1=C3支链烷基且R2=C4支链烷基)。在又进一步的实施方式中,R1和R2不连接到一起形成环。任选地,包含氢的等离子体可以在步骤d之前插入以帮助除去从第13族前体与表面之间的反应产生的烃。在某些实施方式中,包含氮的等离子体选自氮等离子体、氮/氦、氮/氩等离子体、氮/氖等离子体及其混合物。在替代性实施方式,等离子体包含非氢等离子体。
在另一方面,提供了一种通过等离子体增强原子层沉积工艺或PEALD样工艺形成氮化铝膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自三甲基铝、三乙基铝、三(二甲基氨基)铝和三(乙基甲基氨基)铝的至少一种铝前体引入到所述反应器中,其中所述至少一种铝前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
c.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
d.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
e.任选地用惰性气体吹扫所述反应器;和其中重复步骤b至e直到获得期望厚度的所述氮化铝膜。
在另一方面,提供了一种通过等离子体增强原子层沉积工艺或PEALD样工艺形成氮化硼膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自三甲基硼烷、三乙基硼烷、三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、三(二乙基氨基)硼烷和硼烷有机胺配合物的至少一种硼前体引入到所述反应器中,其中所述至少一种硼前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
c.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
d.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
e.任选地用惰性气体吹扫所述反应器;和其中重复步骤b至e直到获得期望厚度的所述氮化硼膜。
在另一方面,提供了一种通过等离子体增强原子层沉积工艺或PEALD样工艺形成具有两种不同的第13族元素的第13族氮化物如硼掺杂的氮化铝膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自三甲基铝、三乙基铝、三(二甲基氨基)铝和三(乙基甲基氨基)铝的至少一种铝前体引入到所述反应器中,其中所述至少一种铝前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
c.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
d.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;
e.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
f.将铝以外的至少一种第13族前体如选自三甲基硼烷、三乙基硼烷、三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、三(二乙基氨基)硼烷和硼烷有机胺配合物的硼前体引入到所述反应器中,其中所述至少一种第13族前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
g.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
h.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
i.任选地用惰性气体吹扫所述反应器;和其中重复步骤b至e直到获得期望厚度的所述硼掺杂的氮化铝膜。
上述步骤限定了本文描述的方法的一个循环,且该循环可以重复直至获得膜的期望厚度。在这个或其他实施方式中,应理解本文描述的方法的步骤可以以多种顺序进行,可以顺序或同时进行(例如在另一步骤的至少一部分的过程中),及以其任意组合进行。供应前体和含氮源的相应步骤可以通过改变供应它们的持续时间而进行,以改变所得氮化物膜的化学计量组成,虽然总是相对于可用的第13族金属或类金属使用小于化学计量量的氮。
在另一方面,提供了一种通过等离子体增强原子层沉积工艺或PEALD样工艺形成第13族元素掺杂的金属氮化物膜如铝掺杂的氮化钛、硼掺杂的氮化钛、铝掺杂的氮化钽、硼掺杂的氮化钽、铝掺杂的氮化钨、硼掺杂的氮化钨、铝掺杂的氮化钒、硼掺杂的氮化钒膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自三甲基铝、三乙基铝、三(二甲基氨基)铝、三(乙基甲基氨基)铝、三甲基硼烷、三乙基硼烷、三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、三(二乙基氨基)硼烷和硼烷有机胺配合物的至少一种铝或硼前体引入到所述反应器中,其中所述至少一种铝或硼前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
c.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
d.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;
e.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
f.将选自以下的至少一种金属前体引入到所述反应器中:氯化锆(ZrCl4)、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、和四(乙基甲基氨基)铪(TEMAH)、氯化钛(TiCl4)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、氯化钽(TaCl5)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、六氯化钨、五氯化钨、双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、双(叔丁基亚氨基)双(二乙基氨基)钨、双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合,其中所述至少一种金属前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
g.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
h.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
i.任选地用惰性气体吹扫所述反应器,其中重复步骤b至e直到获得期望厚度的所述铝或硼掺杂的金属氮化物膜。
上述步骤限定了本文描述的方法的一个循环,且该循环可以重复直至获得膜的期望厚度。在这个或其他实施方式中,应理解本文描述的方法的步骤可以以多种顺序进行,可以顺序或同时进行(例如在另一步骤的至少一部分的过程中),及以其任意组合进行。供应前体和含氮源的相应步骤可以通过改变供应它们的持续时间而进行,以改变可以用作半导体器件制造中的栅电极或扩散屏障的所得硼或铝掺杂的金属氮化物膜的化学计量组成以及物理性质。
在本文描述的方法的某些实施方式中,重复步骤b至e以提供具有约0.1至约或约0.1至约或约0.1至约或约0.1至约或0.1至范围的厚度的第13族金属、类金属或者第13族掺杂的金属氮化物膜。在本文描述的方法的一个特别的实施方式中,选自氢等离子体、氢/氦等离子体、氢/氩等离子体、氢/氖等离子体及其混合物的包含氢的等离子体可以在步骤d或h之前插入,以帮助除去从铝或硼前体与表面之间的反应产生的烃。在替代性实施方式中,等离子体包含非氢等离子体。在一些实施方式中,步骤f至i可以在步骤b至e之前重复许多次,产生具有较低铝或硼含量的包含交替的金属氮化物和氮化铝或氮化硼的纳米分层传导材料(即,基于XPS测量,铝或硼含量为15原子%或10原子%或更低、5原子%或更低)。所得铝或硼掺杂的金属可以提供适合于制造半导体器件的方法中的栅电极或扩散屏障或加热材料的适当物理性质如功函数(work function)或电阻率。
在某些实施方式中,所得的第13族金属或类金属或者第13族掺杂的金属氮化物膜或涂层可以暴露于沉积后处理,例如,但不限于,在300至1000℃范围的温度下热退火,等离子体处理如氢、氦等离子体、氩等离子体及其组合,化学处理,紫外线(UV)照射,红外光(IR)照射,电子束暴露和/或其他处理,以改善膜的一个或多个性质。
如前文所提到的,本文描述的方法可以用于在衬底的至少一部分上沉积第13族金属、类金属氮化物或者第13族掺杂的金属氮化物膜。适合的衬底的实例包括但不限于硅、锗、SiO2、Si3N4、OSG、FSG、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、氮化硼、抗反射涂层、光致抗蚀剂、柔性衬底如IGZO、有机聚合物、多孔有机和无机材料、金属如铜和铝、以及扩散屏障层例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。膜与各种各样的后续加工步骤相容,例如,化学机械平面化(CMP)和各向异性蚀刻处理。
沉积的膜具有包括但不限于计算机芯片、光学器件、磁性信息存储、支撑材料或衬底上的涂层、微机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)的应用。
以下实施例说明了本文描述的用于沉积氮化铝、氮化硼、铝掺杂的金属氮化物或硼掺杂的金属氮化物膜的方法,但不旨在以任何方式对其作出限制。
实施例
在以下实施例中,除非另有说明,性质从沉积在中等电阻率(14-17Ω-cm)的单晶硅晶片衬底上的样品膜获得。所有膜沉积使用具有13.56MHz直接等离子体的淋浴头设计的CN-1反应器或者不具有等离子体的错流型CN-1反应器(用于比较实施例)进行。在典型工艺条件下,除非另有说明,室压力固定在约1至约5托范围的压力。使用另外的惰性气体来维持室压力。铝前体使用蒸气抽吸递送(即,完全不使用氩)。所用的典型RF功率为在150mm晶片的电极面积上的125W,以提供0.7W/cm2的功率密度。对于热ALD和等离子体增强ALD,膜沉积分别包括表1和2中列出的步骤。表2中的步骤1至4构成一个PEALD循环,并且除非另有规定,被重复总计100次以得到期望的膜厚度。
表1.用于热ALD氮化铝膜的步骤
表2.用于PEALD氮化铝膜的步骤
沉积膜的折射率(RI)和厚度用椭率仪测量。膜的非均一性使用标准公式计算:%非均一性=((最大厚度-最小厚度)/(2*平均(avg)厚度))。膜结构和组成使用二次离子质谱法(SIMS)分析。膜密度使用X射线反射法(XRR)测量。
比较实施例1.使用三(二甲基氨基)铝(TDMAA)与CN-1错流型反应器和氨的热ALD氮化铝膜
硅晶片装载到没有等离子体反应器的CN-错流型CN-1反应器中并加热到150至350℃,室压力为1托。作为铝前体的TDMAA使用鼓泡方法以50sccm的Ar载气流速递送到反应器中。ALD循环由表1中提供的工艺步骤组成,使用以下工艺参数,并重复100个循环:
1.将铝前体引入到反应器中
氩流:1000sccm
铝前体脉冲:3秒
2.惰性气体吹扫
总氩流:1000sccm
吹扫时间:30秒
3.引入氨
Ar流:1000sccm
氨流:500sccm
氨脉冲:3秒
4.吹扫
总氩流:1000sccm
吹扫时间:30秒
比较实施例2.使用三(二甲基氨基)铝(TDMAA)与配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器和氨的热ALD氮化铝膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到100至400℃,室压力为1托。作为铝前体的TDMAA使用鼓泡方法以50sccm的Ar载气流速递送到反应器中。PEALD循环由表2中提供的工艺步骤组成,使用以下工艺参数,并重复100个循环:
1.将铝前体引入到反应器中
氩流:1000sccm
铝前体脉冲:3秒
2.惰性气体吹扫
总氩流:1000sccm
吹扫时间:30秒
3.引入氨
Ar流:1000sccm
氨流:500sccm
氨脉冲:3秒
4.吹扫
总氩流:1000sccm
吹扫时间:30秒
比较实施例3.使用三(二甲基氨基)铝(TDMAA)与配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器和氨等离子体的PEALD氮化铝膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到100至400℃,室压力为1托。作为铝前体的TDMAA使用鼓泡方法以50sccm的Ar载气流速递送到反应器中。PEALD循环由表2中提供的工艺步骤组成,使用以下工艺参数,并重复100个循环:
1.将铝前体引入到反应器中
氩流:1000sccm
铝前体脉冲:3秒
2.惰性气体吹扫
总氩流:1000sccm
吹扫时间:30秒
3.引入氨
Ar流:1000sccm
氨流:500sccm
氨脉冲:5秒,等离子体功率为200W
4.吹扫
总氩流:1000sccm
吹扫时间:30秒
实施例1.使用CN-1淋浴头型反应器中的三甲基铝(TMA)和氮等离子体的PEALD氮化铝膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到150至400℃,室压力为1托。作为铝前体的TMA使用蒸气抽吸方法递送到反应器中。PEALD循环由表2中提供的工艺步骤组成,使用以下工艺参数,并重复100个循环:
1.将铝前体引入到反应器中
氮流:1000sccm
铝前体脉冲:3秒
2.惰性气体吹扫
总氮流:1000sccm
吹扫时间:30秒
3.引入等离子体
氮流:1000sccm
等离子体脉冲:5秒,等离子体功率为200W
4.吹扫
总氩流:1000sccm
吹扫时间:30秒
实施例2.使用CN-1淋浴头型反应器中的三(二甲基氨基)铝(TDMAA)和氮等离子体的PEALD氮化铝膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到150至400℃,室压力为1托。作为铝前体的TDMAA使用鼓泡方法以50sccm的Ar载气流速递送到反应器中。PEALD循环由表2中提供的工艺步骤组成,使用以下工艺参数,并重复100个循环:
1.将铝前体引入到反应器中
氮流:1000sccm
铝前体脉冲:3秒
2.惰性气体吹扫
总氮流:1000sccm
吹扫时间:30秒
3.引入等离子体
氮流:1000sccm
等离子体脉冲:5秒,等离子体功率为200W
4.吹扫
总氩流:1000sccm
吹扫时间:30秒
图1提供了使用三(二甲基氨基)铝与氮等离子体在300℃下沉积的AlN膜的扫描电镜(SEM)图像,由此证实了几乎100%的保形性。
实施例3.使用CN-1淋浴头型反应器中的三(二甲基氨基)铝(TDMAA)和氮/氩等离子体的PEALD氮化铝膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到100至350℃,室压力为1托。作为铝前体的TDMAA使用鼓泡方法以50sccm的Ar载气流速递送到反应器中。PEALD循环由表2中提供的工艺步骤组成,使用以下工艺参数,并重复100个循环:
1.将铝前体引入到反应器中
氮流:500sccm
氩流:500sccm
铝前体脉冲:3秒
2.惰性气体吹扫
氮流:500sccm
氩流:500sccm
吹扫时间:30秒
3.引入等离子体
氮流:500sccm
氩流:500sccm
等离子体脉冲:5秒,等离子体功率为200W
4.吹扫
氮流:500sccm
氩流:500sccm
吹扫时间:30秒
实施例4.使用CN-1淋浴头型反应器中的三(二甲基氨基)铝(TDMAA)和氮/氦等离子体的PEALD氮化铝膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到100至350℃,室压力为1托。作为铝前体的TDMAA使用鼓泡方法以50sccm的Ar载气流速递送到反应器中。PEALD循环由表2中提供的工艺步骤组成,使用以下工艺参数,并重复100个循环:
1.将铝前体引入到反应器中
氮流:500sccm
氦流:500sccm
铝前体脉冲:3秒
2.惰性气体吹扫
氮流:500sccm
氦流:500sccm
吹扫时间:30秒
3.引入等离子体
氮流:500sccm
氦流:500sccm
等离子体脉冲:5秒,等离子体功率为200W
4.吹扫
氮流:500sccm
氦流:500sccm
吹扫时间:30秒
图3示出了使用三(二甲基氨基)铝与100%氮等离子体(实施例2)、三(二甲基氨基)铝与50%氮/50%氩等离子体(实施例3)以及50%氮/50%氦等离子体(实施例4)沉积的AlN膜,显示了200至350℃的ALD窗口。图4示出了使用三(二甲基氨基)铝与100%氮等离子体、三(二甲基氨基)铝与50%氮/50%氩等离子体、以及50%氮/50%氦等离子体沉积的AlN膜的折射率(RI),证实了可以获得RI大于2.0的高质量AlN膜。表3和4总结了AlN膜性质。
表3:使用CN-1淋浴头型反应器的AlN膜性质
表4:使用CN-1淋浴头型反应器的AlN膜性质
实施例5.使用CN-1淋浴头型反应器中的三(二甲基氨基)硼烷(TDMAB)和氮等离子体的PEALD氮化硼膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到200至400℃,室压力为2托。作为硼前体的TDMAB使用蒸气抽吸方法递送到反应器中。PEALD循环由表2中提供的工艺步骤组成,使用以下工艺参数,并重复200个循环:
1.将硼前体引入到反应器中
氮流:1000sccm
硼前体脉冲:0.5秒
2.惰性气体吹扫
总氮流:1000sccm
吹扫时间:10秒
3.引入等离子体
氮流:1000sccm
等离子体脉冲:10秒,等离子体功率为125W
4.吹扫
总氩流:1000sccm
吹扫时间:10秒
图5示出了使用三(二甲基氨基)硼烷(TDMAB)与100%氮等离子体沉积的BN膜的厚度vs TDMAB脉冲时间,证实了TDMAB在0.5秒时达到自限。图6示出了使用三(二甲基氨基)硼烷与100%氮等离子体沉积的BN膜,显示了200至400℃的ALD窗口。在另一组实验中,进行了BN膜厚度vs循环数的实验,从厚度vs循环数的图确定生长速率/循环为/循环。
实施例6.使用三(二甲基氨基)铝(TDMAA)和四(二甲基氨基)钛(TDMAT)和氮等离子体的PEALD铝掺杂的氮化钛膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到300℃,室压力为2托。等离子体功率为125W。作为铝前体的三(二甲基氨基)铝(TDMAA)和作为钛前体的四(二甲基氨基)钛(TDMAT)使用鼓泡方法以50sccm的Ar载气流速递送到反应器中。氮等离子体用作等离子体。为了调节铝含量以及铝掺杂的氮化钛的性质,进行以下实验:
a.由一个氮化铝循环(TDMAA/吹扫/等离子体/吹扫=2s/20s/5*s/20s)和10个氮化钛循环(TDMAT/吹扫/等离子体/吹扫=1s/10s/5*s/10s)组成的一个超循环。该超循环重复30次。
b.由一个氮化铝循环(TDMAA/吹扫/等离子体/吹扫=2s/20s/5*s/20s)和5个氮化钛循环(TDMAT/吹扫/等离子体/吹扫=1s/10s/5*s/10s)组成的一个超循环。该超循环重复50次。
c.由两个氮化铝循环(TDMAA/吹扫/等离子体/吹扫=2s/20s/5*s/20s)和5个氮化钛循环(TDMAT/吹扫/等离子体/吹扫=1s/10s/5*s/10s)组成的一个超循环。该超循环重复50次。
表5:使用SIMS测定的使用三(二甲基氨基)铝(TDMAA)和四(二甲基氨基)钛(TDMAT)与氮等离子体的铝掺杂的钛的组成
Al | Ti | N | O | C | H | N/(Al+Ti) | Al/(Al+Ti) |
3.48 | 42.23 | 50.8 | 0.77 | 0.58 | 2.15 | 1.11 | 0.08 |
6.89 | 37.92 | 49.7 | 1.67 | 0.9 | 2.9 | 1.11 | 0.15 |
11 | 33.33 | 47.4 | 3.04 | 1.36 | 3.92 | 1.07 | 0.25 |
图7示出了这样沉积的铝掺杂的氮化钛的电阻率,证实了该电阻率可以通过改变ALD条件来调节。
实施例7.使用三(二甲基氨基)硼烷(TDMAB)和四(二甲基氨基)钛(TDMAT)与氮等离子体的PEALD硼掺杂的氮化钛膜
硅晶片装载到配有13.56MHz直接等离子体的淋浴头设计的CN-1反应器中并加热到300℃,室压力为2托。等离子体功率为125W。作为硼前体的三(二甲基氨基)硼烷(TDMAB)使用蒸气抽吸递送,且作为钛前体的四(二甲基氨基)钛(TDMAT)使用鼓泡方法以50sccm的Ar载气流速递送到反应器中。氮等离子体用作等离子体。为了调节铝含量以及铝掺杂的氮化钛的性质,进行以下实验:
a.由一个氮化硼循环(TDMAB/吹扫/等离子体/吹扫=0.5s/10s/10*s/10s)和5个氮化钛循环(TDMAT/吹扫/等离子体/吹扫=1s/10s/5*s/10s)组成的一个超循环。该超循环重复50次。
b.由两个氮化硼循环(TDMAB/吹扫/等离子体/吹扫=0.5s/10s/10*s/10s)和5个氮化钛循环(TDMAT/吹扫/等离子体/吹扫=1s/10s/5*s/10s)组成的一个超循环。该超循环重复50次。
c.由三个氮化硼循环(TDMAB/吹扫/等离子体/吹扫=0.5s/10s/10*s/10s)和5个氮化钛循环(TDMAT/吹扫/等离子体/吹扫=1s/10s/5*s/10s)组成的一个超循环。该超循环重复50次。
d.由四个氮化硼循环(TDMAB/吹扫/等离子体/吹扫=0.5s/10s/10*s/10s)和5个氮化钛循环(TDMAT/吹扫/等离子体/吹扫=1s/10s/5*s/10s)组成的一个超循环。该超循环重复50次。
e.由五个氮化硼循环(TDMAB/吹扫/等离子体/吹扫=0.5s/10s/10*s/10s)和5个氮化钛循环(TDMAT/吹扫/等离子体/吹扫=1s/10s/5*s/10s)组成的一个超循环。该超循环重复50次。
表6:使用SIMS测定的使用三(二甲基氨基)硼烷(TDMAB)和四(二甲基氨基)钛(TDMAT)与氮等离子体的硼掺杂的钛的组成
B | Ti | N | O | C | H | N/(B+Ti) | B/(B+Ti) |
2.36 | 40.42 | 46.76 | 3.72 | 2.77 | 3.96 | 1.09 | 0.06 |
4.18 | 37.79 | 45.29 | 5.18 | 3.13 | 4.44 | 1.08 | 0.10 |
6.71 | 34.99 | 43.41 | 5.75 | 3.37 | 5.75 | 1.04 | 0.16 |
8.63 | 33.39 | 43.93 | 5.98 | 3.23 | 4.83 | 1.05 | 0.21 |
9.60 | 32.76 | 42.70 | 6.45 | 3.21 | 5.28 | 1.01 | 0.23 |
图8示出了这样沉积的硼掺杂的氮化钛的电阻率,证实了该电阻率可以通过改变ALD条件来调节。
Claims (26)
1.一种通过等离子体增强原子层沉积工艺(PEALD)或PEALD样工艺形成选自氮化铝、氮化硼、氮化镓、氮化铟、氮化铊及其组合的第13族金属或类金属氮化物膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将至少一种由下式I表示的第13族金属或类金属前体引入到所述反应器中:
MRn(NR1R2)3-n I
其中M选自硼(B)、铝(Al)、镓(Ga)、铟(In)、铊(Th)及其组合;R选自C1至C10直链或支链烷基、C2至C10直链或支链烯基、C2至C10直链或支链炔基、C1至C6二烷基氨基、吸电子基团和C6至C10芳基;R1选自氢、C1至C10直链或支链烷基、C3至C10直链或支链烯基、C3至C10直链或支链炔基、C1至C6二烷基氨基、吸电子基团和C6至C10芳基;R2选自C1至C10直链或支链烷基、C3至C6直链或支链烯基、C3至C6直链或支链炔基、C1至C6二烷基氨基、C6至C10芳基、C1至C6直链或支链氟化烷基、吸电子基团和C4至C10芳基;任选地其中R1和R2连接到一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;其中n=0、1、2或3,其中所述至少一种前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
c.用吹扫气体吹扫所述反应器;
d.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
e.任选地用惰性气体吹扫所述反应器,其中重复步骤b至e直到获得期望厚度的所述膜。
2.权利要求1所述的方法,其中所述至少一种第13族金属或类金属前体选自三甲基铝、三乙基铝、三(二甲基氨基)铝、三(乙基甲基氨基)铝、三甲基硼烷、三乙基硼烷、三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、三(二乙基氨基)硼烷和硼烷有机胺配合物。
3.权利要求1或2所述的方法,其中所述至少一种第13族金属或类金属前体是硼烷有机胺配合物。
4.权利要求2或3所述的方法,其中所述硼烷有机胺配合物选自硼烷三甲基胺配合物、硼烷三乙基胺配合物、二甲基胺硼烷、硼烷吡啶配合物、硼烷吗啉配合物、硼烷叔丁基胺配合物、硼烷4-甲基吗啉配合物、硼烷N,N-二异丙基乙基胺配合物、硼烷乙二胺配合物和2-甲基吡啶硼烷配合物。
5.权利要求1-4任一项所述的方法,其中所述方法通过等离子体增强原子层沉积工艺完成。
6.权利要求1-4任一项所述的方法,其中所述方法通过PEALD样工艺完成。
7.权利要求1-6任一项所述的方法,其中包含氮的所述等离子体包含选自氮等离子体、氮/氦等离子体、氮/氩等离子体的气体。
8.权利要求1-7任一项所述的方法,其中所述吹扫气体是稀有气体。
9.权利要求1-8任一项所述的方法,其中所述引入等离子体的步骤包括施加能量以产生所述等离子体,其中所述能量是由选自热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合的至少一个源提供。
10.权利要求1-9任一项所述的方法,其中R1和R2相同。
11.权利要求1-9任一项所述的方法,其中R1和R2不同。
12.权利要求1-11任一项所述的方法,其中R1和R2连接到一起以形成环。
13.权利要求1-12任一项所述的方法,其还包括在步骤d之前引入包含氢的等离子体的步骤。
14.权利要求1-13任一项所述的方法,其还包括在获得所述期望厚度时处理所述膜的步骤,其中所述处理通过暴露于选自氢等离子体、氦等离子体、氩等离子体、UV辐射、IR辐射及其组合的能量源完成。
15.权利要求1-2和5-9任一项所述的方法,其中所述第13族金属或类金属氮化物膜是氮化铝膜,且所述至少一种第13族金属或类金属前体是选自三甲基铝、三乙基铝、三(二甲基氨基)铝和三(乙基甲基氨基)铝的至少一种铝前体。
16.权利要求15所述的方法,其中所述非含氢的氮等离子体包含N2等离子体。
17.权利要求1-2和5-9任一项所述的方法,其中所述第13族金属或类金属氮化物膜是氮化硼膜,且所述至少一种第13族金属或类金属前体是选自三甲基硼、三乙基硼、三(二甲基氨基)硼烷和三(乙基甲基氨基)硼烷、三(二乙基氨基)硼烷的至少一种硼前体。
18.权利要求17所述的方法,其中所述非含氢的氮等离子体包含N2等离子体。
19.一种通过等离子体增强原子层沉积工艺或PEALD样工艺形成第13族元素掺杂的金属氮化物膜如铝掺杂的氮化钛、硼掺杂的氮化钛、铝掺杂的氮化钽、硼掺杂的氮化钽、铝掺杂的氮化钨、硼掺杂的氮化钨、铝掺杂的氮化钒、硼掺杂的氮化钒膜的方法,所述方法包括以下步骤:
a.将衬底提供到反应器中;
b.将选自三甲基铝、三乙基铝、三(二甲基氨基)铝、三(乙基甲基氨基)铝、三甲基硼烷、三乙基硼烷、三(二甲基氨基)硼烷、三(乙基甲基氨基)硼烷、三(二乙基氨基)硼烷和硼烷有机胺配合物的至少一种铝或硼前体引入到所述反应器中,其中所述至少一种铝或硼前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
c.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
d.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;
e.用包含选自氮、稀有气体及其组合中的至少一种的吹扫气体吹扫所述反应器;
f.将选自以下的至少一种金属前体引入到所述反应器中:氯化锆(ZrCl4)、四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、和四(乙基甲基氨基)铪(TEMAH)、氯化钛(TiCl4)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、氯化钽(TaCl5)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、六氯化钨、五氯化钨、双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、双(叔丁基亚氨基)双(二乙基氨基)钨、双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合,其中所述至少一种金属前体在所述衬底的至少一部分表面上反应以提供化学吸附层;
g.用包含选自氮、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
h.将包含非含氢的氮等离子体的等离子体引入到所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应活性位点,其中所述等离子体在约0.01至约1.5W/cm2范围的功率密度下产生;和
i.任选地用惰性气体吹扫所述反应器,其中重复步骤b至i直到获得期望厚度的所述铝或硼掺杂的金属氮化物膜。
20.权利要求19所述的方法,其中所述方法通过等离子体增强原子层沉积工艺完成。
21.权利要求19所述的方法,其中所述方法通过PEALD样工艺完成。
22.权利要求19-21任一项所述的方法,其中所述非含氢的氮等离子体包含N2等离子体。
23.权利要求19-22任一项所述的方法,其中所述吹扫气体是稀有气体。
24.权利要求19-23任一项所述的方法,其中所述引入等离子体的步骤包括施加能量以产生所述等离子体,其中所述能量由选自热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合的至少一个源提供。
25.权利要求19-24任一项所述的方法,其中重复步骤b至e以在进行步骤f之前达到第13族元素氮化物的期望厚度。
26.权利要求19-24任一项所述的方法,其中将步骤f至i重复比步骤b至e更多的循环以提供通过XPS测量的第13族元素含量小于15原子%的第13族元素掺杂的金属氮化物。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562196494P | 2015-07-24 | 2015-07-24 | |
US62/196,494 | 2015-07-24 | ||
US15/210,172 | 2016-07-14 | ||
US15/210,172 US10745808B2 (en) | 2015-07-24 | 2016-07-14 | Methods for depositing Group 13 metal or metalloid nitride films |
Publications (2)
Publication Number | Publication Date |
---|---|
CN106367730A true CN106367730A (zh) | 2017-02-01 |
CN106367730B CN106367730B (zh) | 2020-06-02 |
Family
ID=56557514
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610592097.9A Expired - Fee Related CN106367730B (zh) | 2015-07-24 | 2016-07-25 | 用于沉积第13族金属或类金属氮化物膜的方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10745808B2 (zh) |
EP (1) | EP3121309A1 (zh) |
JP (1) | JP6437962B2 (zh) |
KR (1) | KR101949391B1 (zh) |
CN (1) | CN106367730B (zh) |
TW (1) | TWI591198B (zh) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108950477A (zh) * | 2018-07-09 | 2018-12-07 | 圆融光电科技股份有限公司 | 一种氮化铝膜及其制备方法和应用 |
CN111364017A (zh) * | 2020-04-20 | 2020-07-03 | 国家纳米科学中心 | 一种氮化铝薄膜及其制备方法和用途 |
CN111440210A (zh) * | 2020-05-19 | 2020-07-24 | 合肥安德科铭半导体科技有限公司 | 一种含铌或钽的有机化合物的制备方法、产物及应用 |
CN114196941A (zh) * | 2020-09-18 | 2022-03-18 | 株式会社国际电气 | 半导体器件的制造方法、程序及衬底处理装置 |
CN114381710A (zh) * | 2022-01-17 | 2022-04-22 | 西安交通大学 | 一种GaN薄膜的制备方法、GaN薄膜及其应用 |
Families Citing this family (204)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10763103B2 (en) * | 2015-03-31 | 2020-09-01 | Versum Materials Us, Llc | Boron-containing compounds, compositions, and methods for the deposition of a boron containing films |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) * | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10541146B2 (en) * | 2017-04-26 | 2020-01-21 | Tokyo Electron Limited | Method of cyclic plasma etching of organic film using sulfur-based chemistry |
US10535531B2 (en) * | 2017-04-26 | 2020-01-14 | Tokyo Electron Limited | Method of cyclic plasma etching of organic film using carbon-based chemistry |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10886123B2 (en) * | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10332789B2 (en) * | 2017-11-27 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with TiN adhesion layer for forming a contact plug |
EP3957769A1 (en) | 2017-12-20 | 2022-02-23 | Basf Se | Process for the generation of metal-containing films |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US20200318237A1 (en) * | 2019-04-05 | 2020-10-08 | Asm Ip Holding B.V. | Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
CN113939609A (zh) | 2019-06-06 | 2022-01-14 | 巴斯夫欧洲公司 | 生成含金属或半金属膜的方法 |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
WO2020244988A1 (en) | 2019-06-06 | 2020-12-10 | Basf Se | Process for the generation of metal- or semimetal-containing films |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) * | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
JP7479011B2 (ja) | 2020-08-05 | 2024-05-08 | 国立大学法人東海国立大学機構 | 六方晶窒化ホウ素薄膜の製造方法 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
CN112221524B (zh) * | 2020-09-16 | 2023-01-13 | 西安近代化学研究所 | 一种负载型大比表面积氮化镓催化剂的制备方法 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
KR20220076179A (ko) | 2020-11-30 | 2022-06-08 | 삼성전자주식회사 | 비정질 질화 붕소막 및 이를 포함하는 반사 방지 코팅 구조체 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11978625B2 (en) | 2021-10-18 | 2024-05-07 | Applied Materials, Inc. | Methods of forming metal nitride films |
US20230167548A1 (en) * | 2021-11-30 | 2023-06-01 | Illinois Institute Of Technology | Thermal atomic layer deposition of ternary gallium oxide thin films |
WO2024019381A1 (ko) * | 2022-07-18 | 2024-01-25 | 주성엔지니어링(주) | 반도체 소자 제조방법 |
KR20240143083A (ko) * | 2023-03-23 | 2024-10-02 | 주성엔지니어링(주) | 질화갈륨(GaN)막 형성 방법 |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050208718A1 (en) * | 2004-03-16 | 2005-09-22 | Lim Jae-Soon | Methods of forming a capacitor using an atomic layer deposition process |
CN102197459A (zh) * | 2008-10-27 | 2011-09-21 | 应用材料股份有限公司 | 三元化合物的气相沉积方法 |
CN103119196A (zh) * | 2010-03-30 | 2013-05-22 | 诺发系统公司 | 沉积敷形氮化硼膜 |
CN103137476A (zh) * | 2011-12-01 | 2013-06-05 | 电力集成公司 | 具有钝化以及栅极电介质多层结构的GaN高压HFET |
CN103219434A (zh) * | 2012-01-18 | 2013-07-24 | 陈敏璋 | 复合衬底、其制造方法与发光组件 |
EP2857552A2 (en) * | 2013-10-03 | 2015-04-08 | Air Products And Chemicals, Inc. | Methods for depositing silicon nitride films |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6849464B2 (en) * | 2002-06-10 | 2005-02-01 | Micron Technology, Inc. | Method of fabricating a multilayer dielectric tunnel barrier structure |
US7141500B2 (en) | 2003-06-05 | 2006-11-28 | American Air Liquide, Inc. | Methods for forming aluminum containing films utilizing amino aluminum precursors |
US7067434B2 (en) * | 2003-12-22 | 2006-06-27 | Texas Instruments Incorporated | Hydrogen free integration of high-k gate dielectrics |
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
WO2011027664A1 (en) * | 2009-09-04 | 2011-03-10 | Semiconductor Energy Laboratory Co., Ltd. | Liquid crystal display device and method for manufacturing the same |
US8546161B2 (en) * | 2010-09-13 | 2013-10-01 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of thin film transistor and liquid crystal display device |
US8617305B2 (en) * | 2011-01-25 | 2013-12-31 | Air Products And Chemicals, Inc. | Metal complexes for metal-containing film deposition |
US8143147B1 (en) * | 2011-02-10 | 2012-03-27 | Intermolecular, Inc. | Methods and systems for forming thin films |
US8993072B2 (en) * | 2011-09-27 | 2015-03-31 | Air Products And Chemicals, Inc. | Halogenated organoaminosilane precursors and methods for depositing films comprising same |
KR102140719B1 (ko) * | 2012-03-09 | 2020-08-03 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 디스플레이 디바이스를 위한 배리어 물질 |
US20130330473A1 (en) | 2012-06-11 | 2013-12-12 | Wayne State University | Atomic Layer Deposition of Transition Metal Thin Films Using Boranes as the Reducing Agent |
US10937649B2 (en) | 2012-06-18 | 2021-03-02 | The Government Of The United States Of America, As Represented By The Secretary Of The Navy | Epitaxial growth of cubic and hexagonal InN films and their alloys with AlN and GaN |
US9024324B2 (en) * | 2012-09-05 | 2015-05-05 | Freescale Semiconductor, Inc. | GaN dual field plate device with single field plate metal |
TWI583816B (zh) * | 2014-04-15 | 2017-05-21 | 環球晶圓股份有限公司 | 複合基材、包含該複合基材之半導體元件及其製造方法 |
US10570513B2 (en) * | 2014-12-13 | 2020-02-25 | American Air Liquide, Inc. | Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same |
US11104990B2 (en) * | 2015-09-11 | 2021-08-31 | Versum Materials Us, Llc | Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films |
IL258508B2 (en) * | 2015-10-06 | 2024-10-01 | Versum Mat Us Llc | Methods for depositing a conformal metal or layer of metal-like silicon nitride |
US10340135B2 (en) * | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
-
2016
- 2016-07-14 US US15/210,172 patent/US10745808B2/en not_active Expired - Fee Related
- 2016-07-21 TW TW105123108A patent/TWI591198B/zh not_active IP Right Cessation
- 2016-07-22 JP JP2016144114A patent/JP6437962B2/ja not_active Expired - Fee Related
- 2016-07-22 KR KR1020160093513A patent/KR101949391B1/ko active IP Right Grant
- 2016-07-25 EP EP16181088.2A patent/EP3121309A1/en not_active Withdrawn
- 2016-07-25 CN CN201610592097.9A patent/CN106367730B/zh not_active Expired - Fee Related
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050208718A1 (en) * | 2004-03-16 | 2005-09-22 | Lim Jae-Soon | Methods of forming a capacitor using an atomic layer deposition process |
CN102197459A (zh) * | 2008-10-27 | 2011-09-21 | 应用材料股份有限公司 | 三元化合物的气相沉积方法 |
CN103119196A (zh) * | 2010-03-30 | 2013-05-22 | 诺发系统公司 | 沉积敷形氮化硼膜 |
CN103137476A (zh) * | 2011-12-01 | 2013-06-05 | 电力集成公司 | 具有钝化以及栅极电介质多层结构的GaN高压HFET |
CN103219434A (zh) * | 2012-01-18 | 2013-07-24 | 陈敏璋 | 复合衬底、其制造方法与发光组件 |
EP2857552A2 (en) * | 2013-10-03 | 2015-04-08 | Air Products And Chemicals, Inc. | Methods for depositing silicon nitride films |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108950477A (zh) * | 2018-07-09 | 2018-12-07 | 圆融光电科技股份有限公司 | 一种氮化铝膜及其制备方法和应用 |
CN111364017A (zh) * | 2020-04-20 | 2020-07-03 | 国家纳米科学中心 | 一种氮化铝薄膜及其制备方法和用途 |
CN111364017B (zh) * | 2020-04-20 | 2022-04-22 | 国家纳米科学中心 | 一种氮化铝薄膜及其制备方法和用途 |
CN111440210A (zh) * | 2020-05-19 | 2020-07-24 | 合肥安德科铭半导体科技有限公司 | 一种含铌或钽的有机化合物的制备方法、产物及应用 |
CN114196941A (zh) * | 2020-09-18 | 2022-03-18 | 株式会社国际电气 | 半导体器件的制造方法、程序及衬底处理装置 |
US12084757B2 (en) | 2020-09-18 | 2024-09-10 | Kokusai Electric Corporation | Method of manufacturing semiconductor device, substrate processing apparatus, method of processing substrate, and recording medium |
CN114381710A (zh) * | 2022-01-17 | 2022-04-22 | 西安交通大学 | 一种GaN薄膜的制备方法、GaN薄膜及其应用 |
Also Published As
Publication number | Publication date |
---|---|
KR101949391B1 (ko) | 2019-02-18 |
TWI591198B (zh) | 2017-07-11 |
US10745808B2 (en) | 2020-08-18 |
KR20170012129A (ko) | 2017-02-02 |
JP2017025412A (ja) | 2017-02-02 |
US20170022612A1 (en) | 2017-01-26 |
TW201704521A (zh) | 2017-02-01 |
EP3121309A1 (en) | 2017-01-25 |
CN106367730B (zh) | 2020-06-02 |
JP6437962B2 (ja) | 2018-12-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN106367730A (zh) | 用于沉积第13族金属或类金属氮化物膜的方法 | |
US11732351B2 (en) | Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films | |
US11549182B2 (en) | Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films | |
US11605535B2 (en) | Boron-containing compounds, compositions, and methods for the deposition of a boron containing films | |
CN104831254B (zh) | 氮化硅膜的沉积方法 | |
EP2818474B1 (en) | Aza-polysilane precursors and methods for depositing films comprising same | |
US8221852B2 (en) | Methods of atomic layer deposition using titanium-based precursors | |
JP2019194226A (ja) | 有機アミノシラン前駆体およびこれを含む膜の堆積方法 | |
WO2009155520A1 (en) | Hafnium and zirconium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films | |
WO2016106090A1 (en) | Zirconium-containing film forming compositions for vapor deposition of zirconium-containing films | |
WO2016106086A1 (en) | Zirconium-containing film forming compositions for vapor deposition of zirconium-containing films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
TA01 | Transfer of patent application right |
Effective date of registration: 20170527 Address after: Arizona, USA Applicant after: Versum Materials US, LLC Address before: American Pennsylvania Applicant before: Air Products and Chemicals, Inc. |
|
GR01 | Patent grant | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20200602 |