TW201704521A - 用於沉積第13族金屬或類金屬氮化物膜的方法 - Google Patents

用於沉積第13族金屬或類金屬氮化物膜的方法 Download PDF

Info

Publication number
TW201704521A
TW201704521A TW105123108A TW105123108A TW201704521A TW 201704521 A TW201704521 A TW 201704521A TW 105123108 A TW105123108 A TW 105123108A TW 105123108 A TW105123108 A TW 105123108A TW 201704521 A TW201704521 A TW 201704521A
Authority
TW
Taiwan
Prior art keywords
plasma
group
aluminum
reactor
nitrogen
Prior art date
Application number
TW105123108A
Other languages
English (en)
Other versions
TWI591198B (zh
Inventor
新建 雷
金武性
塞基 烏拉底米諾維奇 伊瓦諾夫
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201704521A publication Critical patent/TW201704521A/zh
Application granted granted Critical
Publication of TWI591198B publication Critical patent/TWI591198B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Abstract

本文所述的是用於形成第13族金屬或類金屬氮化物膜的方法。在一態樣中,提供一種形成氮化鋁膜的方法,其包含以下步驟:將基材裝備於反應器中;將至少一鋁前驅物引進該反應器,該至少一鋁前驅物於該基材表面的至少一部分上反應以提供化學吸附層;以洗淨氣體洗淨該反應器;將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及任意地以惰性氣體洗淨該反應器;而且其中該等步驟係重複進行至獲得期望厚度的氮化鋁膜為止。

Description

用於沉積第13族金屬或類金屬氮化物膜的方法 相關申請案之交互參照
本案請求2015年7月24日申請的臨時專利案第62/196,494號在35 U.S.C.§ 119(e)保護之下的優先權,在此以引用的方式將其全文併入本文。
本文所述的是一種用於沉積化學計量或非化學計量的元素週期表第13族金屬或類金屬氮化物膜的方法,其使用一或更多第13族金屬或類金屬前驅物。更明確地說,本文所述的是用於沉積膜之電漿為基礎的方法,其包括,但不限於,常用於沉積能用於,舉例來說,製造積體電路的第13族金屬或類金屬膜例如鋁、鎵、銦、鉈、硼或其組合之電漿強化原子層沉積(“PEALD”)及類PEALD電漿強化循環式化學氣相沉積(“PECCVD”)方法。由於其獨特性質的組合,所以含第13族的金屬或類金屬膜例如,但不限於,氮化鋁(AlN)或氮化硼(BN)膜能用於各式各樣的電子應用。
先前技藝提供用於製備而且使用第13族金屬或類金屬膜例如AlN膜的不同方法。舉例來說,該參考資料“The influence of N2/H2 and ammonia N source materials on optical and structural properties of AlN films grown by plasma enhanced atomic layer deposition,”Alevli,M.等人,J.Cryst.Growth,Vol.335(1):51-57(2011),揭示N2/H2及氨當氮來源材料對電漿強化原子層沉積使用三甲基鋁當金屬長成的AlN膜的性質之影響。當該氮來源從NH3換成N2/H2時每週期的AlN生長速率會從0.84降至0.54Å/週期。AlN的生長速率就該二氮前驅物而言皆於100至200℃內保持不變,那確定於ALD製程窗中的自限性生長模式。
該參考資料“Optical properties of AlN thin films grown by plasma enhanced atomic layer deposition,”Alevli,M.等人,J.Vac.Sci.Technol.,A,Vol 30(2):021506/021501-021506/021506揭示藉由電漿強化原子層沉積於100與500℃之間的溫度範圍內製備之結晶性氮化鋁(AlN)膜。該參考資料顯示生長溫度與光學性質之間的關係而且該等AlN膜的折射率於該300至1000nm波長範圍內大於1.9。
該參考資料“PEALD AlN:Controlling growth and film crystallinity,”Banerjee,S.等人,Physica Status Solidi(C)Current Topics in Solid State Physics,揭示藉著電漿強化原子層沉積(PEALD)沉積於Si(111)上的氮化鋁(AlN)膜的生長動力學及材料性質。三甲基鋁(TMA)及NH3-電漿被拿來用作前驅物。
該參考資料“Atomic layer deposition of AlN for thin membranes using trimethyl aluminum and H2/N2 plasma,”Goerke,S.等人,Applied Surface Science Vol.338(0):35-41(2015),描述一種用於沉積厚度為20至100nm的氮化鋁(AlN)薄膜的方法,該等膜係藉由電漿強化原子層沉積(PE-ALD)使用三甲基鋁(TMA)及H2/N2電漿混合物沉積於矽、非晶形氧化矽、氮化矽及玻璃態碳(vitreous carbon)上。
該參考資料“Atomic Layer Deposition of AlN with Tris(Dimethylamido)aluminum and NH3,”Liu,G.等人,ECS Transactions 41(2):219-225(2011)揭示使用叁(二甲基醯胺基)鋁將氮化鋁原子層沉積於矽晶圓上而且曾於180至400℃的溫度範圍中研究過氨。
該參考資料“Structural and optical characterization of low-temperature ALD crystalline AlN,”Motamedi,P.等人,J.Cryst.Growth 421:45-52(2015)描述曾用於250℃下使用氮、5%氫電漿和三甲基鋁前驅物沉積結晶性AlN薄膜的電漿強化原子層沉積(PEALD)製程。長在單晶矽和藍寶石基材上的膜係具有強烈(100)較佳取向的結晶性。
該參考資料“Self-limiting low-temperature growth of crystalline AlN thin films by plasma-enhanced atomic layer deposition,”Ozgit,C.等人,Thin Solid Films 520(7):2750-2755(2012)描述使用AlMe3和NH3將含鋁膜PEALD沉積於不同基材上。
該參考資料“Influence of plasma chemistry on impurity incorporation in AlN prepared by plasma enhanced atomic layer deposition,”Perros,A.P.等人,Journal of Physics D:Applied Physics 46(50):505502描述使用NH3、N2/H2和N2電漿及TMA當前驅物進行AlN膜的PEALD沉積。據發現該等電漿化學之間存在不同的原子論生長機構。據顯示該N2電漿不適用於AlN的低溫度沉積。以NH3-和N2/H2-為基礎的製程所沉積的膜富含氮而且係重度加氫。就該等N2/H2-製程而言碳雜質存在較高濃度。該等膜中發現腈基表示碳雜質可能部分造成於氮物種與CH基團之間的電漿步驟期間發生的非所欲反應。
該參考資料“Structural properties of AlN films deposited by plasma-enhanced atomic layer deposition at different growth temperatures,”Alevli,M.等人,Phys.Status Solidi A 209(2):266-271(2012)描述藉由PEALD於100°至500℃的溫度範圍內製備結晶性氮化鋁(AlN)膜。
該參考資料“Deposition and characterization of BN/Si(001)using tris(dimethylamino)borane,”Dumont,H.等人,Mater.Res.Bull.Vol.37(9),pp.1565-1572(2002),描述運用化學氣相沉積製程於介於750℃與1000℃的溫度下使用(叁(二甲基胺基)硼烷當前驅物將BN薄膜沉積於Si(001)上。
美國專利第7,141,500號揭示一種將含鋁膜例如氧化鋁、氮化鋁或氧氮化鋁形成於基材上的方法,其包含:提供具有結構Al(NR1R2)(NR3R4)(NR5R6)的前驅物,其中R1、R2、R3、R4、R5及R6各者係獨立地選自由氫及包括至少2個 碳原子的烷基所組成的群組。該等R1至R6基團各者可能相同或不同而且可能是直鏈或支鏈烷基。可用於形成含鋁膜的示範前驅物係叁(二乙基胺基)鋁。
美國公開案第2005/0208718號揭示一種運用原子層沉積(ALD)製程形成電容器的方法,其包括將包括鋁前驅物的反應物提供於基材上以使一部分反應物化學吸附於該基材表面。將NH3電漿提供於該基材上以將包括氮化鋁的介電層形成於包括下方電極的基材上。
所以,此技藝必需提供一種用於沉積保形的高品質氮化鋁膜的低溫(例如,400℃或以下的加工溫度)方法,其中該膜具有下列特徵中的一或多者:與使用其他沉積方法的其他氮化鋁膜相比2.4克/立方釐米(g/cc)或更高的密度、低濕式蝕刻速率(於稀氫氟酸(HF)溶液中測量時)、低於20原子%的氫含量、高於2.00的折射率及其組合。
本文所述的是用於將化學計量或非化學計量的含第13族金屬或類金屬的膜例如鋁、鎵、銦、鉈、硼或其組合的氮化物膜形成於基材至少一部分上之方法。
在一態樣中,提供一種形成第13族氮化物膜例如氮化鋁、氮化硼、氮化鎵、氮化銦、氮化鉈及其組合之方法,所組成的群組,該方法包含以下步驟:a.將基材裝備於反應器中;b.將至少一下式I所示的第13族金屬或類金屬前驅物引 進該反應器:MRn(NR1R2)3-n I其中M係選自由硼(B)、鋁(Al)、鎵(Ga)、銦(In)、鉈(Th)或其組合所組成的群組;R係選自線性或分支C1至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R1係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分支C1至C6氟化烷基、拉電子基及C4至C10芳基;任意地其中R1及R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;其中n=0、1、2或3,其中該至少一第13族金屬或類金屬前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以洗淨氣體洗淨該反應器;d.將包含氮的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的膜為止。在某些具體實施例中,R1和R2相同。於其他具體實施例中,R1和R2不同。於各個不同具體實施例中,R1和R2能連結在一起以形成一 環。於其他具體實施例中,R1和R2沒連結在一起以形成一環。於又另一具體實施例中,該電漿包含選自由氮電漿、氮/氦電漿、氮/氬電漿、氮/氖電漿所組成的群組之非氫的含氮電漿。
在另一態樣中,提供一種藉由電漿強化原子層沉積製程或類PEALD製程形成氮化鋁膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.將選自由三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁及叁(乙基甲基胺基)鋁所組成的群組中之至少一鋁前驅物引進該反應器,其中該至少一鋁前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;d.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的氮化鋁膜為止。
圖1提供使用叁(二甲基胺基)鋁及氮電漿沉積的AlN膜的掃描式電子顯微鏡(SEM)影像,其證實幾乎100%保形性; 圖2提供使用叁(二甲基胺基)鋁及氮電漿沉積的AlN膜厚度與週期數的關係,其證實膜厚度與週期數之間有良好線性關係;圖3顯示使用叁(二甲基胺基)鋁和100%氮電漿、叁(二甲基胺基)鋁和50%氮/50%氬電漿及50%氮/50%氦電漿沉積的AlN膜,其證實從200至350℃的ALD製程窗。圖4顯示使用叁(二甲基胺基)鋁和100%氮電漿、叁(二甲基胺基)鋁和50%氮/50%氬電漿及50%氮/50%氦電漿沉積的AlN膜的折射率(RI),其證實能達成RI高於2.0的高品質AlN膜。表3、4及5彙總ALN膜性質;圖5顯示使用叁(二甲基胺基)硼烷(TDMAB)和100%氮電漿沉積的BN膜厚度與TDMAB脈衝時間的關係,其證實TDMAB於0.5秒時達到自我限制;圖6顯示使用叁(二甲基胺基)硼烷和100%氮電漿沉積的BN膜,其證實從200至400℃的ALD製程窗;圖7顯示原沉積鋁摻雜氮化鈦的電阻率,其證實氮化鋁鈦的電阻率能藉由變更ALD條件來調整;及圖8顯示原沉積硼摻雜氮化鈦的電阻率,其證實氮化硼鈦的電阻率能藉由變更ALD條件來調整。
於低溫,例如,400℃或更低的溫度,下沉積符合被視為高品質膜的一或更多標準的保形性第13族金屬或類金屬氮化物膜例如,但不限於,氮化鋁或氮化硼膜,已經是 存在已久的工業挑戰。氮化鋁膜若具有下列特徵中的一或多者便被視為“高品質”膜:藉由X-射線反射量測術(XRR)測量時2.4克/立方公分(g/cc)或更高的密度、低濕式蝕刻速率(在稀氫氟酸(HF)中測量時)、藉由二次離子質譜法(SIMS)測量時20原子(at.)%或更低的氫含量、高於2.00的折射率及其組合。
本文所述的是用於將化學計量或非化學計量的第13族氮化物膜例如氮化鋁、氮化硼、氮化鎵、氮化銦、氮化鉈及其組合所組成的群組形成於基材至少一部分上的方法。本文所述的第13族氮化物膜例如氮化鋁、氮化硼、氮化鎵及氮化銦膜係利用至少一下式I所示的第13族前驅物來沉積:MRn(NR1R2)3-n I其中M係選自由硼(B)、鋁(Al)、鎵(Ga)、銦(In)、鉈(Th)或其組合所組成的群組;R係選自線性或分支C1至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R1係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分支C1至C6氟化烷基、拉電子基及C4至C10芳基;任意地其中R1及R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;而且n=0、1、2或3。在式I的某些具體實施例中, R、R1及R3皆為甲基。
在該等式子中及整個說明中,該措辭“烷基”表示具有1至10或1至6個碳原子的線性或分支官能基。示範烷基包括,但不限於,甲基(Me)、乙基(Et)、丙基(Prn)、異丙基(Pri)、丁基(Bun)、異丁基(Bui)、第二丁基(Bus)、第三丁基(But)、戊基、異戊基、第三戊基(Amt)、己基、異己基及新己基。
在該等式子中及整個說明中,該措辭“環狀烷基”表示具有3至10或4至10個碳原子或5至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
在該等式子中及整個說明中,該措辭“芳基”表示具有5至12個碳原子或6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在該等式子中及整個說明中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至10或2至6或3至4個碳原子的基團。
在該等式子中及整個說明中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有3至10或3至6或3至4個碳原子的基團。
在該等式子中及整個說明中,該措辭“二烷基胺基”表示具有二烷基,該二烷基接附於一氮原子並且具有1至10或2至6或2至4個碳原子。示範芳基包括,但不限於, 二甲基胺基、二乙基胺基及乙基甲基胺基。
在該等式子中及整個說明中,該措辭“拉電子基”描述從該M-N鍵牽引電子的原子或基團。適合的拉電子基或取代基的實例包括,但不限於,腈基(CN)。於某些具體實施例中,拉電子取代基可能毗鄰或近鄰式I任一者中的N。拉電子基的其他非限定例包括F、Cl、Br、I、CN、NO2、RSO及/或RSO2,其中R可能是C1至C10烷基例如,但不限於,甲基。
在整個說明中,該措辭“非氫的含氮電漿”表示沒有任何氫原子的電漿。實例包括,但不限於,氮電漿、包含氮和氦的電漿、包含氮和氬的電漿、包含氮和氖的電漿。該電漿中的氮含量能從0.01變動至100%。示範氮含量包括,但不限於,5%氮和95%氦、5%氮和95%氬、10%氮和90%氦、10%氮和90%氬、15%氮和85%氦、15%氮和85%氬、20%氮和80%氦、20%氮和80%氬、25%氮和75%氦、25%氮和75%氬、30%氮和70%氦、30%氮和70%氬、40%氮和60%氦、40%氮和60%氬、50%氮和50%氦、50%氮和50%氬、60%氮和40%氬、60%氮和40%氦、70%氮和30%氬、70%氮和30%氦、80%氮和20%氬、80%氮和20%氦、90%氮和10%氬、90%氮和10%氦、95%氮和5%氬、95%氮和5%氦、100%氮。
在整個說明中,用於本文時該措辭“保形性”係定義成沉積於具有導孔或溝槽或二者的結構化或特徵化基材中的沉積氮化鋁、氮化硼、氮化鎵、第13族元素摻雜金屬氮化物膜之二厚度的百分比,其中底部步階覆蓋率的比率為(以% 表示):該特徵底部處的厚度除以該特徵頂部處的厚度,而且中間步階覆蓋率的比率為(以%表示):該特徵側壁的厚度除以該特徵頂部處的厚度。使用本文所述方法沉積的膜顯現約60%或更高、約70%或更高、約80%或更高或約90%或更高的步階覆蓋率,其指示該等膜是保形的。
在某些具體實施例中,式I至III中的烷基、烯基、炔基、烷氧基、二烷基胺基、芳基及/或拉電子基團中的一或多者可為經取代或有一或更多原子或原子團被取代換掉氫原子。示範取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。舉例來說,該措辭“氟化烷基”表示該烷基的一或更多原子例如氫原子被氟原子取代。
示範的第13族金屬或類金屬前驅物包括,但不限於,三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁、叁(乙基甲基胺基)鋁、三甲基硼烷、三乙基硼烷、叁(二甲基胺基)硼烷、叁(乙基甲基胺基)硼烷及叁(二乙基胺基)硼烷。其他示範的第13族類金屬前驅物包括“硼烷有機胺錯合物”。“硼烷有機胺錯合物”表示藉由使甲硼烷或乙硼烷與有機胺反應所形成的穩定又具有揮發性的硼烷錯合物。示範的有機胺硼烷錯合物包括,但不限於,硼烷三甲基胺錯合物、硼烷三乙基胺錯合物、二甲基胺硼烷、硼烷吡啶錯合物、硼烷嗎啉錯合物、硼烷第三丁基胺錯合物、硼烷4-甲基嗎啉錯合物、硼烷N,N-二異丙基乙基胺錯合物、硼烷伸乙二胺錯合物及2-甲基吡啶硼烷錯合物。
用以形成第13族金屬或類金屬氮化物或金屬摻 雜類金屬膜的製程包括任何適合的沉積製程。適合沉積製程的實例包括,但不限於,電漿強化ALD(PEALD)或類PEALD製程。用於本文時,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該等前驅物於該基材表面上反應及/或分解以產生預期沉積的任何製程。如本文所用的,該措辭“原子層沉積製程”表示把材料的膜沉積於變化組成的基材上之自限性(例如,各反應週期所沉積的膜材料量恆定)連續表面化學。儘管本文所用的前驅物、試劑及來源有時候可能被描述成“氣態”,但是咸了解該等前驅物可能是液態或固態,該等前驅物係經由直接汽化、起泡或昇華利用或沒用惰性氣體輸送至該反應器中。在一些案例中,該等經汽化的前驅物能通過電漿產生器。本文所用的措辭“反應器”包括,但不限於,反應艙或沉積艙例如單晶圓ALD反應器、半批次ALD反應器或批式爐ALD反應器;b)藉由將該基材移動或轉動至該反應器的不同段而且各段藉由惰性氣體帘幕分開,亦即空間ALD反應器或捲軸式ALD反應器,而使包括鋁前驅物或硼前驅物或金屬前驅物及反應性氣體在內的各反應物暴露於基材。該“類PEALD”製程在此係定義成電漿強化循環式CVD製程,其將高保形性氮化鋁或氮化硼或金屬摻雜氮化鋁或金屬摻雜氮化硼膜以下列至少一者所示的方式提供於基材上:藉由橢圓儀測量時約5%或更低的不均勻度百分比、0.1Å/週期或更高的沉積速率或其組合。
在某些具體實施例中,本文所揭示的方法藉由運用PEALD或類PEALD方法在引進該反應器之前及/或期間隔 開該等前驅物而避免該等前驅物的預反應。關於這一點,沉積技術例如PEALD或類PEALD製程係用以沉積第13族金屬或類金屬氮化物膜。在一具體實施例中,該膜係經由PEALD製程藉由使該基材表面輪流暴露於該氮化鋁前驅物、含氮來源或其他來源或試劑中之其一或多者而沉積。膜成長藉由表面反應的自限性控制、各前驅物或試劑的脈衝時間長度及沉積溫度來進行。然而,一旦該基材的表面達到飽和,便停止該膜生長。
依據該沉積方法,在某些具體實施例中,該至少一第13族金屬或類金屬前驅物可以預定莫耳體積例如,舉例來說,約0.1至約1000微莫耳引進該反應器。在此具體實施例中,該至少一鋁前驅物可經歷預定時期引進該反應器。在某些具體實施例中,該時期介於約0.001至約500秒。
在某些具體實施例中,該等第13族金屬或類金屬氮化物膜包含鋁及/或氮或硼及/或氮。在這些具體實施例中,運用本文所述的方法沉積的或氮化鋁或氮化硼膜係於含氮來源存在之下形成。含氮來源可以至少一含氮來源的形式引進該反應器中及/或可附帶地存於用於該沉積製程的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氮/氬電漿。在某些具體實施例中,該含氮來源包含於介於約1至約2000標準立方公分(sccm)或約1至約1000sccm的流速下引進該反應器的氮/氬電漿來源氣體。該含氮來源能被引進經過介於約0.1至約100秒的時間。在該膜係藉由ALD或循環式CVD製程沉積的具體實施例中,該前驅物脈衝可具有大於0.01秒的 脈衝時期,而且該含氮來源可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等脈衝之間的洗淨時期可能小到0秒或連續地脈衝而於其間沒有洗淨。
在本文所述的方法中,包含含氮氣體(例如,但不限於,氮)的含氮電漿,而且任意地稀有氣體能在原地或遠距產生,較佳地具有大於氮原子質量(亦即,28amu)的原子質量之稀有氣體。咸相信有原子質量大於氮原子質量的稀有氣體存在將創造更多原子氮自由基。該氮電漿來源氣體係於介於約1至約2000標準立方公分(sccm)或約1至約1000sccm或更高的速率下引進該反應器。該含氮電漿能被引進經過介於約0.01至約100秒或更多的時間。在多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該含氮電漿可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等前驅物脈衝與氮電漿之間的洗淨時期可能小到0秒。在又另一具體實施例中,當氫電漿能夠使用時氫電漿能在原地或遠距使用純氫(H2)與稀有氣體混合而產生。該含有氮和稀有氣體二者的電漿中之稀有氣體重量百分比能從1重量%變動至99重量%,而該含有氫和稀有氣體二者的電漿中之稀有氣體重量百分比也能從1重量%變動至99重量%。
如本文的工作實施例中證實的,先前技藝所揭示的習用含氮電漿例如氨電漿及氫/氮電漿無法使用現存的鋁或硼前驅物例如叁(二甲基胺基)鋁或叁(二甲基胺基)硼烷提供 高品質第13族金屬或類金屬氮化物膜。不欲為特定理論所束縛,咸相信僅含氮或含氮和稀有氣體例如氦或氬二者的電漿能協助第13族金屬或類金屬膜例如氮化鋁或氮化硼膜的形成以及於後繼沉積週期中提供反應性部位於具有式I的鋁或硼前驅物的至少一部分化學吸附表面上以錨定於這些反應性部位上。這使得週期性沉積能夠發生,而習用含氮電漿例如氨電漿及/或氫/氮電漿卻可能毒化該表面,從而造成最小量膜沉積。不欲受任何理論所束縛,該電漿密度係藉由電漿功率除以電極面積來定義(舉例來說,6”晶圓ALD反應器的電漿功率為450W,該電漿密度係約2.5W/cm2,假設該電極面積與該晶圓相同)而且較佳為低於2W/cm2以降低該基材以及該基材上的任何內建結構上的潛在電漿損害,卻仍舊能產生能於半導體製程中施行的高品質氮化鋁膜。本文所述的方法之電漿密度介於約0.01至約2W/cm2,或約0.01至約1.5W/cm2,或約0.01至1W/cm2。典型的電漿頻率介於10KHz至2.4GHz,較佳為10kHz至60MHz。在某些具體實施例中,能運用雙重射頻電漿,一低頻介於10kHz至1MHz而且另一中等頻率選自由13.56MHz和27.1MHz所組成的群組。
本文所揭露的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉沒消耗的反應物及/或反應副產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖(Ne)、氫(H2)及其混合物。在某些具體實施例中,當洗淨氣體用的惰性氣體包含稀有氣體。本文所用的措辭“稀有氣體”意指於週期表 第18族中見到者並且包括,氦(He)、氖(Ne)、氬(Ar)、氙(Xe)、氪(Kr)及其混合物。在一特定具體實施例中,當洗淨氣體用的稀有氣體包含氬。在各個不同具體實施例中,該包含Ar的洗淨氣體係於介於約10至約2000sccm的流速下供入該反應器經歷約0.1至1000秒,藉以洗淨該未反應的前驅物材料和可能留在該反應器中的任何副產物。
供應該等前驅物、該含氮來源、及/或其他前驅物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變所得氮化鋁膜的化學計量組成。
把能量施加於該前驅物、含氮來源、還原劑、其他前驅物或其組合中的至少其一以引發反應並且將該氮化鋁膜或塗層形成於該基材上。此能量可藉由,但不限於,熱電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,來提供。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特性。在該沉積涉及電漿的具體實施例中,該電漿產生製程可能包含該電漿直接在該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生方法。
該第13族金屬或類金屬前驅物可以單晶圓或批次依各式各樣的方式例如起泡、蒸氣牽引(vapor draw)或直接液體注射(DLI)輸送給該反應艙例如PEALD或類PEALD反應器。在一具體實施例中,可利用液體輸送系統。在一可供選用的具體實施例中,可運用合併液體輸送和閃蒸的處理單 元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性而且有益於特定最終用途應用的溶劑組分以於基材上形成膜。
在某些具體實施例中,從該等前驅物藥罐連至該反應艙的氣體管道係依據製程要求加熱至一或更多溫度而且具有本文所述的式I至III之鋁前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含至少一具有本文所述的化學式的氮化鋁前驅物之溶液注入保持於一或更多供直接液體注射用的溫度下之汽化器。
氬及/或其他惰性氣體流可當成載運氣體運用以協助在該前驅物脈衝的期間將該至少一鋁前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙製程壓力係約2托耳或更低。在其他具體實施例中,該反應艙製程壓力係約10托耳或更低。於其他具體實施例中,該反應艙製程壓力係約200托耳或更低。
在典型的PEALD或類PEALD製程中,在最初暴露於該氮化鋁前驅物的反應艙之加熱器段上加熱基材例如,但不限於,氧化矽、碳摻雜氧化矽、撓性基材或金屬氮化物基材以便使該鋁能以化學方式吸附於該基材表面上。洗淨氣體例如氮、氬或其他惰性氣體從該加工艙洗掉沒被吸附的過 量鋁。經過充分洗淨以後,含氮來源可引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工週期能重複進行以達成期望的膜厚度。在其他具體實施例中,在真空之下抽排能用以從該加工艙移除沒被吸附的過量鋁,等到在抽排作用之下充分抽空以後,含氮來源可引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。
在一態樣中,提供一種形成選自由氮化鋁、氮化硼、氮化鎵、氮化銦、氮化鉈及其組合所組成的群組的第13族氮化物膜之方法。該方法包含以下步驟:a.將基材裝備於反應器中;b.將至少一下式I所示的第13族前驅物引進該反應器:MRn(NR1R2)3-n I其中M係選自由硼(B)、鋁(Al)、鎵(Ga)、銦(In)、鉈(Th)或其組合所組成的群組;R係選自線性或分支C1至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R1係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分支C1至C6氟化烷基、拉電子基及C4至C10芳基;任意地其中R1及R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪 族環之環;其中n=0、1、2或3,其中該至少一鋁前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以洗淨氣體洗淨該反應器;d.將包含氮電漿的電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的第13族金屬或類金屬氮化物膜為止。在某些具體實施例中,R1及R2相同。於其他具體實施例中,R1及R2不同。於各個不同具體實施例中,R1及R2能連在一起以形成一環。該環的實例包括但不限於,吡咯啶基,其中R1=R2=線性C2烷基、2,5-二甲基-吡咯啶基,其中R1=R2=分支C3烷基、六氫吡啶基,其中R1=R2=線性C3烷基、2,6-二甲基六氫吡啶基,其中R1=分支C3烷基及R2=分支C4烷基。在又其他具體實施例中,R1及R2沒連在一起以形成一環。任意地包含氫的電漿能在步驟d之前介入以助於移除該第13族前驅物與該表面之間的反應產生的烴。在某些具體實施例中,該包含氮的電漿係選自由氮電漿、氮/氦、氮/氬電漿、氮/氖電漿及其混合物所組成的群組。在可供選擇的具體實施例中,該電漿包含非氫電漿。
在另一態樣中,提供一種藉由電漿強化原子層沉積製程或類PEALD製程形成氮化鋁膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中; b.將選自由三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁及叁(乙基甲基胺基)鋁所組成的群組中之至少一鋁前驅物引進該反應器,其中該至少一鋁前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;及d.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的氮化鋁膜為止。
在另一態樣中,提供一種藉由電漿強化原子層沉積製程或類PEALD製程形成氮化硼膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.將選自由三甲基硼、三乙基硼、叁(二甲基胺基)硼烷及叁(乙基甲基胺基)硼烷、叁(二乙基胺基)硼烷及硼烷有機胺錯合物所組成的群組中之至少一硼前驅物引進該反應器,其中該至少一硼前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;d.將包含非氫的含氮電漿之電漿引進該反應器以與該化 學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的氮化硼膜為止。
在另一態樣中,提供一種藉由電漿強化原子層沉積製程或類PEALD製程形成具有二不同第13族元素的第13族氮化物例如硼摻雜氮化鋁膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.將選自由三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁及叁(乙基甲基胺基)鋁所組成的群組中之至少一鋁前驅物引進該反應器,其中該至少一鋁前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;d.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;e.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;f.將鋁以外的至少一第13族前驅物例如硼前驅物引進該反應器,該硼前驅物係選自由三甲基硼烷、三乙基硼烷、叁(二甲基胺基)硼烷、叁(乙基甲基胺基)硼烷、叁(二乙基胺基)硼烷及硼烷有機胺錯合物所組成的群組,其中該至少一第13族前 驅物於該基材表面的至少一部分上反應以提供化學吸附層;g.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;h.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及i.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的硼摻雜氮化鋁膜為止。
以上的步驟定義本文所述的方法的一個週期;而且該週期可重複進行直到獲得期望厚度的膜為止。在各個不同具體實施例中,咸了解本文所述的方法的步驟可以多變的順序進行,可依序地或同時地(例如,於另一步驟的至少一部分期間)及其任何組合進行。供應該等前驅物和含氮來源的分別步驟可藉由變化供應彼等的時期來進行以改變所產生的氮化物膜的化學計量組成,但是總是使用少於可利用的第13族金屬或類金屬的化學計量的氮。
在另一態樣中,提供一種藉由電漿強化原子層沉積製程或類PEALD製程形成第13族元素摻雜金屬氮化物例如鋁摻雜氮化鈦、硼摻雜氮化鈦、鋁摻雜氮化鉭、硼摻雜氮化鉭、鋁摻雜氮化鎢、硼摻雜氮化鎢、鋁摻雜氮化釩、硼摻雜氮化釩膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.將選自由三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁、叁 (乙基甲基胺基)鋁、三甲基硼烷、三乙基硼烷、叁(二甲基胺基)硼烷、叁(乙基甲基胺基)硼烷、叁(二乙基胺基)硼烷及硼烷有機胺錯合物所組成的群組中之至少一鋁前驅物引進該反應器,其中該至少一鋁或硼前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;d.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;e.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;f.將至少一金屬前驅物引進該反應器,該至少一金屬前驅物係選自由氯化鋯(ZrCl4)、肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、氯化鈦(TiCl4)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、氯化鉭(TaCl5)、第三丁基亞胺基叁(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁 (二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、六氯化鎢、五氯化鎢、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢及其組合所組成的群組,其中該至少一金屬前驅物於該基材表面的至少一部分上反應以提供化學吸附層;g.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;h.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及i.任意地以惰性氣體洗淨該反應器,其中該等步驟b至e係重複進行至獲得期望厚度的鋁或硼摻雜金屬氮化物膜為止。
以上的步驟定義本文所述的方法的一個週期;而且該週期可重複進行直到獲得期望厚度的膜為止。在各個不同具體實施例中,咸了解本文所述的方法的步驟可以多變的順序進行,可依序地或同時地(例如,於另一步驟的至少一部分期間)及其任何組合進行。供應該等前驅物和含氮來源的分別步驟可藉由變化供應彼等的時期來進行以改變所產生的硼或鋁摻雜金屬氮化物膜的化學計量組成以及物性,該硼或鋁摻雜金屬氮化物膜能用作裝配半導體裝置時的閘極或擴散阻絕物。
在本文所述的方法的某些具體實施例中,重複進行步驟b至e以提供厚度介於約0.1至約500Å,或約0.1至約5Å,或約0.1至約10Å,或約0.1至約50Å,或0.1至100Å的該第13族金屬、類金屬或第13族摻雜金屬氮化物膜。在本文所述的方法之一特定具體實施例中,選自由氫電漿、氫/氦、氫/氬電漿、氫/氖電漿及其混合物所組成的群組之包含氫的電漿能在步驟d或h之前介入以助於移除該鋁或硼前驅物與該表面之間的反應產生的烴。在一可供選擇的具體實施例中,該電漿包含非氫電漿。在一些具體實施例中,步驟f至i能在步驟b至e之前重複進行許多次以創造包含金屬氮化物及具有較低鋁或硼含量(亦即鋁或硼含量係根據XPS的測量結果15原子%或10原子%或更低、5原子%或更低)的氮化鋁或氮化硼更迭的奈米疊層導電結構。結果產生的鋁或硼摻雜金屬能提供適當物性例如適用於裝配半導體裝置製程中的閘極或擴散阻絕物或加熱材料的功函數或電阻率。
在某些具體實施例中,結果產生的第13族金屬或類金屬或第13族摻雜金屬氮化物膜或塗層能夠暴露於沉積後處理例如,但不限於,於介於300至1000℃溫度下的熱退火、電漿處理(例如氫、氦電漿、氬電漿及其組合)、化學處理、紫外線(UV)曝光、紅外線(IR)曝光、電子束曝光及/或其他處理以改良該膜的一或更多性質。
如先前提及的,本文所述的方法可用以將第13族金屬、類金屬氮化物或第13族摻雜金屬氮化物膜沉積於基材的至少一部分上。適合基材的實例包括但不限於,矽、鍺、 SiO2、Si3N4、OSG、FSG、碳化矽、氫化碳化矽、氮化矽、氫化氮化矽、碳氮化矽、氫化碳氮化矽、硼氮化物、抗反射塗層、光阻劑、撓性基材例如IGZO、有機聚合物、多孔性有機和無機材料、金屬類例如銅和鋁,及擴散阻絕層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與多變的後續處理步驟例如,舉例來說,化學機械平坦化(CMP)和各向異性蝕刻製程相容。
所沉積的膜具有多種應用,其包括,但不限於,電腦晶片、光學裝置、磁性資料儲存、於支撐材料或基材上的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)、發光二極體(LED)、有機發光二極體(OLED)、IGZO及液晶顯示器(LCD)。
下列實施例舉例說明本文所述的氮化鋁、氮化硼、鋁摻雜金屬氮化物或硼摻雜金屬氮化物膜的沉積方法而且不會以任何方式限制該方法。
實施例
在以下實施例中,除非另行指明,否則均由沉積於中等電阻率(14至17Ω-cm)單晶矽晶圓基材上的樣品膜獲得性質。所有膜沉積皆使用具有13.56MHz直接電漿的噴灑頭設計的CN-1反應器或無電漿的錯流型CN-1反應器(比較例)來進行。在典型的製程條件中,除了另行指明,否則艙壓係固定於介於約1至約5托耳的壓力。使用另一惰性氣體來保持艙壓。該鋁前驅物係利用蒸氣牽引來運送(亦即,完全不使 用氬)。典型應用的RF功率係於150mm晶圓的電極面積上加諸125W以提供0.7W/cm2的功率密度。該等膜沉積包含關於熱ALD and電漿強化ALD之分別列於表1及2的步驟。表2的步驟1至4構成一個PEALD週期而且除非另行指明,否則重複進行總共100次而得到期望的膜厚度。
已沉積的膜之折射率(RI)及厚度係利用橢圓儀來測量。膜不均勻性利用下述標準方程式來計算:不均勻性%= ((最大厚度-最小厚度)/(2*平均(avg)厚度))。膜結構及組成利用二次離子質譜法(SIMS)來分析。該等膜的密度利用X-射線反射儀(XRR)來測量。
比較例1. 利用叁(二甲基胺基)鋁(TDMAA)藉著CN-1錯流型反應器及氨來進行氮化鋁膜的熱ALD
將該矽晶圓載入無電漿的CN-錯流型CN-1反應器並且配合1托耳的艙壓加熱至150至350℃。當鋁前驅物的TDMAA係利用起泡法藉著50sccm的氬載運氣體流速運送至該反應器。該ALD週期包含列於表1的製程步驟而且使用下列製程參數重複進行100週期:
1.將鋁前驅物引進該反應器
氬流量:1000sccm
鋁前驅物脈衝:3秒
2.惰性氣體洗淨
氬總流量:1000sccm
洗淨時間:30秒
3.引進氨
氬流量:1000sccm
氨流量:500sccm
氨脈衝:3秒
4.洗淨
氬總流量:1000sccm
洗淨時間:0.5秒
比較例2. 利用叁(二甲基胺基)鋁(TDMAA)藉著配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器及氨來進行氮化鋁膜的熱ALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合1托耳的艙壓加熱至100至400℃。當鋁前驅物的TDMAA係利用起泡法藉著50sccm的氬載運氣體流速運送至該反應器。該PEALD週期包含列於表1的製程步驟而且使用下列製程參數重複進行100週期:
1.將鋁前驅物引進該反應器
氬流量:1000sccm
鋁前驅物脈衝:3秒
2.惰性氣體洗淨
氬總流量:1000sccm
洗淨時間:30秒
3.引進氨
氬流量:1000sccm
氨流量:500sccm
氨脈衝:3秒
4.洗淨
氬總流量:1000sccm
洗淨時間:30秒
比較例3. 利用叁(二甲基胺基)鋁(TDMAA)藉著配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器及氨電漿來進行 氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合1托耳的艙壓加熱至100至400℃。當鋁前驅物的TDMAA係利用起泡法藉著50sccm的氬載運氣體流速運送至該反應器。該PEALD週期包含列於表2的製程步驟而且使用下列製程參數重複進行100週期:
1.將鋁前驅物引進該反應器
氬流量:1000sccm
鋁前驅物脈衝:3秒
2.惰性氣體洗淨
氬總流量:1000sccm
洗淨時間:30秒
3.引進氨
氬流量:1000sccm
氨流量:500sccm
氨脈衝:配合200W的電漿功率進行3秒
4.洗淨
氬總流量:1000sccm
洗淨時間:30秒
實施例1. 利用於CN-1噴灑頭型反應器中的三甲基鋁(TMA)及氮電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合1托耳的艙壓加熱至150至 400℃。當鋁前驅物的TMA係利用蒸氣牽引法運送至該反應器。該PEALD週期包含列於表2的製程步驟而且使用下列製程參數重複進行100週期:
1.將鋁前驅物引進該反應器
氮流量:1000sccm
鋁前驅物脈衝:3秒
2.惰性氣體洗淨
氮總流量:1000sccm
洗淨時間:30秒
3.引進電漿
氮流量:1000sccm
電漿脈衝:配合200W的電漿功率進行5秒
4.洗淨
氬總流量:1000sccm
洗淨時間:30秒
實施例2. 利用於CN-1噴灑頭型反應器中的叁(二甲基胺基)鋁(TDMAA)及氮電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合1托耳的艙壓加熱至150至400℃。當鋁前驅物的TDMAA係利用起泡法藉著50sccm的氬載運氣體流速運送至該反應器。該PEALD週期包含列於表2的製程步驟而且使用下列製程參數重複進行100週期:
1.將鋁前驅物引進該反應器
氮流量:1000sccm
鋁前驅物脈衝:3秒
2.惰性氣體洗淨
氮總流量:1000sccm
洗淨時間:30秒
3.引進電漿
氮流量:1000sccm
電漿脈衝:配合200W的電漿功率進行5秒
4.洗淨
氬總流量:1000sccm
洗淨時間:30秒
圖1提供使用叁(二甲基胺基)鋁及氮電漿於300℃下沉積的AlN膜的掃描式電子顯微鏡(SEM)影像,從而證實幾乎100%保形性。
實施例3. 利用於CN-1噴灑頭型反應器中的叁(二甲基胺基)鋁(TDMAA)及氮/氬電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合1托耳的艙壓加熱至100至350℃。當鋁前驅物的TDMAA係利用起泡法藉著50sccm的氬載運氣體流速運送至該反應器。該PEALD週期包含列於表2的製程步驟而且使用下列製程參數重複進行100週期:
1.將鋁前驅物引進該反應器
氮流量:500sccm
氬流量:500sccm
鋁前驅物脈衝:3秒
2.惰性氣體洗淨
氮流量:500sccm
氬流量:500sccm
洗淨時間:30秒
3.引進電漿
氮流量:500sccm
氬流量:500sccm
電漿脈衝:配合200W的電漿功率進行5秒
4.洗淨
氮流量:500sccm
氬流量:500sccm
洗淨時間:30秒
實施例4. 利用於CN-1噴灑頭型反應器中的叁(二甲基胺基)鋁(TDMAA)及氮/氦電漿來進行氮化鋁膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合1托耳的艙壓加熱至100至350℃。當鋁前驅物的TDMAA係利用起泡法藉著50sccm的氬載運氣體流速運送至該反應器。該PEALD週期包含列於表2的製程步驟而且使用下列製程參數重複進行100週期:
1.將鋁前驅物引進該反應器
氮流量:500sccm
氦流量:500sccm
鋁前驅物脈衝:3秒
2.惰性氣體洗淨
氮流量:500sccm
氦流量:500sccm
洗淨時間:30秒
3.引進電漿
氮流量:500sccm
氦流量:500sccm
電漿脈衝:配合200W的電漿功率進行5秒
4.洗淨
氮流量:500sccm
氦流量:500sccm
洗淨時間:30秒
圖3顯示使用叁(二甲基胺基)鋁和100%氮電漿(實施例2)、叁(二甲基胺基)鋁(實施例3)和50%氮/50%氬電漿及50%氮/50%氦電漿(實施例4)沉積的AlN膜,其證實從200至350℃的ALD製程窗。圖4顯示使用叁(二甲基胺基)鋁和100%氮電漿、叁(二甲基胺基)鋁和50%氮/50%氬電漿及50%氮/50%氦電漿沉積的AlN膜的折射率(RI),其證實能達成RI高於2.0的高品質AlN膜。表3和4彙總AlN膜性質。
實施例5. 利用於CN-1噴灑頭型反應器中的叁(二甲基胺基)硼(TDMAB)及氮電漿來進行氮化硼膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至200至400℃。當硼前驅物的TDMAB係利用蒸氣牽引法運送至該反應器。該PEALD週期包含列於表2的製程步驟而且使用下列製程參數重複進行200週期:
1.將鋁前驅物引進該反應器
氮流量:1000sccm
硼前驅物脈衝:0.5秒
2.惰性氣體洗淨
氮總流量:1000sccm
洗淨時間:10秒
3.引進電漿
氮流量:1000sccm
電漿脈衝:配合125W的電漿功率進行10秒
4.洗淨
氬總流量:1000sccm
洗淨時間:10秒
圖5顯示使用叁(二甲基胺基)硼烷(TDMAB)和100%氮電漿沉積的BN膜厚度與TDMAB脈衝時間的關係,其證實TDMAB於0.5秒時達到自我限制。圖6顯示使用叁(二甲基胺基)硼烷和100%氮電漿沉積的BN膜,其證實從200至400℃的ALD製程窗。在另一組實驗中,進行BN膜厚度 與週期數關係的試驗,每週期的生長速率係由厚度與週期數的圖形求得為0.1Å/週期。
實施例6. 使用叁(二甲基胺基)鋁(TDMAA)和肆(二甲基胺基)鈦(TDMAT)及氮電漿來進行鋁摻雜氮化鈦膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。電漿功率係於125W。當鋁前驅物的叁(二甲基胺基)鋁(TDMAA)及當鈦前驅物的肆(二甲基胺基)鈦(TDMAT)係利用起泡法藉著50sccm的氬載運氣體流速運送至該反應器。把氮電漿當電漿使用。為了調整鋁含量及鋁摻雜氮化鈦的性質,進行了下列實驗:
a.由一週期的氮化鋁(TDMAA/洗淨/電漿/洗淨=2s/20s/5*s/20s)及10週期氮化鈦(TDMAT/洗淨/電漿/洗淨=1s/10s/5*s/10s)組成一個超週期。該超週期重複進行30次。
b.由一週期的氮化鋁(TDMAA/洗淨/電漿/洗淨=2s/20s/5*s/20s)及5週期氮化鈦(TDMAT/洗淨/電漿/洗淨=1s/10s/5*s/10s)組成一個超週期。該超週期重複進行50次。
c.由兩週期的氮化鋁(TDMAA/洗淨/電漿/洗淨=2s/20s/5*s/20s)及5週期氮化鈦(TDMAT/洗淨/電漿/洗淨=1s/10s/5*s/10s)組成一個超週期。該超週期重複進行50次。
圖7顯示原沉積鋁摻雜氮化鈦的電阻率,其證實該等電阻率能經由變更ALD條件來調整。
實施例7. 使用叁(二甲基胺基)硼烷(TDMAB)和肆(二甲基胺基)鈦(TDMAT)及氮電漿來進行硼摻雜氮化鈦膜的PEALD
將該矽晶圓載入配備13.56MHz直接電漿的噴灑頭設計的CN-1反應器並且配合2托耳的艙壓加熱至300℃。電漿功率係於125W。當硼前驅物的叁(二甲基胺基)硼烷(TDMAB)係利用蒸氣牽引而且當鈦前驅物的肆(二甲基胺基)鈦(TDMAT)係利用起泡法藉著50sccm的氬載運氣體流速運送至該反應器。把氮電漿當電漿使用。為了調整鋁含量及鋁摻雜氮化鈦的性質,進行了下列實驗:
d.由一週期的氮化硼(TDMAB/洗淨/電漿/洗淨=0.5s/10s/10*s/10s)及5週期氮化鈦(TDMAT/洗淨/電漿/洗淨=1s/10s/5*s/10s)組成一個超週期。該超週期重複進行50次。
e.由兩週期的氮化硼(TDMAB/洗淨/電漿/洗淨= 0.5s/10s/10*s/10s)及5週期氮化鈦(TDMAT/洗淨/電漿/洗淨=1s/10s/5*s/10s)組成一個超週期。該超週期重複進行50次。
f.由三週期的氮化硼(TDMAB/洗淨/電漿/洗淨=0.5s/10s/10*s/10s)及5週期氮化鈦(TDMAT/洗淨/電漿/洗淨=1s/10s/5*s/10s)組成一個超週期。該超週期重複進行50次。
g.由四週期的氮化硼(TDMAB/洗淨/電漿/洗淨=0.5s/10s/10*s/10s)及5週期氮化鈦(TDMAT/洗淨/電漿/洗淨=1s/10s/5*s/10s)組成一個超週期。該超週期重複進行50次。
h.由五週期的氮化硼(TDMAB/洗淨/電漿/洗淨=0.5s/10s/10*s/10s)及5週期氮化鈦(TDMAT/洗淨/電漿/洗淨=1s/10s/5*s/10s)組成一個超週期。該超週期重複進行50次。
圖8顯示原沉積硼摻雜氮化鈦的電阻率,其證實該等電阻率能經由變更ALD條件來調整。

Claims (34)

  1. 一種藉由電漿強化原子層沉積製程(PEALD)或類PEALD製程形成第13族金屬或類金屬氮化物膜之方法,該氮化物膜係選自由氮化鋁、氮化硼、氮化鎵、氮化銦、氮化鉈及其組合所組成的群組,該方法包含以下步驟:a.將基材裝備於反應器中;b.將至少一下式I所示的第13族金屬或類金屬前驅物引進該反應器:MRn(NR1R2)3-n I其中M係選自由硼(B)、鋁(Al)、鎵(Ga)、銦(In)、鉈(Th)或其組合所組成的群組;R係選自線性或分支C1至C10烷基、線性或分支C2至C10烯基、線性或分支C2至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R1係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C1至C6二烷基胺基、拉電子基及C6至C10芳基;R2係選自線性或分支C1至C10烷基、線性或分支C3至C6烯基、線性或分支C3至C6炔基、C1至C6二烷基胺基、C6至C10芳基、線性或分支C1至C6氟化烷基、拉電子基及C4至C10芳基;任意地其中R1及R2係連至一起以形成選自經取代或未經取代的芳香族環或經取代或未經取代的脂肪族環之環;其中n=0、1、2或3,其中該至少一前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以洗淨氣體洗淨該反應器;d.將包含非氫的含氮電漿之電漿引進該反應器以與該化 學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器;而且其中該等步驟b至e係重複進行至獲得期望厚度的膜為止。
  2. 如申請專利範圍第1項之方法,其中該至少一第13族金屬或類金屬前驅物係選自由三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁、叁(乙基甲基胺基)鋁、三甲基硼烷、三乙基硼烷、叁(二甲基胺基)硼烷、叁(乙基甲基胺基)硼烷、叁(二乙基胺基)硼烷及硼烷有機胺錯合物所組成的群組。
  3. 如申請專利範圍第2項之方法,其中該至少一第13族金屬或類金屬前驅物係該硼烷有機胺錯合物。
  4. 如申請專利範圍第3項之方法,其中該硼烷有機胺錯合物係選自由硼烷三甲基胺錯合物、硼烷三乙基胺錯合物、二甲基胺硼烷、硼烷吡啶錯合物、硼烷嗎啉錯合物、硼烷第三丁基胺錯合物、硼烷4-甲基嗎啉錯合物、硼烷N,N-二異丙基乙基胺錯合物、硼烷伸乙二胺錯合物及2-甲基吡啶硼烷錯合物所組成的群組。
  5. 如申請專利範圍第1項之方法,其中該方法係藉由電漿強化原子層沉積製程完成。
  6. 如申請專利範圍第1項之方法,其中該方法係藉由該類PEALD製程完成。
  7. 如申請專利範圍第1項之方法,其中該包含氮的電漿包含選自由氮電漿、氮/氦電漿、氮/氬所組成的群組。
  8. 如申請專利範圍第1項之方法,其中該洗淨氣體係稀有氣體。
  9. 如申請專利範圍第1項之方法,其中該引進電漿步驟包含施加能量以產生該電漿,其中該能量係由選自由熱電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合所組成的群組的來源中之至少一者來提供。
  10. 如申請專利範圍第1項之方法,其中R1及R2相同。
  11. 如申請專利範圍第1項之方法,其中R1及R2不同。
  12. 如申請專利範圍第1項之方法,其中R1及R2係連至一起以形成環。
  13. 如申請專利範圍第1項之方法,其另外包含在步驟d之前引進包含氫的電漿之步驟。
  14. 如申請專利範圍第1項之方法,其另外包含一達成期望厚度就處理該膜之步驟,其中該處理係藉由暴露於選自由氫電漿、氦電漿、氬電漿、UV輻射、IR輻射及其組合所組成的群組的能量來源完成。
  15. 一種形成氮化鋁膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.將選自由三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁及叁(乙基甲基胺基)鋁所組成的群組中之至少一鋁前驅物引進該反應器,其中該至少一鋁前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以洗淨氣體洗淨該反應器;及d.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器,其中該等步驟b至e係重複進行至獲得期望厚度的氮化鋁膜為止。
  16. 如申請專利範圍第15項之方法,其中該方法係藉由電漿強化原子層沉積製程完成。
  17. 如申請專利範圍第15項之方法,其中該方法係藉由該類PEALD製程完成。
  18. 如申請專利範圍第15項之方法,其中該非氫的含氮電漿包含N2電漿。
  19. 如申請專利範圍第15項之方法,其中該洗淨氣體係稀有氣體。
  20. 如申請專利範圍第15項之方法,其中該引進電漿步驟包含施加能量以產生該電漿,其中該能量係由選自由熱電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合所組成的群組的來源中之至少一者來提供。
  21. 一種形成氮化硼膜之方法,該方法包含以下步驟:a.將基材裝備於反應器中;b.將選自由三甲基硼、三乙基硼、叁(二甲基胺基)硼烷及叁(乙基甲基胺基)硼烷、叁(二乙基胺基)硼烷所組成的群組中之至少一硼前驅物引進該反應器,其中該至少一硼前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以洗淨氣體洗淨該反應器;及d.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及e.任意地以惰性氣體洗淨該反應器,其中該等步驟b至e 係重複進行至獲得期望厚度的氮化硼膜為止。
  22. 如申請專利範圍第20項之方法,其中該方法係藉由電漿強化原子層沉積製程完成。
  23. 如申請專利範圍第21項之方法,其中該方法係藉由該類PEALD製程完成。
  24. 如申請專利範圍第21項之方法,其中該非氫的含氮電漿包含N2電漿。
  25. 如申請專利範圍第21項之方法,其中該洗淨氣體係稀有氣體。
  26. 如申請專利範圍第21項之方法,其中該引進電漿步驟包含施加能量以產生該電漿,其中該能量係由選自由熱電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合所組成的群組的來源中之至少一者來提供。
  27. 一種藉由電漿強化原子層沉積製程或類PEALD製程形成第13族元素摻雜金屬氮化物例如鋁摻雜氮化鈦、硼摻雜氮化鈦、鋁摻雜氮化鉭、硼摻雜氮化鉭、鋁摻雜氮化鎢、硼摻雜氮化鎢、鋁摻雜氮化釩、硼摻雜氮化釩膜之方法,該方法包 含以下步驟:a.將基材裝備於反應器中;b.將選自由三甲基鋁、三乙基鋁、叁(二甲基胺基)鋁、叁(乙基甲基胺基)鋁、三甲基硼烷、三乙基硼烷、叁(二甲基胺基)硼烷、叁(乙基甲基胺基)硼烷、叁(二乙基胺基)硼烷及硼烷有機胺錯合物所組成的群組中之至少一鋁前驅物引進該反應器,其中該至少一鋁或硼前驅物於該基材表面的至少一部分上反應以提供化學吸附層;c.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;d.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;e.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;f.將至少一金屬前驅物引進該反應器,該至少一金屬前驅物係選自由氯化鋯(ZrCl4)、肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、氯化鈦(TiCl4)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、氯化鉭(TaCl5)、第三丁基亞胺基叁(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基叁(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基叁(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基 叁(二乙基胺基)鉭(EITDET)、乙基亞胺基叁(二甲基胺基)鉭(EITDMT)、乙基亞胺基叁(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基叁(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基叁(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基叁(乙基甲基胺基)鉭、六氯化鎢、五氯化鎢、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢及其組合所組成的群組,其中該至少一金屬前驅物於該基材表面的至少一部分上反應以提供化學吸附層;g.以包含選自氮、稀有氣體及其組合中的至少一者之洗淨氣體洗淨該反應器;h.將包含非氫的含氮電漿之電漿引進該反應器以與該化學吸附層的至少一部分反應而且提供至少一反應性部位,其中該電漿係於介於約0.01至約1.5W/cm2的功率密度下產生;及i.任意地以惰性氣體洗淨該反應器,其中該等步驟b至i係重複進行至獲得期望厚度的鋁或硼摻雜金屬氮化物膜為止。
  28. 如申請專利範圍第27項之方法,其中該方法係藉由電漿強化原子層沉積製程完成。
  29. 如申請專利範圍第27項之方法,其中該方法係藉由該類PEALD製程完成。
  30. 如申請專利範圍第27項之方法,其中該非氫的含氮電漿包含N2電漿。
  31. 如申請專利範圍第27項之方法,其中該洗淨氣體係稀有氣體。
  32. 如申請專利範圍第27項之方法,其中該引進電漿步驟包含施加能量以產生該電漿,其中該能量係由選自由熱電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合所組成的群組的來源中之至少一者來提供。
  33. 如申請專利範圍第27項之方法,其中在進行步驟e之前步驟b至e係重複進行至達到期望厚度的第13族元素氮化物為止。
  34. 如申請專利範圍第27項之方法,其中步驟f至i係重複進行得比步驟b至e更多週期以提供由XPS測得的第13族元素含量低於15原子%之第13族元素摻雜金屬氮化物。
TW105123108A 2015-07-24 2016-07-21 用於沉積第13族金屬或類金屬氮化物膜的方法 TWI591198B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562196494P 2015-07-24 2015-07-24
US15/210,172 US10745808B2 (en) 2015-07-24 2016-07-14 Methods for depositing Group 13 metal or metalloid nitride films

Publications (2)

Publication Number Publication Date
TW201704521A true TW201704521A (zh) 2017-02-01
TWI591198B TWI591198B (zh) 2017-07-11

Family

ID=56557514

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105123108A TWI591198B (zh) 2015-07-24 2016-07-21 用於沉積第13族金屬或類金屬氮化物膜的方法

Country Status (6)

Country Link
US (1) US10745808B2 (zh)
EP (1) EP3121309A1 (zh)
JP (1) JP6437962B2 (zh)
KR (1) KR101949391B1 (zh)
CN (1) CN106367730B (zh)
TW (1) TWI591198B (zh)

Families Citing this family (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10535531B2 (en) * 2017-04-26 2020-01-14 Tokyo Electron Limited Method of cyclic plasma etching of organic film using carbon-based chemistry
US10541146B2 (en) * 2017-04-26 2020-01-21 Tokyo Electron Limited Method of cyclic plasma etching of organic film using sulfur-based chemistry
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10332789B2 (en) * 2017-11-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with TiN adhesion layer for forming a contact plug
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR20200111181A (ko) 2017-12-20 2020-09-28 바스프 에스이 금속-함유 필름의 생성 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN108950477A (zh) * 2018-07-09 2018-12-07 圆融光电科技股份有限公司 一种氮化铝膜及其制备方法和应用
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN113939609A (zh) 2019-06-06 2022-01-14 巴斯夫欧洲公司 生成含金属或半金属膜的方法
SG11202113376YA (en) 2019-06-06 2021-12-30 Basf Se Process for the generation of metal- or semimetal-containing films
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN111364017B (zh) * 2020-04-20 2022-04-22 国家纳米科学中心 一种氮化铝薄膜及其制备方法和用途
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) * 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
CN111440210A (zh) * 2020-05-19 2020-07-24 合肥安德科铭半导体科技有限公司 一种含铌或钽的有机化合物的制备方法、产物及应用
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7479011B2 (ja) 2020-08-05 2024-05-08 国立大学法人東海国立大学機構 六方晶窒化ホウ素薄膜の製造方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112221524B (zh) * 2020-09-16 2023-01-13 西安近代化学研究所 一种负载型大比表面积氮化镓催化剂的制备方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11978625B2 (en) * 2021-10-18 2024-05-07 Applied Materials, Inc. Methods of forming metal nitride films
CN114381710A (zh) * 2022-01-17 2022-04-22 西安交通大学 一种GaN薄膜的制备方法、GaN薄膜及其应用
WO2024019381A1 (ko) * 2022-07-18 2024-01-25 주성엔지니어링(주) 반도체 소자 제조방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849464B2 (en) * 2002-06-10 2005-02-01 Micron Technology, Inc. Method of fabricating a multilayer dielectric tunnel barrier structure
US7141500B2 (en) 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US7067434B2 (en) * 2003-12-22 2006-06-27 Texas Instruments Incorporated Hydrogen free integration of high-k gate dielectrics
KR100538096B1 (ko) * 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
WO2010062582A2 (en) * 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
WO2011027664A1 (en) * 2009-09-04 2011-03-10 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and method for manufacturing the same
US8288292B2 (en) * 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8546161B2 (en) * 2010-09-13 2013-10-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film transistor and liquid crystal display device
US8617305B2 (en) * 2011-01-25 2013-12-31 Air Products And Chemicals, Inc. Metal complexes for metal-containing film deposition
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
TWI563539B (en) * 2012-01-18 2016-12-21 Sino American Silicon Prod Inc Composite substrate, manufacturing method thereof and light emitting device having the same
EP2823082B1 (en) * 2012-03-09 2024-05-15 Versum Materials US, LLC Barrier materials for display devices
US20130330473A1 (en) 2012-06-11 2013-12-12 Wayne State University Atomic Layer Deposition of Transition Metal Thin Films Using Boranes as the Reducing Agent
US10937649B2 (en) 2012-06-18 2021-03-02 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Epitaxial growth of cubic and hexagonal InN films and their alloys with AlN and GaN
US9024324B2 (en) * 2012-09-05 2015-05-05 Freescale Semiconductor, Inc. GaN dual field plate device with single field plate metal
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
TWI583816B (zh) * 2014-04-15 2017-05-21 環球晶圓股份有限公司 複合基材、包含該複合基材之半導體元件及其製造方法
US10570513B2 (en) * 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
TWI642803B (zh) * 2015-09-11 2018-12-01 慧盛材料美國責任有限公司 用於沉積一保形的金屬或類金屬氮化矽膜的方法及所形成的膜
CN108474114A (zh) * 2015-10-06 2018-08-31 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride

Also Published As

Publication number Publication date
US10745808B2 (en) 2020-08-18
JP6437962B2 (ja) 2018-12-12
EP3121309A1 (en) 2017-01-25
CN106367730A (zh) 2017-02-01
KR101949391B1 (ko) 2019-02-18
US20170022612A1 (en) 2017-01-26
KR20170012129A (ko) 2017-02-02
JP2017025412A (ja) 2017-02-02
TWI591198B (zh) 2017-07-11
CN106367730B (zh) 2020-06-02

Similar Documents

Publication Publication Date Title
TWI591198B (zh) 用於沉積第13族金屬或類金屬氮化物膜的方法
US11549182B2 (en) Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films
JP6761028B2 (ja) コンフォーマルな金属又はメタロイド窒化ケイ素膜を堆積するための方法及びその結果として得られる膜
TWI626329B (zh) 用於沉積矽氮化物膜的組合物及方法
TWI565822B (zh) 沉積氮化矽膜的方法
JP6781165B2 (ja) ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
US10106887B2 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
WO2014140672A1 (en) Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
JP6681398B2 (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US20160032454A1 (en) Bis(alkylimido)-bis(alkylamido)tungsten molecules for deposition of tungsten-containing films
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US11205573B2 (en) Ge-containing Co-film forming material, Ge-containing Co film and film forming method thereof

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees