CN112640047A - 选择性氧化铝膜沉积 - Google Patents

选择性氧化铝膜沉积 Download PDF

Info

Publication number
CN112640047A
CN112640047A CN201980057963.6A CN201980057963A CN112640047A CN 112640047 A CN112640047 A CN 112640047A CN 201980057963 A CN201980057963 A CN 201980057963A CN 112640047 A CN112640047 A CN 112640047A
Authority
CN
China
Prior art keywords
substrate
aluminum
metal
isomer
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980057963.6A
Other languages
English (en)
Inventor
吴立其
阮鸿
巴斯卡尔·乔蒂·布雅
马克·沙丽
刘风全
戴维·汤普森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112640047A publication Critical patent/CN112640047A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • C23C16/20Deposition of aluminium only

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

兹描述沉积膜的方法。具体而言,兹描述沉积金属氧化物膜的方法。通过将基板暴露于有机金属性前驱物,接着暴露于氧化剂,而相对于介电质层选择性地将金属氧化物膜沉积于金属层上。

Description

选择性氧化铝膜沉积
技术领域
本发明的实施方式与电子器件制造的领域及用于器件图案化的方法有关。尤其是,本公开内容的实施方式提供了用于沉积氧化铝膜的方法。
背景技术
半导体技术以极快的步调进步,并且器件尺寸随着技术进步而缩小,以提供每单位空间更快的处理及储存。随着尺寸达到7nm,使用微影术进行图案化不仅极具挑战性也非常昂贵。选择性沉积是一种替代方案,其可消除对昂贵的微影图案化的需求。
最近,人们对介电质材料上的介电质阻挡感兴趣。一种现有的解决方案是使用微影术来遮蔽一个表面,但此方法受到对准误差的严格限制。另一种解决方案是使用选择性地吸附到介电质的自组装单层(self-assembled monolayer;SAM),以选择性地阻挡后续ALD生长。
理想地,自组装单层(SAM)选择性地沉积在介电质基板上,只有少量生长在金属基板上,因而容许金属氧化物(例如,氧化铝等等)生长在金属上,同时没有金属氧化物生长在介电质上,因为SAM阻挡了他们的生长。然而,由于在金属氧化物膜沉积期间,在SAM或介电质的层中容易吸收某些金属前驱物和水而导致金属和水前驱物开始在介电质/SAM上生长,因此在介电质上存在金属氧化物沉积。传统上使用三甲基铝(TMA)和水来沉积氧化铝无法满足选择性要求。因此,选择性要求未被满足。所以,需要选择性沉积氧化铝膜同时也提供具有期望性质的氧化铝膜的方法。
发明内容
兹描述制造集成电路的方法。在一或多个实施方式中,兹描述沉积膜的方法。所述方法包含以下步骤:将基板安置于处理腔室中,基板具有金属层及介电质层。将基板暴露于有机金属性前驱物,以相对于介电质层选择性地将金属膜沉积于金属层上。清理处理腔室的有机金属性前驱物。将基板暴露于氧化剂,以与金属膜反应用以将金属氧化物膜形成于金属层上。清理处理腔室的氧化剂。
在一或多个实施方式中,兹描述沉积膜的方法。所述方法包含以下步骤:在工艺循环中选择性地形成金属氧化物膜,工艺循环包含将基板依序暴露于有机金属性前驱物、净化气体、氧化剂及净化气体,基板上具有金属层及介电质层。重复工艺循环,以选择性地将金属氧化物膜形成于金属层上,金属氧化物膜具有约0.5nm至约10nm的厚度,并且介电质层实质上无金属氧化物膜。
在一或多个实施方式中,兹描述沉积膜的方法。所述方法包含以下步骤:在工艺循环中选择性地形成氧化铝膜,工艺循环包含将基板依序暴露于铝前驱物、净化气体、氧化剂及净化气体,基板上具有金属层,金属层与介电质层相邻。重复工艺循环,以选择性地将氧化铝膜形成于金属层上,氧化铝膜具有约2nm至约10nm的厚度,并且介电质层实质上无氧化铝。
附图说明
以上简要概述本公开内容的上述详述特征可以被详细理解的方式、以及对本公开内容的更特定描述,可通过参照实施方式来获得,其中一些实施方式绘示于所附图式中。然而,应注意到,所附图式仅绘示此公开内容的典型实施方式,因而不应视为对本公开内容的范围的限制,因为本公开内容可允许其他等同有效的实施方式。本文所述的实施方式以示例而非将其限制在随附图式的图中的方式进行说明,其中类似的附图标号标示类似的元件。
图1描绘根据本文所述的实施方式的形成薄膜的方法的一个实施方式的流程图;
图2A图示根据一或多个实施方式的基板的横截面图;
图2B图示根据一或多个实施方式的基板的俯视图;
图3A图示根据一或多个实施方式的基板的横截面图;
图3B图示根据一或多个实施方式的基板的俯视图;
图4A图示根据一或多个实施方式的基板的横截面图;
图4B图示根据一或多个实施方式的基板的俯视图;
图5为根据本公开内容的一或多个实施方式的处理腔室的方块图;及
图6为根据本公开内容的一或多个实施方式的群集工具的示意图。
具体实施方式
在描述本公开内容的若干示例性实施方式之前,应理解到,本公开内容不限于以下描述中阐述的构造或工艺步骤的细节。本公开内容能够有其他实施方式并且能够以各种方式实践或实施。
如在本说明书和所附权利要求书所用,术语“基板”是指工艺在其上作用的表面或表面的一部分。本领域技术人员亦将理解到,除非上下文另有明确说明,否则对基板的提及可仅指基板的一部分。此外,对沉积于基板上的提及可意味着裸基板和具有一或多个膜或特征沉积于或形成于其上的基板二者。
如本文所用,术语“特征(feature)”或“表面形貌特征(topographic feature)”指的是以下的一或多种:开口、沟槽、通孔(via)、峰或类似特征。
本文所用的“基板”指的是在制造工艺期间在其上进行膜处理的任何基板或基板上形成的材料表面。举例而言,取决于应用,可在其上进行处理的基板表面可包括:材料,诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石;及任何其它材料,诸如,金属、金属氮化物、金属合金及其他导电材料。基板可包括但不限于,半导体晶片。可将基板暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟基化、退火和/或烘烤基板表面。除了直接在基板本身的表面上进行膜处理之外,于此公开内容中,也可在形成于基板上的下方层(under-layer)上进行任何于此公开的膜处理步骤(于下文揭示细节),并且如上下文所示,术语“基板表面”欲包括此类下方层。因此,例如,膜/层或部分膜/层已被沉积在基板表面上的情况下,新沉积的膜/层的暴露表面成为基板表面。
如本文所用,术语“介电质(dielectric)”指的是可由施加的电场而极化的电绝缘材料。在一或多个实施方式中,介电质材料包括但不限于:氧化物,例如SiO2、Ta2O5、Al2O3;氮化物,例如Si3N4;及钛酸钡锶(barium strontium titanate;BST)。在一或多个实施方式中,介电质材料包含二氧化硅(SiO2)。在一些实施方式中,膜组合物相对于理想的分子式为非化学计量的(non-stoichiometric)。举例而言,在一些实施方式中,介电质材料包括但不限于:氧化物(例如,氧化硅、氧化钽、氧化铝)、氮化物(例如,氮化硅(SiN))、碳化物(如碳化硅(SiC))、氧碳化物(例如氧碳化硅(SiOC))、氧氮碳化物(例如氧碳氮化硅(SiNCO))及钛酸钡锶(BST)。
在一或多个实施方式中,术语“高K介电质”指的是具有高介电常数(相较于,例如二氧化硅)的材料。在一或多个实施方式中,高K介电质材料可选自以下的一或多种材料:HfO2、ZrO2、VO2、TiO2、SnO2、Al2O3或ZnO。在一或多个具体实施方式中,高K介电质材料包含Al2O3或基本上由Al2O3组成。如本文所用,术语“基本上由…组成”意指,以重量计,主体膜(bulk film)的组合物包含总量达总元素组成的95%、98%、99%或99.5%的指定元素。在一些实施方式中,高K介电质材料包含铝原子或基本上由铝原子组成。
如本说明书及所附权利要求书中所用,以可互换的方式使用术语“前驱物”、“反应物”、“反应性气体”和类似术语,以指称可与基板表面反应的任何气态物种。
如本文所使用,“原子层沉积”或“循环沉积”指的是依序暴露两种或更多种反应性化合物,以于基板表面上沉积材料层。可使基板或基板的部分分别暴露于两种或更多种反应性化合物,这些反应性化合物被引入处理腔室的反应区内。在时域ALD工艺(time-domainALD process)中,对各反应性化合物的暴露由时间延迟分开,以允许各化合物粘附于基板表面上和/或在基板表面上反应,并接着将其从处理腔室清除。这些反应性化合物被视为依序向基板暴露。在空间ALD工艺(spatial ALD process)中,可使基板表面的不同部分,或基板表面上的材料,同时暴露于两种或更多种反应性化合物,使得基板上的任何给定的点(given point)实质上不同时暴露于超过一种反应性化合物。如在本说明书及所附权利要求书中所使用,如本领域技术人员将理解,用于此方面的术语“实质上”意指存在以下可能性:基板的小部分可能由于扩散而同时暴露于多种反应性气体,并且同时暴露是非故意的。
在时域ALD工艺的一个方面中,第一反应性气体(即,第一前驱物或化合物A,例如铝前驱物)经脉冲输送至反应区内,继之以第一时间延迟。下一步,第二前驱物或化合物B(例如氧化剂)经脉冲输送至反应区内,继之以第二延迟。在各时间延迟期间,可将净化气体(如氩气)引入处理腔室内,以吹扫反应区或以其他方式自反应区移除任何残留反应性化合物或反应副产物。或者,可在整个沉积工艺期间连续不断地流动净化气体,使得在介于反应性化合物的脉冲之间的时间延迟期间只有净化气体流动。或者,可脉冲输送反应性化合物,直到在基板表面上形成期望的膜或膜厚度。在任一情况中,脉冲输送化合物A、净化气体、化合物B及净化气体的ALD工艺为循环。循环可从化合物A或化合物B开始,并持续循环的各自顺序,直到达成具有预定厚度的膜。
在空间ALD工艺的实施方式中,可将第一反应性气体及第二反应性气体(例如,氮气)同时输送至反应区,但由惰性气体幕(inert gas curtain)和/或真空幕(vacuumcurtain)分隔。可相对于气体输送设备移动基板,使得将基板上的任何给定的点(givenpoint)暴露于第一反应性气体及第二反应性气体。
如本文所用,“化学气相沉积”指的是其中基板表面同步或实质上同步暴露于前驱物的/或共试剂(co-reagent)的工艺。如本文所用,“实质上同步”指的是共同流(co-flow)或其中大多数前驱物的暴露存在重叠。
由于成本效益和膜特性通用性之故,等离子体增强化学气相沉积(PECVD)广泛地用于沉积薄膜。在PECVD工艺中,例如,将已经夹带在载气中烃源、诸如气相烃或液相烃的蒸气导入PECVD腔室中。亦将等离子体引发气体、通常是氦气导入腔室中。接着在腔室内引发等离子体,以产生激发的CH自由基。激发的CH自由基化学键合至位于腔室中的基板的表面,而于该表面上形成期望的膜。可使用任何合适的薄膜沉积系统来执行本文参照PECVD工艺所述的实施方式。本文描述的任何设备描述都是说明性的,且不应被解释或阐释为对本文描述的实施方式的范围的限制。
在一或多个实施方式中,将介电质阻挡材料沉积于介电质材料上。通常,可使用微影术来遮蔽一个表面,但此方法受到对准误差的严格限制。另一种解决方案是使用选择性地吸附至介电质的自组装单层(SAM),以选择性地阻挡后续ALD生长。此工艺是自对准的,但问题在于,其可允许介电质上的某些ALD生长,也允许金属上的某些ALD阻挡。SAM也在表面留下碳污染物。一或多个实施方式的方法提供了在金属层上的高K金属氧化物材料的选择性沉积、例如是氧化铝层的选择性沉积。高K金属氧化物材料的沉积可选择性的对抗在介电质材料(例如SiO2/Si、氮化硅(SiN)、氧化硅(SiOx)、碳氮化硅(SiCN)和类似材料)上的生长。
在一或多个实施方式中,当与自组装单层(SAM)一起使用时,与使用具有较小直径的铝前驱物的沉积相比,使用具有相对较大直径的铝前驱物结合氧化剂的沉积提供了更具选择性的氧化铝膜。在一些实施方式中,应注意,前驱物的形状可能不是球状,因此直径描述的是最大尺寸横向宽度。如本文所用,术语“选择性”意指高K金属氧化物材料以大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、50:1.100:1、200:1、300:1、400:1、500:1、1000:1、1500:1、2000:1、2500:1、3000:1、3500:1、4000:1、4500:1、5000:1或更高的比例沉积于金属层上而不是沉积于介电质材料上。在一或多个实施方式中,氧化铝材料以大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、50:1.100:1、200:1、300:1、400:1、500:1、1000:1、1500:1、2000:1、2500:1、3000:1、3500:1、4000:1、4500:1、5000:1或更高的比例沉积于金属层上而不是沉积于介电质材料上。
使用自组装单层(SAM)作为沉积阻挡层的区域选择性原子层沉积(ALD)是一种对于在纳米级器件和传统器件应用中有用的技术。SAM可根据其尾部分子来修饰表面性质,例如将表面改成疏水性。另一方面,ALD关键取决于表面性质。
使用SAM涂层作为沉积阻挡层可实现选择性沉积。结果,区域选择性原子层沉积能够将所沉积的层图案化而无需增加其他可能的昂贵微影术或蚀刻工艺。
除了氧化铪的选择性沉积之外,因为氧化铝在许多应用中的潜力,诸如但不限于半导体器件应用中的绝缘层、光学过滤器、保护性涂层或高K膜中,氧化铝的选择性沉积受到更多关注。通常通过使用多种类的铝源以化学气相沉积(CVD)或原子层沉积(ALD)生产氧化铝膜。
除了选择性的改进之外,在一或多个实施方式中,使用具有大直径的铝前驱物亦有利于用于高K金属氧化物膜的膜性质,所述膜性质能比得上由具有小直径的铝前驱物提供的膜性质。
图1描绘根据本公开内容的一或多个实施方式的沉积膜的方法10的流程图。请参见图1,方法10包含沉积循环70。方法10始于操作20,将基板安置于处理腔室中。
基板可为本领域技术人员所知的任何基板。在一或多个实施方式中,基板包含一或多种半导体材料,例如,硅(Si)、氧化硅(SiO2)、锗(Ge)、硅锗(SiGe)、砷化镓(GaAs)、磷化铟(InP)、砷化铟镓(InGaAs)、砷化铟铝(InAlAs)、二硫化钼(MoS2)、二硒化钼(MoSe2)、二硫化钨(WS2)、二硒化钨(WSe2)、氮化钛(TiN)、氮化钽(TaN)、钨(W)、铂(Pt)或铱(Ir)。在一些实施方式中,基板可包含间隔物、金属栅极、接点或类似物。因此,在一或多个实施方式中,基板可包含半导体材料,包括但不限于:铜(Cu)、钴(Co)、钨(W)、钛(Ti)、钼(Mo)、镍(Ni)、钌(Ru)、银(Ag)、金(Au)、铱(Ir)、铂(Pt)、磷(P)、锗(Ge)、硅(Si)、铝(Al)、锆(Zr)、碳氮化硅(SiCN)、氧碳化硅(SiOC)、氮化硅(SiN)、碳化钨(WC)、氧化钨(WO)、氧碳氮化硅(SiONC)或本领域技术人员所知的任何半导体基板材料。
于操作30,在处理腔室中将基板暴露于有机金属性前驱物,以沉积含金属膜。在一或多个实施方式中,可伴随着流动气体或载气,将含有期望金属的有机金属性前驱物脉冲输送或共同流至处理腔室中。在其他实施方式中,在没有载气的情况下将含有期望金属的有机金属性前驱物脉冲输送至处理腔室中。如本文所用,术语“载气(carrier gas)”意指可将前驱物分子从一个位置移动至另一个位置的流体(气体或液体)。举例而言,载气可为将分子从安瓿中的固体前驱物移动至气雾化器(aerosolizer)的液体。在一些实施方式中,载气为惰性气体。在一或多个实施方式中,载气为以下的一或多种气体:氩(Ar)、氦(He)、氙(Xe)或氮(N2)。
于操作40,清理处理腔室的有机金属性前驱物。可用不与基板、基板上的膜和/或处理腔室壁反应的任何合适的气体来完成清理。合适的净化气体包括但不限于:H2、N2、He及Ar。净化气体可用于清理处理腔室的有机金属性前驱物和/或氧化剂。在一些实施方式中,可就各清理操作使用相同的净化气体。在其他实施方式中,可就各种清理操作使用不同的净化气体。
于操作50,将基板暴露于氧化剂,以与含金属膜反应而形成金属氧化物膜。在一或多个实施方式中,氧化剂包含以下的一或多种:氧、叔丁醇、3-丁烯-2-醇、2-甲基-3-丁烯-2-醇、2-苯基-2-丙醇或R-OH,其中R包含CF3或C1-20烷基、C1-20芳基、C1-20烯基或C1-20炔基。
一或多个实施方式有力地提供了显著的性能提升,显示出使用大直径铝前驱物沉积的高K金属氧化物膜(例如氧化铝膜)提供了更好的选择性。一或多个实施方式有力地提供了显著性能提升,显示出使用大直径铝前驱物,特别是与自组装单层(SAM)一起,而不是小直径铝前驱物来沉积氧化铝膜可提供更好的选择性。不欲受理论束缚,据认为一或多个实施方式的方法可解决选择性氧化铝沉积的高价值问题,同时还提供具有期望性质的氧化铝膜。
诸如氧化铝(AlxOy)之类的高K介电质膜的ALD生长需要依序(或同步)将基板暴露于有机金属性前驱物和氧化剂,通常为水(H2O)。根据一或多个实施方式,不需要任何单独的钝化化学品或阻挡剂的诸如氧化铝之类的高K介电质层的选择性沉积可用于在金属上沉积材料,同时限制在相邻的介电质材料上沉积材料。
在一或多个实施方式中,描述了将高K金属氧化物介电质材料选择性地形成在第一表面上而不会将高K金属氧化物介电质材料形成在第二表面上的方法。第一表面可为带有原生氧化物的金属,且第二表面可为介电质材料。在一或多个实施方式中,所述方法涉及将两个表面同步暴露于有机金属性前驱物,然后暴露于氧化剂。在一或多个实施方式中,氧化剂包含以下的一或多种:氧、叔丁醇、3-丁烯-2-醇、2-甲基-3-丁烯-2-醇、2-苯基-2-丙醇或R-OH,其中R包含CF3或C1-20烷基、C1-20芳基、C1-20烯基或C1-20炔基。随着顺序的工艺流,有机金属性前驱物在第一表面与氧化剂进行反应,同时与第二表面具有受限的相互作用。
除非另有指明,否则如果在本文中将表面或层称为金属表面或层,则其可以是金属表面(metal surface)或金属性表面(metallic surface)。在一或多个实施方式中,金属或金属性表面可包含金属,例如单质金属、金属氮化物、金属硅化物、金属碳化物和/或前述的混合物。在一或多个实施方式中,金属或金属性表面可包含:金属,例如铝或氧化铝;及氮化物、硅化物或碳化物中的一或多种。在一些实施方式中,金属或金属性层可包含表面氧化,例如原生氧化物的表面层。在一些实施方式中,金属或金属性层的金属或金属性材料在有表面氧化或没有表面氧化的情况下具导电性。
图2A至图4B提供了根据一或多个实施方式的基板(例如,晶片)的横截面图和俯视图。在一或多个实施方式中,可根据本领域技术人员所知的任何技术将基板102图案化。图2A为根据一或多个实施方式的基板102的横截面图100。图2B为根据一或多个实施方式的基板102的俯视图110。请参见图2A至2B,在一或多个实施方式中,提供具有金属层106和介电质层104的基板102,并且将所述基板102安置于处理腔室150中。如此说明书和所附的权利要求书中所用,术语“提供”意指基板可用于处理(例如,定位在处理腔室中)。介电质层104具有厚度T1,并且金属层106具有厚度T2。在一或多个实施方式中,金属层106具有约1nm至约100nm的范围内的厚度T2。在一或多个实施方式中,介电质层104具有约1nm至约100nm的范围内的厚度T1。在一或多个实施方式中,厚度T1实质等同厚度T2。如本文所用,术语“实质等同(substantially the same)”意指厚度T1和厚度T2在彼此的0.5nm内。在其他实施方式中,T1和T2具有不同的厚度。
在一些实施方式中,金属层106及介电质层104可彼此相邻。如本文所用,术语“相邻”意指金属层106相对于介电质层104的布置。金属层106及介电质层104具有共同边界。在一或多个实施方式中,可选的阻挡物/衬里材料105可围绕金属层106,从而将金属层106与介电质层104分开。在一或多个实施方式中,阻挡物/衬里材料105位于金属层106与介电质层104之间,或位于金属层106与基板102之间且位于介电质层104与基板102之间。在一些实施方式中,阻挡物/衬里材料105可包含以下的一或多种:氮化钛(TiN)、氮化钽(TaN)、氮化钨(WN)、钛(Ti)、钨(W)、钽(Ta)或类似材料。
在一或多个实施方式中,可根据本领域技术人员所知的任何技术来图案化金属层106的表面及介电质层104。
在一或多个实施方式中,金属层106包含以下的一或多种材料或基本上由以下的一或多种材料组成:钴(Co)、钨(W)、钌(Ru)、铜(Cu)、镍(Ni)、锰(Mn)、银(Ag)、金(Au)、铂(Pt)、铁(Fe)、钼(Mo)或铑(Rh)。在一或多个实施方式中,金属层106包含钴或铜,或基本上由钴或铜组成。
请参见图2B,在一或多个实施方式中,可有多个特征107(例如,通孔)位于介电质层104上。图示的多个特征107包括衬里108(例如,高k材料)及导体109(例如,金属)。如本领域技术人员将理解的,多个特征107未示于图2A中。横截面图100是沿着图2B中的线A-A’所撷取的。
图3A为根据一或多个实施方式的基板102的横截面图200。图3B为根据一或多个实施方式的基板102的俯视图210。请参见图3A至3B,在一或多个实施方式中,在沉积循环期间,将基板102安置于处理腔室150中,并且将金属层106沉积于基板102上与介电质层104相邻。在一或多个实施方式中,允许金属层106的原生氧化物生长以形成金属氧化物层202。在其他实施方式中,选择性地氧化金属层106以形成金属氧化物层202。在更进一步的实施方式中,可由本领域技术人员所知的任何沉积技术/工艺来直接沉积金属氧化物层202。在一或多个实施方式中,金属氧化物层202具有厚度T3,厚度T3小于约5nm、或小于约4nm、或小于约3nm、或小于约2nm、或小于约1nm。在一些实施方式中,金属氧化物层202的厚度T3在约1nm至约2nm的范围内。
在一或多个实施方式中,金属氧化物层202包含以下的一或多种:氧化钴(CoO)、氧化钨(WO)、氧化钌(RuO)、氧化铜(CuO)、氧化镍(NiO)、氧化锰(MnO)、氧化银(AgO)、氧化金(AuO)、氧化铂(PtO)、氧化铁(FeO)、氧化钼(MbO)、氧化铑(RhO)。金属氧化物层202可包含表面氧化,例如金属层106的原生金属氧化物层。在一些实施方式中,金属氧化物层202相对于理想的分子式为非化学计量的(non-stoichiometric)。举例而言,在一些实施方式中,金属氧化物层202包括但不限于:氧化物,例如,氧化钴、氧化钨、氧化钌、氧化铜、氧化镍、氧化锰、氧化银、氧化金、氧化铂、氧化铁或氧化铑。
在一或多个实施方式中,金属层106及金属氧化物层202可由相同金属组成。举例而言,若金属层106包含钴(Co),则金属氧化物层202包含氧化钴(CoO)。
图4A为根据一或多个实施方式的基板102的横截面图300。图4B为根据一或多个实施方式的基板102的俯视图310。请参见图4A至4B,根据一或多个实施方式的方法,安置于处理腔室150中的基板102被暴露于有机金属性前驱物,以将金属氧化物膜302沉积于金属层106和/或金属氧化物层202上。在一或多个实施方式中,金属氧化物膜302的沉积不会损坏下方金属层106。
相对于介电质层104,金属氧化物膜302的沉积对金属层106和/或金属氧化物层202具选择性。在一些实施方式中,金属氧化物膜302的沉积对金属层106的选择性为相对于在介电质层104上的生长大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、50:1、100:1、2001:1、300:1、400:1、500:1、1000:1、1500:1、2000:1、2500:1、3000:1、3500:1、4000:1、4500:1、5000:1或更高的比例。在一些实施方式中,金属氧化物膜302的沉积对金属氧化物层202的选择性为相对于在介电质层104上的生长大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、50:1、100:1、2001:1、300:1、400:1、500:1、1000:1、1500:1、2000:1、2500:1、3000:1、3500:1、4000:1、4500:1、5000:1或更高的比例。
在一或多个实施方式中,有机金属性前驱物包含大直径铝前驱物。在一或多个实施方式中,有机金属性前驱物包含以下的一或多种:三-叔丁基铝(tri-tertbutylaluminum;TTBA)、双(2-甲基-2-丙基)-(2-甲基-1-丙基)铝)、(2-甲基-2-丙基)双(2-甲基-1-丙基)铝)、三(2-甲基-l-丙基)铝)、三乙基铝(triethyl aluminum;TEA)、三(新戊基)铝或异丙醇铝(aluminum isopropoxide)。在实施方式中,有机金属性前驱物包含以下的一或多种:三-叔丁基铝(TTBA)、双(2-甲基-2-丙基)-(2-甲基-1-丙基)铝)、(2-甲基-2-丙基)双(2-甲基-1-丙基)铝)、三(2-甲基-l-丙基)铝)。在实施方式中,有机金属性前驱物包含铝前驱物。在一或多个实施方式中,铝前驱物包含三-叔丁基铝(TTBA)或其一或多种异构体。
如本文所用,三-叔丁基铝指的是包含三-叔丁基铝(TTBA)的一或多种异构体的组合物。三-叔丁基铝(TTBA)具有化学式C12H27Al(IUPAC名称:三(2-甲基-2-丙基)铝),并且可被描述为Al((tert-Bu)3)。如本领域技术人员所认识的,已发现TTBA在某些条件下自发性地异构化,并且因此在储存及使用期间可能不稳定。举例而言,当在室温下长期(例如,一年)保存时,或当在更高温度(例如,当受热超过60℃)下短期(例如,数天或数周)保存时,TTBA组合物可能进行异构化,使得组合物的性质随时间改变。TTBA随时间降解为TTBA和其三种异构体中的一或多种的混合物。TTBA异构化成三种异构体图示于模式I:
模式I
Figure BDA0002962150520000111
此异构化可导致使用TTBA源沉积的薄膜的质量随时间变异。
如模式I所图示,TTBA具有至少三种异构体,在此称为异构体1、异构体2及异构体3。异构体1(IUPAC名称:双(2-甲基-2-丙基)-(2-甲基-1-丙基)铝)具有化学式Al(tert-Bu)2(iso-Bu),异构体2(IUPAC名称:(2-甲基-2-丙基)双(2-甲基-1-丙基)铝)具有化学式Al(tert-Bu)(iso-Bu)2,而异构体3(IUPAC名称:三(2-甲基-l-丙基)铝)具有化学式Al(iso-Bu)3。不欲受理论束缚,据信TTBA可在室温下或在更高温度下(例如,60℃)异构化为异构体1,并且TTBA异构化为异构体1相对容易发生,而异构化为异构体2及异构体3更为困难。举例而言,异构体1在≤50℃下相对稳定,并且在≤50℃下,异构体1不会显著地进一步异构化为异构体2及异构体3。然而,异构体1可在至少约80℃下异构化为异构体2。
因此,相较于包含较大百分比的TTBA的组合物而言,包含较大百分比的异构体1的组合物在用于储存的一般温度下且在气相沉积反应器中随着时间的稳定性更高。在一或多个实施方式中,有机金属性前驱物组合物包含TTBA及异构体1的混合物。在一些实施方式中,异构体1占TTBA前驱物组合物的至少50%。在一些实施方式中,异构体1占TTBA前驱物组合物的至少70%。在一些实施方式中,异构体1包含TTBA前驱物组合物的至少70%,包括至少75%、至少80%、至少85%、至少90%、至少91%、至少92%、至少93%、至少94%或至少95%。如本文所用,所述前驱物的百分比组成由质量百分比确定。
在一或多个实施方式中,前驱物组合物包含大于95%的TTBA,包括大于96%、大于97%、大于98%或大于99%的TTBA。
在一或多个实施方式中,TTBA前驱物成分不包含异构体2。在一或多个实施方式中,TTBA前驱物成分不包含异构体3。在其他实施方式中,TTBA前驱物成分可包含至多约5%的异构体3。
在一或多个实施方式中,包含异构体1的有机金属性前驱物组合物不包含TTBA。在一些此类实施方式中,在所提供的异构体1前驱物组合物中,异构体1占前驱物组合物的至少50%,包括前驱物组合物的至少55%、至少60%、至少65%、至少70%、至少75%、至少80%、至少85%、至少90%、至少91%、至少92%、至少93%、至少94%或至少95%。
在一或多个实施方式中,异构体1前驱物组合物不包含异构体2。在一或多个实施方式中,异构体1前驱物组合物不包含异构体3。在一或多个实施方式中,异构体l前驱物组合物可包含至多约5%的异构体3。
在一或多个实施方式中,除了TTBA和异构体1之外,TTBA前驱物组合物可包含异构体2和/或异构体3。因此,在一些实施方式中,TTBA前驱物组合物包含TTBA、异构体1,并且可额外包含异构体2。举例而言,如本文所公开的,有机金属性前驱物组合物可包含TTBA及至少20%的异构体1和异构体2的组合。在一些实施方式中,TTBA前驱物组合物包含TTBA、异构体1,并且可额外包含异构体2和异构体3。在一些实施方式中,组合物中的异构体2和异构体3的总量为小于约30%、小于约20%、小于约10%、小于约5%或小于约1%。
在一或多个实施方式中,所提供的有机金属性前驱物组合物包含至少50%的异构体2、至少70%的异构体2、至少80%的异构体2、至少90%的异构体2、至少95%的异构体2或至少99%的异构体2。
在一或多个实施方式中,TTBA前驱物组合物包含TTBA与异构体2的混合物。在一些实施方式中,异构体2占TTBA前驱物组合物的至少50%,包括TTBA前驱物组合物的至少55%、至少60%、至少65%、至少70%、至少75%、至少80%、至少85%、至少90%、至少91%、至少92%、至少93%、至少94%或至少95%。
在一或多个实施方式中,包含异构体2的前驱物组合物不包含TTBA。在一些此类实施方式中,在所提供的异构体2前驱物组合物中的异构体2占前驱物组合物的至少50%,包括前驱物组合物的至少55%、至少60%、至少65%、至少70%、至少75%、至少80%、至少85%、至少90%、至少91%、至少92%、至少93%、至少94%或至少95%。在一或多个实施方式中,异构体2前驱物组合物不包含异构体1。在其他实施方式中,异构体2前驱物组合物不包含异构体3。在更进一步的实施方式中,异构体2前驱物组合物可包含至多约5%的异构体3。
在一或多个实施方式中,除了TTBA和异构体2以外,TTBA前驱物组合物可包含异构体1和/或异构体3。因此,在一些实施方式中,TTBA前驱物组合物包含TTBA、异构体1,并且可额外包含异构体2。在一些实施方式中,TTBA前驱物组合物包含TTBA、异构体2,并且可额外包含异构体1及异构体3。在一些实施方式中,异构体l及异构体3在组合物中的总量为小于约30%、小于约20%、小于约10%、小于约5%或小于约1%。
在一或多个实施方式中,前驱物组合物可包含至少20%的异构体1和异构体2的组合。在一或多个实施方式中,前驱物组合物可包含TTBA和至少20%的异构体1和异构体2的组合。在一或多个实施方式中,前驱物组合物可包含至少50%的异构体1和异构体2的组合。在一或多个实施方式中,TTBA前驱物可包含TTBA及至少50%的异构体1和异构体2的组合。在一或多个实施方式中,前驱物组合物可包含至少80%的异构体1和异构体2的组合。在一或多个实施方式中,TTBA前驱物可包含TTBA及至少80%的异构体1和异构体2的组合。在一或多个实施方式中,TTBA前驱物组合物包含异构体1及异构体2的组合,并且不包含异构体3。
在一或多个实施方式中,有机金属性前驱物组合物包含异构体1及异构体2的组合以及痕量百分比的异构体3。举例而言,前驱物组合物可包含异构体1及异构体2的组合以及至多5%的异构体3。在一或多个实施方式中,TTBA前驱物组合物包含异构体1及异构体2的组合以及痕量百分比的TTBA。举例而言,TTBA前驱物组合物可包含异构体1及异构体2的组合以及至多5%的TTBA。在一或多个实施方式中,有机金属性前驱物组合物可包含如前所述的异构体1及异构体2的组合,加上至多5%的TTBA及至多5%的异构体3。
如本文所用,术语“异构体1前驱物组合物”用于指称包含至少50%的异构体1的有机金属性前驱物组合物。如上文所论述,在一些实施方式中,异构体1前驱物组合物可含有超过50%的异构体1,例如至少55、60、65、70、75、80、85、90、91、92、93、94、95、98、99或99.5%的异构体1。
在一或多个实施方式中,异构体1前驱物组合物可包含一或多种额外组分,例如TTBA、异构体2、异构体3及/或污染物。在一些实施方式中,污染物或次要组分的总量少于前驱物组合物的约1%。在一或多个实施方式中,异构体1前驱物组合物含有至少痕量的TTBA,最多达5%。在一或多个实施方式中,异构体1前驱物组合物含有至少痕量的异构体3,最多达5%。
如本文所用,术语“异构体2前驱物组合物”用于指称包含至少50%的异构体2的前驱物组合物。如上文所论述,在一些实施方式中,异构体1前驱物组合物可含有超过50%的异构体2,例如至少55、60、65、70、75、80、85、90、91、92、93、94、95、98、99或99.5%的异构体2。
在一或多个实施方式中,异构体2前驱物组合物可包含一或多种额外组分,例如TTBA、异构体1、异构体3和/或污染物。在一或多个实施方式中,污染物或次要组分的总量少于有机金属性前驱物组合物的约1%。在一或多个实施方式中,异构体2前驱物组合物含有至少痕量的TTBA,最多达5%。在一或多个实施方式中,异构体2前驱物组合物含有至少痕量的异构体3,最多达5%。
如本文所用,术语“异构体1及异构体2前驱物组合物”用来指称包含至少20%的异构体1和异构体2的组合的前驱物组合物。在一或多个实施方式中,异构体1及异构体2前驱物组合物可含有超过约20%的异构体1和异构体2的组合、超过约30%的异构体1和异构体2的组合、超过约40%的异构体1和异构体2的组合,或甚至超过约50%的异构体1和异构体2的组合,例如至少55、60、65、70、75、80、85、90、91、92、93、94、95、98、99或99.5%的异构体1和异构体2的组合。
在一或多个实施方式中,异构体1及异构体2前驱物组合物可包含一或多种额外组分,例如TTBA、异构体3和/或污染物。在一或多个实施方式中,污染物或次要组分的总量少于前驱物组合物的约1%。在一或多个实施方式中,异构体1及异构体2前驱物组合物含有至少痕量的TTBA,最多达5%。在一或多个实施方式中,异构体1及异构体2前驱物组合物含有至少痕量的异构体3,最多达5%。
在一或多个实施方式中,可通过加热基本上由TTBA组成的组合物,直到期望量的异构体1已散布在组合物中,来制备异构体1前驱物组合物。在一或多个实施方式中,可通过加热基本上由TTBA组成的组合物,直到在组合物中已形成期望量的异构体2,来制备异构体2前驱物组合物。在一或多个实施方式中,可通过加热基本上由TTBA组成的组合物,直到在组合物中已形成期望量的异构体1及异构体2,来制备异构体1及异构体2前驱物组合物。
如本领域技术人员可认知的,可能有获得TTBA的异构体的其他方法,并且所述方法包括在本公开内容的范围内。
在一或多个实施方式中,金属氧化物膜302包含以下的一或多种:HfO2、ZrO2、VO、TiO2、SnO2、Al2O3或ZnO。在具体实施方式中,金属氧化物膜302包含Al2O3。在一或多个实施方式中,金属氧化物膜302包含氧原子及铝原子。在具体实施方式中,金属氧化物膜302包含氧化铝。尽管术语“氧化铝”可用来描述金属氧化物膜302,技术人员将认知到,本公开内容不限于特定化学计量。举例而言,术语“氧化铝(aluminum oxide)”及“氧化铝(alumina)”二者皆可用于描述具有任何合适的化学计量比例的铝及氧原子的材料。对本公开内容列举的其他材料亦是如此,所述其他材料,例如是氮化硅、氧氮化硅、氧化钨、氧化锆和类似材料。
不欲受理论束缚,金属层106可包含任何金属,其中金属氧化物层202的形成能量比金属氧化物膜302的形成能量负更少(less negative),使得金属氧化物膜302在热动力学上更稳定。
在一或多个实施方式中,将基板102暴露于有机金属性前驱物以沉积金属氧化物膜302的步骤涉及了原子层沉积(ALD),其利用顺序的、自限性表面反应来形成金属氧化物膜302。在一或多个实施方式中,将有机金属性前驱物导入处理腔室中,有机金属性前驱物在处理腔室中部分地与基板(例如晶片)的表面反应。接着,导入氧化剂来将经部分地反应的前驱物氧化成金属氧化物膜。在一或多个实施方式中,将基板102暴露于有机金属性前驱物以沉积金属氧化物膜302的步骤涉及了将有机金属性前驱物导入处理腔室的步骤,有机金属性前驱物在处理腔室中与金属氧化物层202的表面反应以形成金属氧化物膜302。
在一或多个实施方式中,大直径铝前驱物与氧化剂(如水)的反应有利地导致金属氧化物膜302在金属层106和/或金属氧化物层202上的形成相对于在介电质层104上的形成的选择性。在一或多个实施方式中,介电质层104实质上无可形成金属氧化物膜302的金属氧化物。在具体实施方式中,介电质层104实质上无氧化铝,所述氧化铝在金属层106和/或金属氧化物层202上形成氧化铝单层。如本文所用,术语“实质上无”意味着可形成金属氧化物膜302的金属氧化物存在于介电质层104上的量少于5%,包括少于4%、少于3%、少于2%、少于1%及少于0.5%。在一或多个具体实施方式中,金属氧化物膜302为氧化铝单层,并且介电质层104实质上无氧化铝。不欲受理论束缚,据信在有机金属性前驱物与氧化剂之间存在协同关系,导致所观察到的选择性。
可选择反应条件,以相对于介电质层104将期望水平的金属氧化物膜302选择性沉积在金属层106和/或金属氧化物层202上,所述反应条件包括温度、压力、处理时间及(数种)基板表面。
在一或多个实施方式中,在约100℃至约500℃的范围中的温度下将基板102暴露于有机金属性前驱物,所述温度包括在约100℃至约400℃、约100℃至约375℃及约100℃至约325℃、约200℃至约375℃、约200℃至约250℃及约250℃至约400℃的范围中。在一或多个实施方式中,有机金属性前驱物为稳定前驱物,故基板可处在较高温度下,而有机会加速氧化物扩散/生长。在其他实施方式中,例如当氧化剂包含水时,较高的温度可能对金属层106造成非期望的损坏,并且因此需要较低的温度。在一或多个实施方式中,较高的处理温度导致较高的选择性。不欲受理论束缚,据信由于表面上物理吸附的分子(physisorbedmolecule)的量之故,增加处理温度将降低吸附速率。
在一或多个实施方式中,在约0.5托耳(Torr)至约30托耳的范围中的压力下将基板102暴露于有机金属性前驱物,所述压力包括约0.5托耳至约20托耳、约0.5托耳至约10托耳,包括约0.5托耳、约1托耳、约1.5托耳、约2.0托耳、约2.5托耳、约3.0托耳、约3.5托耳、约4.0托耳、约4.5托耳、约5.0托耳、约5.5托耳、约6.0托耳、约6.5托耳、约7.0托耳、约7.5托耳、约8.0托耳、约8.5托耳、约9.0托耳、约9.5托耳、约10托耳、约12托耳、约14托耳、约15托耳、约20托耳、约22托耳、约25托耳、约27托耳及约30托耳。不欲受限于理论,据信降低工艺压力将有助于阻挡(blocking)。
在一或多个实施方式中,将基板102暴露于有机金属性前驱物达约0.1秒至约10秒的范围内的时间段,包括约0.1秒、约0.5秒、约1.0秒、约1.5秒、约2.0秒、约2.5秒、约3.0秒、约3.5秒、约4.0秒、约4.5秒、约5.0秒、约5.5秒、约6.0秒、约6.5秒、约7.0秒、约7.5秒、约8.0秒、约8.5秒、约9.0秒、约9.5秒及约10.0秒。
在一或多个实施方式中,将基板102暴露于氧化剂达约0.1秒至约30秒的范围内的时间段,包括约0.1秒、约0.5秒、约1.0秒、约2.0秒、约3.0秒、约4.0秒、约5.0秒、约6.0秒、约7.0秒、约8.0秒、约9.0秒、约10.0秒、约11.0秒、约12.0秒、约13.0秒、约14.0秒、约15.0秒、约16.0秒、约17.0秒、约18.0秒、约19.0秒、约20.0秒、约21.0秒、约22.0秒、约23.0秒、约24.0秒、约25.0秒、约26.0秒、约27.0秒、约28.0秒、约29.0秒及约30.0秒。
在一或多个实施方式中,沉积工艺为原子层沉积(ALD)类型工艺。在一些实施方式中,沉积工艺为热ALD工艺。在一或多个实施方式中,可清理处理腔室150的有机金属性前驱物和/或氧化剂。在一或多个实施方式中,清理时间可在约0.1秒至约20秒的范围内,包括约0.1秒、约0.5秒、约1.0秒、约1.5秒、约2.0秒、约2.5秒、约3.0秒、约3.5秒、约4.0秒、约4.5秒、约5.0秒、约5.5秒、约6.0秒、约6.5秒、约7.0秒、约7.5秒、约8.0秒、约8.5秒、约9.0秒、约9.5秒、约10.0秒、约11.0秒、约12.0秒、约13.0秒、约14.0秒、约15.0秒、约16.0秒、约17.0秒、约18.0秒、约19.0秒及约20.0秒。
在一些实施方式中,沉积工艺为气相沉积工艺,其中基板与有机金属性前驱物的气相接触。在一或多个实施方式中,工艺为化学气相沉积(CVD)工艺,并且清理时间为零。
一或多个实施方式涉及了沉积膜的方法。在一或多个实施方式中,所述方法包含以下步骤:提供基板102,所述基板102具有金属层106及介电质层104。在处理腔室150中将基板102暴露于有机金属性前驱物,以于金属层106上沉积金属单层(未示出)。清理处理腔室150的有机金属性前驱物。将基板102暴露于基本上由分子氧组成的反应物气体,以与金属单层反应而在金属层106上形成金属氧化物膜302。接着清理处理腔室150的反应物气体(即,分子氧)。
氧化剂可包含以下的一或多种:氧、叔丁醇、3-丁烯-2-醇、2-甲基-3-丁烯-2-醇、2-苯基-2-丙醇或R-OH,其中R包含CF3,或C1-20烷基、C1-20芳基、C1-20烯基或C1-20炔基。
如本文所用,“烷基(alkyl)”或“alk”包括在正链中含有1至20个碳的直链和支链烃,诸如甲基、乙基、丙基、异丙基、丁基、叔丁基、异丁基、戊基、己基、异己基、庚基、4,4-二甲基戊基、辛基、2,2,4-三甲基-戊基、壬基、癸基、十一基、十二基、前述的各种支链异构体和类似物。此类基团可视情况包括达1至4个取代基,诸如卤素或CF3、烷基、烷氧基、芳基、芳氧基、芳基(芳基)或二芳基、芳基烷基、芳基烷氧基、烯基、环烷基、环烷基烷基、环烷基烷氧基、胺基、羟基、羟烷基、酰基、杂芳基、杂芳氧基、杂芳基烷基、杂芳基烷氧基、芳氧基烷基、烷硫基、芳基烷硫基、芳氧基芳基、烷基酰胺基(alkylamido)、烷酰胺基(alkanoylamino)、芳基羰基胺基、硝基、氰基、硫醇、卤烷基、三卤烷基和/或烷硫基和类似物,所述卤素,例如是F、Br、Cl或I。在一或多个实施方式中,R独立地选自C1-20烷基。在其他实施方式中,R选自C1-12烷基。在一或多个实施方式中,R-OH包含以下的一或多种:异丙醇、异丁醇或叔丁醇。
如本文所用,术语“烯烃”或“烯基”或“低级烯基”指的是在正链中有2至20个碳或2至12碳及1至8个碳的直链或支链基团,其在正链中包括一至六个双键,例如乙烯基、2-丙烯基、3-丁烯基、2-丁烯基、4-戊烯基、3-戊烯基、2-己烯基、3-己烯基、2-庚烯基、3-庚烯基、4-庚烯基、3-辛烯基、3-壬烯基、4-癸烯基、3-十一烯基、4-十二烯基、4,8,12-十四碳三烯基(4,8,12-tetradecatrienyl)和类似物,并且可被1至4个取代基视情况取代,所述取代基即卤素、卤烷基、烷基、烷氧基、烯基、炔基、芳基、芳基烷基、环烷基、胺基、羟基、杂芳基、环杂烷基、烷酰胺基、烷基酰胺基、芳基羰基-胺基、硝基、氰基、硫醇、烷硫基和/或本文所列示的任何烷基取代基。
如本文所用,术语“炔基”或“低级炔基”指的是在正链中有2至20个碳或2至12碳或2至8个碳的直链或支链基团,其在正链中包括一个三键,诸如2-丙炔基、3-丁炔基、2-丁炔基、4-戊炔基、3-戊炔基、2-己炔基、3-己炔基、2-庚炔基、3-庚炔基、4-庚炔基、3-辛炔基、3-壬炔基、4-癸炔基、3-十一炔基、4-十二炔基和类似物,并且可被1至4个取代基视情况取代,所述取代基即卤素、卤烷基、烷基、烷氧基、烯基、炔基、芳基、芳基烷基、环烷基、胺基、杂芳基、环杂烷基、羟基、烷酰胺基、烷基酰胺基、芳基羰基胺基、硝基、氰基、硫醇和/或烷硫基和/或本文所列示的任何烷基取代基。
如本文单独或作为另一基团的一部分使用的术语“卤素”或“halo”指的是氯、溴、氟及碘,还有CF3
如本文所用,术语“芳基”指的是在环部分中含有6至10个碳的单环和双环芳香族基团(诸如苯基、联苯基或萘基,包括1-萘基和2-萘基),并且可视情况地包括1至3个额外的环稠合至碳环或杂环(诸如芳基、环烷基、杂芳基或环杂烷基环)。可经由可用的碳原子以1、2或3个取代基视情况取代芳基团,所述取代基例如,氢、卤、卤烷基、烷基、卤烷基、烷氧基、卤烷氧基、烯基、三氟甲基、三氟甲氧基、炔基和类似物。
在一或多个实施方式中,介电质层包含以下的一或多种:氧化物、掺碳的氧化物、多孔二氧化硅(SiO2)、氧化硅(SiO)、氮化硅(SiN)、碳化物、氧碳化物、氮化物、氧氮化物、氧碳氮化物、聚合物、磷硅酸盐玻璃、氟硅酸盐(SiOF)玻璃或有机硅酸盐玻璃(SiOCH)。
在一或多个实施方式中,金属层106包含以下的一或多种:钴(Co)、钨(W)、钌(Ru)、铜(Cu)、镍(Ni)、锰(Mn)、银(Ag)、金(Au)、铂(Pt)、铁(Fe)、钼(Mo)或铑(Rh)。
在一或多个实施方式中,清理处理腔室的步骤包含将净化气体流过基板的步骤。净化气体可选自以下的一或多种气体:氩(Ar)、氮(N2)、氦(He)、氢(H2)或含氢(H2)气体。
可将一或多个实施方式的方法重复不只一次,提供具有约0.5至约10nm的厚度的金属氧化物膜(例如氧化铝膜),所述厚度包括约0.5nm、约0.6nm、约0.7nm、约0.8nm、约0.9nm、约1.0nm、约1.5nm、约2.0nm、约2.5nm、约3.0nm、约3.5nm、约4.0nm、约4.5nm、约5.0nm、约5.5nm、约6.0nm、约6.5nm、约7.0nm、约7.5nm、约8.0nm、约8.5nm、约9.0nm、约9.5nm或约10.0nm。当一或多个实施方式的方法被重复一或多次时,可形成金属氧化物膜(例如氧化铝膜),所述金属氧化物膜具有少于或等于约150个总单层。
可将一或多个实施方式的方法重复不只一次,提供具有约2nm至约10nm的厚度的金属氧化物膜(例如氧化铝膜),所述厚度包括约2nm、约2.5nm、约3.0nm、约3.5nm、约4.0nm、约4.5nm、约5.0nm、约5.5nm、约6.0nm、约6.5nm、约7.0nm、约7.5nm、约8.0nm、约8.5nm、约9.0nm、约9.5nm或约10.0nm。当一或多个实施方式的方法被重复一或多次时,可形成金属氧化物膜(例如氧化铝膜),所述金属氧化物膜具有少于或等于约150个总单层。
一或多个实施方式提供了电子器件。电子器件包含了相对于介电质层选择性地沉积在金属层上的第一金属氧化物膜,介电质层实质上无第一金属氧化物。在一或多个实施方式中,第一金属包含铝。因此,在一或多个实施方式中,第一金属氧化物包含氧化铝。在一或多个实施方式中,第一金属包含铝,并且第一金属氧化物包含氧化铝。
金属层可包含以下的一或多种:钴(Co)、钨(W)、钌(Ru)、铜(Cu)、镍(Ni)、锰(Mn)、银(Ag)、金(Au)、铂(Pt)、铁(Fe)、钼(Mo)或铑(Rh)。介电质层可包含以下的一或多种:氧化物、掺碳的氧化物、多孔二氧化硅(SiO2)、氧化硅(SiO)、氮化硅(SiN)、碳化物、氧碳化物、氮化物、氧氮化物、氧碳氮化物、聚合物、磷硅酸盐玻璃、氟硅酸盐(SiOF)玻璃或有机硅酸盐玻璃(SiOCH)。在一或多个实施方式中,电子器件可进一步包含位于金属层与第一金属氧化物单层之间的第二金属氧化物层。第二金属氧化物层可为金属层的原生氧化物,或第二金属氧化物层可通过选择性地氧化金属层而形成,或第二金属氧化物层可被选择性地沉积在金属层上。在一或多个实施方式中,第二金属氧化物层通过选择性地氧化金属层而形成。
一或多个具体实施方式提供了沉积氧化铝的薄膜的方法。所述方法包含以下步骤:在工艺循环中选择性地形成氧化铝膜,所述工艺循环包含将具有与介电质层相邻的金属层的基板依序暴露于铝前驱物(例如三-叔丁基铝(TTBA)和/或其异构体)、净化气体、氧化剂及净化气体。可重复工艺循环以于金属层上形成氧化铝膜,氧化铝膜具有约2nm至约10nm的厚度,并且介电质层实质上无氧化铝。
在一或多个实施方式中,当于基板上沉积大于约3nm的厚度的金属氧化物膜时,自组装单层(SAM)可能无法阻挡金属氧化物膜的ALD沉积。在一或多个实施方式中,较薄的金属氧化物膜导致改善的阻挡性。
根据一或多个实施方式,基板在形成接点之前和/或之后受到处理。此处理可在相同腔室中进行,或在一或多个单独的处理腔室中进行。在一些实施方式中,将基板从第一腔室移动至独立的第二腔室,以做进一步处理。可将基板从第一腔室直接移动到独立的处理腔室,或可将基板从第一腔室移动至一或多个传送腔室,并且接着移动至独立的处理腔室。因此,处理设备可包含与传送站连通的多个腔室。此类设备可称为“群集工具(clustertool)”或“群集式系统”和类似名称。
一般而言,群集工具为包含多个腔室的模块系统,所述腔室可执行各种功能,包括基板中央寻找与定向、脱气、退火、沉积和/或蚀刻。根据一或多个实施方式,群集工具包括至少一第一腔室与中央传送腔室。中央传送腔室可容置机器人,机器人可在处理腔室与负载锁定腔室之间传送基板。通常将传送腔室维持在真空条件下,并且提供中间阶段(intermediate stage)用于将基板从一个腔室传送至另一个腔式及/或传送至位在群集工具前端的负载锁定腔室。可适用于本公开内容的三种已熟知的群集工具为
Figure BDA0002962150520000211
Figure BDA0002962150520000212
三者均可获自美国加州圣塔克拉拉市的应用材料公司。然而,为了进行本文所描述的工艺的特定部分,可改变腔室的实际设置与组合。可使用的其他处理腔室包括,但不限于:循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、诸如RTP的热处理、等离子体氮化、脱气、定向、羟基化以及其他基板工艺。通过在群集工具上的腔室中施行工艺,可避免大气杂质对基板产生的表面污染,而不需在沉积后续膜之前进行氧化。
根据一或多个实施方式,基板持续处于真空或“负载锁定(load lock)”条件下,并且在从一个腔室移动至下一个腔室时不会暴露至环境空气。传送腔室因此处于真空下,并且在真空压力下被“抽气(pumped down)”。惰性气体可存在于处理腔室或传送腔室中。在一些实施方式中,使用惰性气体作为净化气体,以在基板的表面上形成层之后移除部分或全部反应物。根据一或多个实施方式,在沉积腔室的出口处注入净化气体,以防止反应物从沉积腔室移动至传送腔室和/或额外的处理腔室。因此,惰性气体流在腔室出口处形成帘幕。
在处理期间,可加热或冷却基板。可由任何适合的手段完成此种加热或冷却,所述手段包括,但不限于,改变基板支撑件(例如,基座)的温度,并且使加热气体或冷却气体流至基板表面。在一些实施方式中,基板支撑件包括加热器/冷却器,可控制加热器/冷却器以传导性地改变基板温度。在一或多个实施方式中,可加热或冷却所应用的气体(无论是反应性气体或惰性气体),以局部改变基板温度。在一些实施方式中,可将加热器/冷却器安置在腔室内与基板表面相邻,以对流性地改变基板温度。
在处理期间,也可固定或旋转基板。旋转基板可被持续旋转或分段旋转。举例而言,可在整体工艺期间旋转基板,或可在暴露至不同的反应性气体或净化气体之间少量旋转基板。在处理期间旋转基板(无论连续或分段)可通过以下的方式而有助于产生更均匀的沉积或蚀刻:例如,使气体流几何形貌中的局部变异性的效应最小化。
图5绘示用以进行一或多个实施方式的至少一些方法的等离子体系统800的方块图。图示的等离子体系统800具有处理腔室801。可移动式基座802用以固持已被安置在处理腔室801中的基板803。基座802可包含静电吸盘(“ESC”)、埋设于ESC中的DC电极及冷却/加热基底。在实施方式中,基座802作为移动的阴极。在实施方式中,ESC包含Al2O3材料、Y2O3或电子器件制造领域的普通技术人员已知的其他陶瓷材料。DC电源804可连接至基座802的DC电极。在一些实施方式中,基座802包括加热器(未示出),加热器能将基板的温度升高至第一温度。尽管将静电吸盘图示为基座802,但本领域技术人员将可理解,这仅为示例,并且其他基座类型也在本公开内容的范围内。
在一或多个实施方式中,为了保护自组装单层(SAM)沉积,通常利用热沉积工艺来沉积金属氧化物膜。在这种情况下,等离子体及加热器是不必要的。尽管在第5图中图示等离子体和加热器,但本领域技术人员将可理解,这仅为示例,并且对于一或多个实施方式的沉积方法而言可能不必要。
如图5所示出,可经由开口808装载基板803并且将基板803置于基座802上。等离子体系统800包含入口以经由质流控制器811将一或多种处理气体812输入至等离子体源813。包含喷洒头814的等离子体源813耦接至处理腔室801,以接收一或多种处理气体812用以产生等离子体。等离子体源813耦接至RF源功率810。等离子体源813使用高频电场经由喷洒头814在处理腔室801中从一或多肿处理气体812产生等离子体815。等离子体815包含等离子体粒子,诸如离子、电子、自由基或前述的任何组合。在实施方式中,功率源810在从约400kHz至约162MHz的频率下供应从约50W至约3000W的功率,以产生等离子体815。
等离子体偏压功率805经由RF匹配器807耦接至基座802(如,阴极)以激发等离子体。在实施方式中,等离子体偏压功率805在介于约2MHz至60MHz的频率下提供不大于1000W的偏压功率,并且在具体实施方式中,于约13MHz的频率下提供所述偏压功率。也可提供等离子体偏压功率806,例如,以在从约400kHz至约60MHz的频率下提供不大于1000W的另一偏压功率,并且在具体实施方式中,于约60MHz的频率下提供所述另一偏压功率。等离子体偏压功率806及等离子体偏压功率805连接至RF匹配器807,以提供双频偏压功率。在实施方式中,施加至基座802的总偏压功率为自约10W至约3000W。
如图5所绘示,压力控制系统809提供压力至处理腔室801。腔室801具有一或多个排放出口816,以排空在腔室中的处理期间产生的挥发性产物。在实施方式中,等离子体系统800为电感耦合式等离子体(ICP)系统。在实施方式中,等离子体系统800为电容耦合式等离子体(CCP)系统。
在一些实施方式中,控制系统817耦接至处理腔室801。控制系统817包含处理器818、耦接至处理器818的温度控制器819、耦接至处理器818的内存820及耦接至处理器818的输入/输出装置821。内存820可包括瞬时内存(如,随机存取内存)及非瞬时内存(如,储存器)中的一或多种。
在一个实施方式中,处理器818具有控制以下的一或多配置:于处理腔室中将基板暴露于铝前驱物;吹扫处理腔室中的基板;于处理腔室中将基板暴露于氧化剂,或于基板上形成包含少于或等于约150个氧化铝单层的薄膜。
控制系统817可经配置以进行本文所描述的至少一些方法,并且可为软件或硬件或二者的组合。等离子体系统800可为本技术领域中已知的任何类型的高性能处理等离子体系统,例如但不限于:蚀刻器、清洁器、熔炉或制造电子器件的任何其他等离子体系统。
如图6所示,本公开内容的一些实施方式涉及群集工具900。群集工具900包括具有多个侧边的至少一个中央传送站。机器人位于中央传送站内并且经配置以将机器人叶片移动至多个侧边的各侧边。
图6示出说明性的多腔室半导体处理工具的示意图,所述多腔室半导体处理工具也称为群集工具或多群集工具。群集工具900包含多个处理腔室902、904、906、908、910、912、914、916及918。各种处理腔室可为任何合适的腔室,包括但不限于:预清洁腔室、缓冲腔室、(多个)传送空间、晶片定向器/脱气腔室、低温冷却腔室及传送腔室。可取决于群集工具来改变处理腔室及部件的具体布置,并且不应受限于本公开内容的范围。
在图6所示的实施方式中,工厂接口950连接至群集工具900的前侧。工厂接口950包括在工厂接口950的前侧951上的装载腔室954和卸载腔室956。尽管将装载腔室954示出在左侧并且将卸除腔室956示出在右侧,但本领域技术人员将理解,这仅代表一种可能的构造。
可取决于例如是待于群集工具900中处理的基板,而改变装载腔室954及卸载腔室956的尺寸和形状。在所示的实施方式中,装载腔室954及卸载腔室956的尺寸经设置而固持晶片匣,其中多个晶片位于匣内。
机器人952位于工厂接口950内,并且可于装载腔室954与卸载腔室956之间移动。机器人952能将晶片从装载腔室954中的匣经由工厂接口950传送至负载锁定腔室960。机器人952也能将晶片从负载锁定腔室962经由工厂接口950传送至卸载腔室956中的匣。如本领域技术人员将理解的,工厂接口950可具有超过一个机器人952。举例而言,工厂接口950可具有在装载腔室954与负载锁定腔室960之间传送晶片的第一机器人,及在负载锁定腔室962与卸载腔室956之间传送晶片的第二机器人。
示出的群集工具900具有第一区块920及第二区块930。第一区块920经由负载锁定腔室960、962连接至工厂接口950。第一区块920包括第一传送腔室921,至少一个机器人925位于第一传送腔室921中。机器人925也被称为机器人式晶片输送机构。第一传送腔室921相对于负载锁定腔室960、962、处理腔室902、904、916、918及缓冲腔室922、924置中定位。一些实施方式的机器人925为能够一次独自移动超过一个晶片的多臂机器人。在一些实施方式中,第一传送腔室921包含超过一个机器人式晶片传送机构。第一传送腔室921中的机器人925经配置以在第一传送腔室921周围的腔室之间移动晶片。各晶片被乘载于晶片输送叶片上,所述晶片输送叶片位于第一机器人式机构的远端。
在第一区块920中处理晶片之后,可经由传递通过腔室将晶片送至第二区块930。举例而言,腔室922、924可为单向或双向传递通过腔室。传递通过腔室922、924可用于,例如,在第二区块930中处理之前低温冷却晶片,或容许晶片在移回第一区块920之前冷却或后处理。
系统控制器990与第一机器人925、第二机器人935、第一多个处理腔室902、904、916、918及第二多个处理腔室906、908、910、912、914通信。系统控制器990可为可控制处理腔室和机器人的任何合适的部件。举例而言,系统控制器990可为包括中央处理单元、内存、合适的电路系统及储存器的计算机。
通常可将工艺储存于系统控制器990的内存中做为软件例程,当由处理器执行该软件例程时会引起处理腔室执行本公开内容的工艺。软件例程也可由第二处理器(未示出)储存和/或执行,第二处理器位在由处理器控制的硬件的远程。也可在硬件中进行本公开内容的一些或所有方法。这样的话,工艺可实践为软件并使用计算机系统执行;工艺可在硬件中实践为,例如特定用途集成电路或其他类型的硬件实践;或实践为软件与硬件的组合。当由处理器执行时,软件例程将通用计算机转换成特定用途计算机(控制器),所述特定用途计算机(控制器)控制腔室操作使得工艺可被进行。
现在参考以下实例来描述本公开内容。在描述本公开内容的若干示范实施方式之前,应理解到,本公开内容不限于以下描述中阐述的构造或工艺步骤的细节。本公开内容能够有其他实施方式并且能以各种方式实施或执行。
实例
实例1
使用原子层沉积(ALD)技术沉积氧化铝膜。将基板暴露于三-叔丁基铝(TTBA)和/或其异构体和水的交替脉冲,其中在各交替脉冲之间进行清理。在与自组装单层(SAM)反应的条件下测定选择性。
实例2:对照
使用原子层沉积(ALD)技术沉积氧化铝膜。将基板暴露于三甲基铝(TMA)和水的交替脉冲,其中在各交替脉冲之间进行清理。在与自组装单层(SAM)反应的条件下测定选择性。
结果:结果显示,前驱物的直径大小在与SAM分子反应时的经历不同。氧化铝膜具有相似的特性,但不同的前驱物产生不同的选择性。相较于由三-叔丁基铝(和/或其异构体)及水形成的氧化铝膜而言,由TMA及水工艺形成的氧化铝膜(实例2)的阻挡性更差。因为TMA前驱物分子的直径小于三-叔丁基铝分子的直径,TMA更容易物理性吸附于SAM上。与由TMA及水产生的氧化铝膜(实例2,44.5%)相比,由三-叔丁基铝及水产生的氧化铝膜(实例1)导致更高的/增进的阻挡百分比(99.7%)。
实例3
提供经图案化的晶片,经图案化的晶片上具有介电质膜及金属膜。首先将经图案化的晶片暴露于自组装单层(SAM),以于介电质膜上形成阻挡层。随后,将经图案化的晶片依序暴露于三-叔丁基铝和/或其异构体及水,以于金属膜上选择性地形成氧化铝膜。沉积在金属膜上的氧化铝的SEM和TEM影像显示,氧化铝选择性地沉积在金属膜上而不是在介电质膜上。
实例4:对照
提供经图案化的晶片,经图案化的晶片上具有介电质膜及金属膜。首先将经图案化的晶片暴露于自组装单层(SAM),以于介电质膜上形成阻挡层。随后,将经图案化的晶片依序暴露于TMA及水,以于金属膜上选择性地形成氧化铝膜。沉积在金属膜上的氧化铝的SEM和TEM影像显示,氧化铝沉积在金属膜上也沉积在介电质膜上。
除非本文另有说明或与前后文明显矛盾,在描述本文所论述的材料和方法的前后文中(特别是随后的权利要求书的前后文中),术语“一、一个、一种”及“该、所述”及类似指代的使用应解释为涵盖单数形式和复数形式。除非在此另外指出,否则本文中数值范围的列举仅旨在用作分别指代落入该范围内的每个单独值的简写方法,并且每个单独值都被并入说明书中,就如同其在本文中被单独叙述一样。除非本文另外指出或与上下文明显矛盾,否则本文描述的所有方法可以以任何合适的顺序执行。除非另外要求,否则本文提供的任何和所有实例或示例性语言(例如,“诸如”)的使用仅旨在更好地阐明材料和方法,并且不对范围构成限制。说明书中的用语均不应被解释为指示任何未要求保护的要素对于所公开的材料和方法的实施是必不可少的。
在整个说明书中对“一个实施方式”、“某个实施方式”、“一或多个实施方式”或“一实施方式”意味着结合所述实施方式描述的具体特征、结构、材料或特征被包括在本公开内容的至少一个实施方式中。因此在整个说明书多处出现的诸如“在一或多个实施方式中”、“在某个实施方式中”、“在一个实施方式中”或“在实施方式中”之类的短语不必然指称本公开内容的相同实施方式。进一步,在一或多个实施方式中,可以任何合适的方式组合具体特征、结构、材料或特征。
尽管已经参照具体实施方式描述了本文的公开内容,但应理解,这些实施方式仅是对本公开内容的原理和应用的说明。对于本领域技术人员显而易见的是,在不脱离本公开内容的精神和范围的情况下,可以对本公开内容的方法和装置进行各种修饰和变化。因此,本公开内容欲包括在随附的权利要去书及其等效形式的范围内的修改和变型。

Claims (15)

1.一种沉积膜的方法,所述方法包含以下步骤:
将基板安置于处理腔室中,所述基板具有金属层及介电质层;
将所述基板暴露于有机金属性前驱物,以相对于所述介电质层选择性地将金属膜沉积于所述金属层上;
清理所述处理腔室的所述有机金属性前驱物,
将所述基板暴露于氧化剂,以与所述金属膜反应,用以将金属氧化物膜形成于所述金属层上;及
清理所述处理腔室的所述氧化剂。
2.如权利要求1所述的方法,其中所述有机金属性前驱物包含以下的一或多种:三-叔丁基铝(TTBA)、双(2-甲基-2-丙基)-(2-甲基-1-丙基)铝)、(2-甲基-2-丙基)双(2-甲基-1-丙基)铝)、三(2-甲基-l-丙基)铝)、三乙基铝(TEA)、三(新戊基)铝或异丙醇铝。
3.如权利要求1所述的方法,其中所述金属膜及所述金属氧化物膜包含铝。
4.如权利要求1所述的方法,其中所述介电质层包含以下的一或多种:氧化物、掺碳的氧化物、多孔二氧化硅(SiO2)、氧化硅(SiO)、氮化硅(SiN)、碳化物、氧碳化物、氮化物、氧氮化物、氧碳氮化物、碳氮化物、聚合物、磷硅酸盐玻璃、氟硅酸盐(SiOF)玻璃或有机硅酸盐玻璃(SiOCH)。
5.如权利要求1所述的方法,其中所述介电质层实质上无所述金属氧化物膜。
6.如权利要求1所述的方法,其中所述金属层包含以下的一或多种:钴(Co)、钨(W)、钌(Ru)、铜(Cu)、镍(Ni)、锰(Mn)、银(Ag)、金(Au)、铂(Pt)、铁(Fe)、钼(Mo)或铑(Rh)。
7.如权利要求1所述的方法,其中所述氧化剂包含以下的一或多种:水、氧、叔丁醇、3-丁烯-2-醇、2-甲基-3-丁烯-2-醇、2-苯基-2-丙醇或R-OH,其中R包含CF3或C1-20烷基、C1-20芳基、C1-20烯基或C1-20炔基。
8.如权利要求1所述的方法,进一步包含以下步骤:重复所述方法以提供金属氧化物膜,所述金属氧化物膜具有约0.5至约10nm的厚度。
9.如权利要求1所述的方法,其中清理所述处理腔室的步骤包含使净化气体流过所述基板上方,且所述净化气体选自以下的一或多种:Ar、N2、He、H2或含H2气体。
10.一种沉积膜的方法,所述方法包含以下步骤:
在工艺循环中选择性地形成金属氧化物膜,所述工艺循环包含将基板依序暴露于有机金属性前驱物、净化气体、氧化剂及净化气体,所述基板上具有金属层及介电质层;及
重复所述工艺循环,以选择性地将金属氧化物膜形成于所述金属层上,所述金属氧化物膜具有约0.5nm至约10nm的厚度,并且所述介电质层实质上无所述金属氧化物膜。
11.如权利要求10所述的方法,其中所述有机金属性前驱物包含以下的一或多种:三-叔丁基铝(TTBA)、双(2-甲基-2-丙基)-(2-甲基-1-丙基)铝)、(2-甲基-2-丙基)双(2-甲基-1-丙基)铝)、三(2-甲基-l-丙基)铝)、三乙基铝(TEA)、三(新戊基)铝或异丙醇铝。
12.如权利要求10所述的方法,其中所述金属膜及所述金属氧化物膜包含铝。
13.如权利要求10所述的方法,其中所述金属层包含以下的一或多种:钴(Co)、钨(W)、钌(Ru)、铜(Cu)、镍(Ni)、锰(Mn)、银(Ag)、金(Au)、铂(Pt)、铁(Fe)、钼(Mo)或铑(Rh)。
14.如权利要求10所述的方法,其中所述氧化剂包含以下的一或多种:氧、叔丁醇、3-丁烯-2-醇、2-甲基-3-丁烯-2-醇、2-苯基-2-丙醇或R-OH,其中R包含CF3或C1-20烷基、C1-20芳基、C1-20烯基或C1-20炔基。
15.一种沉积薄膜的方法,所述方法包含以下步骤:
在工艺循环中选择性地形成氧化铝膜,所述工艺循环包含将基板依序暴露于铝前驱物、净化气体、氧化剂及净化气体,所述基板具有金属层,所述金属层与介电质层相邻;及
重复所述工艺循环,以选择性地将所述氧化铝膜形成于所述金属层上,所述氧化铝膜具有约2nm至约10nm的厚度,并且所述介电质层实质上无氧化铝。
CN201980057963.6A 2018-09-14 2019-09-11 选择性氧化铝膜沉积 Pending CN112640047A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/131,931 US11450525B2 (en) 2018-09-14 2018-09-14 Selective aluminum oxide film deposition
US16/131,931 2018-09-14
PCT/US2019/050501 WO2020055937A1 (en) 2018-09-14 2019-09-11 Selective aluminum oxide film deposition

Publications (1)

Publication Number Publication Date
CN112640047A true CN112640047A (zh) 2021-04-09

Family

ID=69772246

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980057963.6A Pending CN112640047A (zh) 2018-09-14 2019-09-11 选择性氧化铝膜沉积

Country Status (6)

Country Link
US (1) US11450525B2 (zh)
JP (1) JP7242837B2 (zh)
KR (1) KR102521792B1 (zh)
CN (1) CN112640047A (zh)
TW (1) TWI762805B (zh)
WO (1) WO2020055937A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
JP2024513173A (ja) * 2021-03-26 2024-03-22 東京エレクトロン株式会社 アルミニウムアルコキシド酸化剤を用いた半導体デバイスのための酸化アルミニウム膜の原子層堆積
EP4289993A4 (en) * 2021-04-02 2024-03-27 Meishan Boya Advanced Materials Co., Ltd. METHOD FOR PREPARING COMPOSITE CRYSTAL, AND SYSTEM
US11702733B2 (en) * 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces
US20230010568A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Methods and apparatus for selective etch stop capping and selective via open for fully landed via on underlying metal
US20230154757A1 (en) * 2021-11-12 2023-05-18 International Business Machines Corporation Selective deposition on metals using porous low-k materials
JP2023117045A (ja) * 2022-02-10 2023-08-23 東京エレクトロン株式会社 基板処理方法
WO2023204453A1 (ko) * 2022-04-19 2023-10-26 인천대학교 산학협력단 영역-선택적 원자층 증착법을 이용한 박막의 선택적 증착방법 및 박막이 선택적으로 형성된 기판

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102197459A (zh) * 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US20160118261A1 (en) * 2014-10-23 2016-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US20170040164A1 (en) * 2015-08-05 2017-02-09 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
CN106560527A (zh) * 2015-10-02 2017-04-12 Asm Ip控股有限公司 用于气相沉积的三叔丁基铝反应剂
US20180010247A1 (en) * 2016-07-08 2018-01-11 Asm Ip Holding B.V. Organic reactants for atomic layer deposition

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
WO2016081146A1 (en) 2014-11-21 2016-05-26 Applied Materials, Inc. Alcohol assisted ald film deposition
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10580644B2 (en) 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
JP2018129354A (ja) 2017-02-07 2018-08-16 株式会社アルバック ゲート絶縁膜の形成方法、および、ゲート絶縁膜
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102197459A (zh) * 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US20160118261A1 (en) * 2014-10-23 2016-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US20170040164A1 (en) * 2015-08-05 2017-02-09 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
CN106560527A (zh) * 2015-10-02 2017-04-12 Asm Ip控股有限公司 用于气相沉积的三叔丁基铝反应剂
US20180010247A1 (en) * 2016-07-08 2018-01-11 Asm Ip Holding B.V. Organic reactants for atomic layer deposition

Also Published As

Publication number Publication date
JP2021536682A (ja) 2021-12-27
WO2020055937A1 (en) 2020-03-19
JP7242837B2 (ja) 2023-03-20
US20200090924A1 (en) 2020-03-19
TWI762805B (zh) 2022-05-01
KR102521792B1 (ko) 2023-04-14
TW202024381A (zh) 2020-07-01
KR20210043745A (ko) 2021-04-21
US11450525B2 (en) 2022-09-20

Similar Documents

Publication Publication Date Title
TWI762805B (zh) 選擇性氧化鋁膜沉積
US11735420B2 (en) Wafer treatment for achieving defect-free self-assembled monolayers
CN108463870B (zh) Pecvd含钨硬掩模膜及制造方法
KR100652420B1 (ko) 유전막 제조방법, 그 유전막을 포함하는 mim 캐패시터의제조방법 및 그 유전막을 제조하기 위한 배치 타입 ald장치
US20130115778A1 (en) Dry Etch Processes
US11894233B2 (en) Electronic device having an oxygen free platinum group metal film
US11970777B2 (en) Deposition of low-k films
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
US11527407B2 (en) Vapor deposition of carbon-based films
TWI515803B (zh) 矽化鉭內的摻雜鋁
US11972940B2 (en) Area selective carbon-based film deposition
US20240234127A1 (en) Area selective carbon-based film deposition
US11713507B2 (en) Low-k films
US20240183035A1 (en) Area selective deposition through surface silylation
US20230402285A1 (en) Method of forming carbon-based spacer for euv photoresist patterns
US20220384469A1 (en) V-nand stacks with dipole regions
CN114698381A (zh) 在金属表面上选择性沉积杂环钝化膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination