KR20130049752A - 도핑된 실리콘 산화물 박막들을 형성하는 방법들 - Google Patents

도핑된 실리콘 산화물 박막들을 형성하는 방법들 Download PDF

Info

Publication number
KR20130049752A
KR20130049752A KR1020120123815A KR20120123815A KR20130049752A KR 20130049752 A KR20130049752 A KR 20130049752A KR 1020120123815 A KR1020120123815 A KR 1020120123815A KR 20120123815 A KR20120123815 A KR 20120123815A KR 20130049752 A KR20130049752 A KR 20130049752A
Authority
KR
South Korea
Prior art keywords
dopant
precursor
reaction chamber
substrate
silicon oxide
Prior art date
Application number
KR1020120123815A
Other languages
English (en)
Other versions
KR101950909B1 (ko
Inventor
노보루 타카무레
아츠키 후카자와
히데아키 후쿠다
안티 니스카넨
수비 하우카
류 나카노
쿠니토시 남바
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20130049752A publication Critical patent/KR20130049752A/ko
Application granted granted Critical
Publication of KR101950909B1 publication Critical patent/KR101950909B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/2225Diffusion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]

Abstract

본 명세서는 원자층 증착 공정들에 의한, 도핑된 실리콘 산화물 막들과 같은 도판트 막들의 퇴적에 관한 것이다. 일부 실시예들에서, 반응 공간 내의 기판은 실리콘 전구체의 펄스 및 도판트 전구체의 펄스와 접촉하고, 이에 따라, 상기 실리콘 전구체 및 도판트 전구체는 상기 기판 표면에 흡착된다. 상기 흡착된 실리콘 전구체 및 도판트 전구체를 도핑된 실리콘 산화물로 변환시키기 위해 산소 플라즈마가 이용된다.

Description

도핑된 실리콘 산화물 박막들을 형성하는 방법들{Methods for forming doped silicon oxide thin films}
본원은 일반적으로 도핑된 실리콘 산화물 박막들의 원자층 증착에 관한 것이다.
본원은 2011년 10월 12일에 출원된 미국 가출원 번호 제61/546,500호 및 2012년 2월 10일에 출원된 미국 가출원 번호 제61/597,373호에 대해 우선권을 주장하고, 상기 가출원들에 개시된 내용들은 그 전체로써 본원에 참조 병합된다.
장치들의 스케일이 다운됨에 따라, 우수한 스텝 커버리지(step coverage)를 가지는 유전막들의 퇴적이 바람직하다. 종래의 원자층 증착(ALD)은 자기 제한적인(self-limiting) 공정으로서, 이에 의해 반응 전구체들의 교번 펄스들(alternated pulses)은 기판 표면을 포화시키고, 펄스 당 하나의 단일 층의 물질 또는 그 이하를 남기게 된다. 이러한 증착 조건들 및 전구체들은 자기 포화 반응들을 보장하도록 선택되고, 이에 따라, 하나의 펄스에서 흡착된 층은 동일한 펄스의 추가적인 기상(gas phase) 반응물들과 반응하지 않는 표면 종료(surface termination)를 남긴다. 다른 반응물들의 이후 펄스는 이전의 종료와 반응하여 계속된 퇴적을 가능하게 한다. 그러므로, 교번 펄스들의 각 사이클은 바람직한 물질의 대략 하나의 분자층 또는 그 이하를 남긴다. ALD 타입의 공정들의 원리들은 T. Suntola 등에 의해 the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B.V. 1994에 제시되었고, 이 문서에 개시된 내용은 본원에 참조 병합된다.
본 명세서에 기재된 바와 같이, 원자층 증착(Atomic Layer Deposition, ALD) 공정들은 BSG 및 PSG와 같은 도핑된 실리콘 산화물을 퇴적하는데 이용될 수 있다. ALD는 3차원 구조들에 대해 우수한 스텝 커버리지를 제공한다.
본원이 해결하고자 하는 과제는 도핑된 실리콘 산화물 박막들을 형성하는 방법들을 제공하는 데에 있다.
도판트(dopant) 박막들은 도판트 전구체 및 반응성 종을 이용한 원자층 증착 공정들에 의해 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 도판트 박막은 반도체 기판 위에서, 예를 들어, 핀펫(finFET) 구조의 형성에서 고상 확산(solid state diffusion, SSD) 층의 역할을 할 수 있다.
일 양상에 따르면, 원자층 증착(ALD)에 의해 반응 챔버 내의 기판 상에 도핑된 실리콘 산화물 박막들을 형성하는 방법들이 제공된다. 일부 실시예들에서, 상기 ALD 공정은 플라즈마 강화 ALD(plasma enhanced ALD, PEALD) 공정이다. 일부 실시예들에서, 상기 ALD 공정은 기판을 포함하는 반응 공간 내에 실리콘 전구체 펄스를 제공하는 단계, 상기 반응 공간 내에 도판트 전구체 펄스를 제공하는 단계, 여분의 실리콘 전구체 및 도판트 전구체를 제거하는 단계 및 상기 기판을 반응성 종에 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 반응성 종은 산소를 포함하고, 예를 들어, 산소 플라즈마일 수 있다. 일부 실시예들에서, 산소 가스는 상기 공정 내내 연속적으로 상기 반응 챔버에 공급된다. 일부 실시예들에서, 상기 반응성 종은 질소의 여기된 종을 포함한다. 상기 반응물들은 임의의 순서로 제공될 수 있고, 일부 실시예들에서, 상기 도판트 전구체 펄스 및 상기 실리콘 전구체 펄스는 적어도 부분적으로 오버랩된다.
일부 실시예들에서, 상기 방법들은 도핑된 실리콘 산화물 퇴적 사이클을 포함하고, 상기 사이클은, 상기 기판 상에 상기 실리콘 전구체의 대략 하나의 분자층 또는 그 이하를 형성하기 위하여, 실리콘 전구체를 포함하는, 증기 상의(vapor phase) 제1 전구체 펄스를 상기 반응 챔버 내에 제공하는 단계, 상기 도판트 전구체가 이용 가능한 결합 부위들에서 상기 기판 표면 상에 자기 제한적인 방식으로 흡착되도록 도판트 전구체를 포함하는, 증기 상의 제2 전구체 펄스를 상기 반응 챔버에 제공하는 단계, 여분의 반응물 및 임의의 반응 부산물들을 상기 반응 챔버로부터 제거하는 단계, 상기 산소 플라즈마가 상기 흡착된 실리콘 및 도판트를 도핑된 실리콘 산화물 막으로 변환시키기 위하여, 산소 플라즈마를 포함하는, 증기 상의 제3 반응물 펄스를 제공하는 단계를 포함한다. 일부 실시예들에서, 산소는 퇴적 공정 중에 상기 반응 챔버로 연속적으로 공급된다. 증기 상의 제3 반응물 펄스를 제공하는 단계는 공급되는 산소에서 플라즈마를 생성하는 단계를 포함한다. 상기 산소 플라즈마는 원격으로 생성되거나 상기 반응 챔버 그 자체 내에서 생성될 수 있다. 일부 실시예들에서, 상기 실리콘 전구체 및 상기 도판트 전구체는 동시에 제공된다. 일부 실시예들에 있어서, 상기 실리콘 전구체 및 도판트 전구체는 적어도 부분적으로 오버랩되는 펄스로 제공된다. 일부 실시예들에서, 상기 실리콘 전구체 및 상기 도판트 전구체는 교번적으로 그리고 연속적으로 제공된다. 상기 퇴적 사이클은 바람직한 두께 및 조성의 박막이 획득될 때까지 반복된다. 일부 실시예들에서, 상기 도판트는 보론 또는 인 중에 선택된다. 상기 실리콘 전구체는, 예를 들어, BDEAS(bis(diethylamino)silane, ((C2H5)N)2SiH2)일 수 있다.
일부 실시예들에서, 도핑된 실리콘 산화물 막은, 도핑된 실리콘 산화물 및 도핑되지 않은 실리콘 산화물 퇴적 사이클들이 상기 도핑된 실리콘 산화물 막에서 바람직한 도판트 농도를 획득하기 위하여 선택된 비율로 제공되는 PEALD 공정에 의해 퇴적된다.
일부 실시예들에서, 도핑된 실리콘 산화물 막은, 도판트 산화물 및 도핑되지 않은 실리콘 산화물 퇴적 사이클들이 상기 도핑된 실리콘 산화물 막에서 바람직한 도판트 농도를 획득하기 위하여 선택된 비율로 제공되는 PEALD 공정에 의해 퇴적된다.
본 발명의 다른 양상에 따르면, 핀펫 구조를 형성하는 방법들이 제공되는데, 상기 방법에서 도핑된 실리콘 산화물 막 또는 다른 도판트 막이 ALD에 의해 핀 위에 퇴적된다.
다른 양상에 따르면, 실리콘 기판의 도핑 방법들이 제공된다. 상기 방법들은 원자층 증착에 의해 실리콘 기판의 표면 위에 도판트를 포함하는 고상 확산(SSD) 층을 퇴적하는 단계, 상기 SSD 층 위에 캡 층을 퇴적하는 단계, 및 도판트를 상기 SSD 층에서 하부 실리콘 기판으로 주입하기 위하여 상기 기판을 어닐링하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 기판은 상기 SSD 층을 퇴적하기 전에 플라즈마로 처리된다. 일부 실시예들에서, 상기 SSD 층은, 상기 기판이 도판트 전구체 및 플라즈마, 예를 들어, 산소, 질소, 아르곤, 헬륨, 수소 또는 플루오린 플라즈마에 교번적으로 그리고 연속적으로 접촉되는 플라즈마 강화 ALD 공정에 의해 퇴적된다. 상기 도판트는 예를 들어, 인, 비소, 안티모니, 보론, 갈륨 또는 인듐일 수 있다. 일부 실시예들에서, 플라즈마 처리, 상기 SSD 층의 퇴적 및 상기 캡 층의 퇴적 중 둘 또는 그 이상은 제자리에서(in situ) 수행된다.
또 다른 양상에 따르면, 반응 챔버 내의 기판 상에 도판트 화합물 막들을 퇴적하는 방법들이 제공된다. ALD 공정은 적어도 하나의 도판트 전구체 사이클을 포함하고, 도판트 전구체 펄스는 반응 챔버에 제공되고, 여분의 도판트 전구체는 반응 챔버로부터 제거되고, 그리고, 도판트 화합물 막이 형성되도록 기판은 반응성 종에 접촉된다. 상기 도판트 화합물 막은 13족, 14족 또는 15족 원소들로부터 선택된 도판트를 포함할 수 있다. 일부 실시예들에서, 상기 도판트 화합물 막은 PN, PC 또는 BC를 포함할 수 있다.
본 발명의 실시예들 및 종래 기술에 비해 획득되는 이점들의 일부를 요약하기 위하여, 특정 목적들 및 이점들이 본 명세서에서 위에 기재되었다. 물론, 이러한 목적들 및 이점들 모두가 반드시 본 발명의 임의의 특정한 실시예에 따라 획득될 필요는 없다는 점을 이해해야 한다. 그러므로, 예를 들어, 본 기술 분야에서 통상의 지식을 가진 자는 본 발명은 본 명세서에서 교시되거나 제안될 수 있는 다른 목적들 또는 이점들을 반드시 획득하지 않고, 본 명세서에서 교시된 바와 같은 하나의 이점 또는 한 그룹의 이점들을 획득하거나 최적화하는 방식으로 구현되거나 수행될 수 있다는 점을 인식할 것이다.
이러한 모든 실시예들은 본 명세서에서 개시된 발명의 범위 내인 것으로 의도된다. 본 발명의 이러한 실시예들 및 다른 실시예들은 첨부된 도면들을 참조하는 바람직한 실시예들에 대한 후술되는 상세한 설명으로부터 본 기술 분야에서 통상의 지식을 가진 자에게 쉽게 명백할 것이고, 본 발명은 개시된 임의의 특정한 바람직한 실시예(들)에 한정되지 않는다.
본 명세서에 기재된 바와 같이, 원자층 증착(Atomic Layer Deposition, ALD) 공정들은 BSG 및 PSG와 같은 도핑된 실리콘 산화물을 퇴적하는데 이용될 수 있다. ALD는 3차원 구조들에 대해 우수한 스텝 커버리지를 제공한다.
도 1은 일 실시예에 따른 도핑된 실리콘 산화물 박막을 형성하는 방법을 일반적으로 나타내는 흐름도이다.
도 2는 도핑된 실리콘 산화물 박막을 형성하는 방법에 대한 펄싱 시퀀스(pulsing sequence)를 일반적으로 나타내는 흐름도이다.
도 3은 도핑된 실리콘 산화물 박막을 형성하는 방법에 대한 펄싱 시퀀스를 일반적으로 나타내는 흐름도이다.
도 4는 3차원 기판 상에, 본 명세서에 기재된 바와 같이 PEALD에 의해 퇴적된 BSC(왼쪽), 그리고 PECVD에 의해 퇴적된 BSG(오른쪽)를 도시하는 2개의 현미경 사진들이다.
도 5는 본 명세서에 기재된 바와 같이 PEALD에 의해 퇴적된 BSG 막, 그리고 PECVD에 의해 퇴적된 BSG 막의 함유량(content)을 비교하는 FT-IR 스펙트럼이다. PEALD와 PECVD 사이의 FT-IR 스펙트럼들은 거의 동일하다.
도 6은 3차원 기판 상에, 본 명세서에 기재된 바와 같이 PEALD에 의해 퇴적된 PSG(왼쪽), 그리고 PECVD에 의해 퇴적된 PSG(오른쪽)을 도시하는 2개의 현미경 사진들이다.
도 7은 H2 플라즈마로 처리한 후의 실리콘 기판의 현미경 사진이다.
도 8은 B2O3 층 위의 4 nm SiN 캡의 캡 효과를 시간의 함수로써 나타내는 FT-IR 스펙트럼이다. 우수한 캡 효과가 관찰되었다.
도 9는 그 위에 SSD 층 및 캡 층이 퇴적되는 Si 핀 구조를 나타낸다.
도 10은 SIMS로 측정된, Si 으로 주입된(drive in) P에 대한 H2 플라즈마 전처리의 효과를 나타낸다.
도 11a는 BSG SSD 층의 B의 노화(aging)에 대한 인시츄(in-situ) 캡 효과를 나타낸다.
도 11b는 도판트 침투에 대한 캡의 효과를 나타낸다.
도 12a는 SSD 막에서 균일한 P 도판트 농도를 나타낸다.
도 12b는 표준 레시피로 퇴적된 막 및 조절 레시피로 퇴적된 막에서 B 도판트 농도를 비교한다.
도 12c는 단일 층의 도판트를 포함하는 도판트 막에서 B 도판트 농도를 나타낸다.
도 13은 BSG 버퍼 층을 이용하는 구조를 나타낸다.
도 14는 PSG 버퍼 층을 이용하는 구조를 나타낸다.
도 15는 어닐링이 이후의 실리콘 층의 다양한 깊이에서 보론 농도를 나타낸다. 모든 실험들에서 동일한 어닐링 조건들이 이용되었다.
도 16은 BSG 층 또는 PSG 층을 형성하기 위한 반응물 펄스 공급(flow) 패턴을 나타낸다.
도 17a 내지 17c는 보론 농도를 제어 노브(control knob)의 함수(실리콘 전구체에 대한 보론 전구체의 비율)로써 나타낸다.
도 18은 도핑된 실리콘 산화물 퇴적 공정들에서 전체 두께에 대한 보론 산화물 퇴적 사이클들의 제공을 도시한다.
도 19a 및 19b는 P에 대한 균일한 깊이 프로파일(왼쪽) 및 표준 레시피에 비해 조절된 레시피에서 B에 대한 조절된 깊이 프로파일을 나타낸다.
도 20a 내지 20c는 인의 농도를 제어 노브의 함수로써(실리콘 전구체에 대한 인 전구체의 비율) 나타낸다.
도 21a 내지 21f는 램프 기반 RTA 어닐링 및 어닐링이 없는 주입 이후의 B와 P에 대한 도판트 주입을 나타낸다.
도 22는 PN층을 퇴적하기 위한 퇴적 시퀀스를 나타낸다.
도 23은 PN 층을 포함하는 SSD 층 구조에서 P 농도를 도시한다.
도 24는 PN 층의 어닐링 이후의 실리콘 기판에서 P 농도를 나타낸다.
고상 도핑(solid state doping, SSD) 층들과 같은 도판트를 포함하는 박막 층들은 플라즈마 강화 원자층 증착(plasma-enhanced atomic layer deposition, PEALD) 타입의 공정들에 의해 퇴적될 수 있다. 예를 들어, 도핑된 실리콘 산화물은 매우 다양한 적용 분야들을 가지고, 이러한 점은 통상의 기술자에게 명백할 것이다. 일부 실시예들에서, BSG(borosilicate glass) 및 PSG(phosphosilicate glass)와 같은 도핑된 실리콘 산화물 박막들은 PEALD 타입의 공정들에 의해 기판 상에 퇴적될 수 있다. 일부 실시예들에서, PN, BN, PC 또는 BC 막들과 같은 도판트 박막들은 PEALD에 의해 기판 상에 퇴적될 수 있다. ALD는 우수한 스텝 커버리지 뿐만 아니라 도판트 함유량의 정확한 제어를 제공할 수 있다. 그러므로, 일부 실시예들에서, 도판트 박막은 핀펫(finFET) 소자의 형성에서 핀과 같은 3차원 구조 위에 퇴적될 수 있다. 이러한 층들의 두께 및 조성은 바람직한 특성들을 가지는 도핑된 실리콘 산화물 막 또는 다른 도판트 막을 제조하기 위하여 제어될 수 있다. 일부 실시예들에서, SSD 층과 같은, 도핑된 실리콘 산화물 막 또는 다른 도판트 층은 반도체를 도핑하기 위한 도판트 소스(source)로서 이용될 수 있다. 예를 들어, 도핑된 실리콘 산화물 또는 다른 도판트 층은 실리콘 층과 같은 하부 반도체 층으로 도판트를 주입하기 위한 도판트 소스로서 이용될 수 있다. 도핑될 반도체 층은 예를 들어, 핀펫 소자의 핀일 수 있다. 일부 실시예들에서, 도핑된 실리콘 산화물 층은 도핑되지 않은 실리콘 산화물 층으로 캡슐화된다(encapsulated).
본 명세서에서는, 편리성과 단순함을 위하여, 실리콘 산화물의 화학식을 일반적으로 SiO2로 지칭한다. 그러나, 통상의 기술자는 실리콘 산화물의 실제 화학식은 SiOX일 수 있고, x는 일부 Si-O 본드들(bonds)이 형성되는 한 2 주위에서 변한다는 점을 이해할 것이다. 일반적으로 Si가 +IV의 산화 상태를 가지는 실리콘 산화물이 형성되고, 상기 물질에서 산소의 양은 예를 들어, 도판트 함유량에 따라 변할 수 있다.
ALD 타입의 공정들은 제어된 자기 제한적인 표면 반응들을 기초로 한다. 기상(gas phase) 반응들은, 기판을 교대로 그리고 연속적으로 반응물들과 접촉함으로써 방지된다. 증기 상의(vapor phase) 반응물들은, 예를 들어, 반응물 펄스들 사이에서 반응 챔버로부터 여분의 반응물들 및/또는 반응물 부산물들을 제거함으로써, 반응 챔버에서 서로 분리된다.
본 명세서에 제시된 방법들은 도핑된 실리콘 산화물 막들 및 다른 도판트 막들을 기판 표면들 상에 퇴적하는 것을 허용한다. 또한, ALD 타입의 공정들의 성질로 인하여, 기하학상 도전적인(geometrically challenging) 어플리케이션들도 가능하다. 일부 실시예들에 따르면, 원자층 증착(ALD) 타입의 공정들은 집적 회로 워크피스들(workpieces)과 같은 기판들 상에 도핑된 실리콘 산화물 막들 또는 다른 도판트 막들을 형성하기 위하여 이용된다.
기판 또는 워크피스는 반응 챔버 내에 배치되고, 교대로 반복되는 표면 반응들이 수행된다. 구체적으로, 자기 제한적인 ALD 사이클의 반복에 의하여 박막들이 형성된다. 바람직하게는, 도핑된 실리콘 산화물 막들을 형성하기 위하여 각 ALD 사이클은 적어도 세 개의 구별되는 단계들(phases)을 포함한다. 반응 공간으로부터 반응물(reactant)의 제공 및 제거는 한 단계로 여겨질 수 있다. 제1 단계에서, 실리콘을 포함하는 제1 반응물이 제공되고, 기판 표면 상에 대략 하나의 단일층 또는 그 이하를 형성한다. 또한, 본 명세서에서 이러한 반응물은 "실리콘 전구체(precursor)" 또는 "실리콘 반응물"로 지칭되고, 예를 들어, BDEAS일 수 있다. 제2 단계에서, 도판트를 포함하는 제2 반응물이 제공되고, 이용 가능한 결합 부위들(binding sites)에 흡착된다. 또한, 이러한 제2 반응물은 "도판트 전구체"로 지칭될 수 있다. 제2 반응물은 보론과 같은 13족의 원소(IUPAC 신규 넘버링, 이전 IUPAC 넘버링에 따른 IIIB 및 CAS 미국 넘버링에 따른 IIIA), 탄소와 같은 14족의 원소(IUPAC 신규 넘버링, 이전 IUPAC 넘버링에 따른 IVB 및 CAS 미국 넘버링에 따른 IVA) 및/또는 인 또는 비소와 같은 15족의 원소(IUPAC 신규 넘버링, 이전 IUPAC 유럽 넘버링에 따른 VB 및 CAS 미국 넘버링에 따른 VA)를 포함할 수 있다. 일부 실시예들에서, 제2 반응물은 예를 들어, 보론, 인, 탄소 또는 비소 전구체일 수 있다. 제3 단계에서, 반응성 종(reactive species)을 포함하는 제3 반응물이 제공되고, 흡착된 실리콘 전구체 및 도판트 전구체를 도핑된 실리콘 산화물로 변환(convert)시킬 수 있다. 일부 실시예들에 있어서, 반응성 종은 여기된(excited) 종을 포함한다. 일부 실시예들에 있어서, 반응성 종은 산소 플라즈마, 산소 원자들 및/또는 산소 라디칼들(radicals)을 포함한다. 일부 실시예들에 있어서, 반응성 종은 오존을 포함한다. 일부 실시예들에 있어서, 실리콘 반응물 및/또는 도판트 반응물은 산소를 포함하고, 반응성 종은 산소를 포함하지 않는다. 일부 실시예들에 있어서, 반응성 종은 플라즈마 방전(discharge)으로 만들어진 여기된 종을 포함한다. 일부 실시예들에 있어서, 반응성 종은 질소 라디칼들, 질소 원자들 및/또는 질소 플라즈마를 포함한다. 일부 실시예들에서 있어서, 반응성 종은 He 또는 Ar 플라즈마를 포함할 수 있다. 일부 실시예들에 있어서, 플라즈마를 형성하는데 이용되는 가스는 공전 전체에서 일정하게 공급될 수 있으나, 오직 간헐적으로 활성화될 수 있다. 최종 막의 조성을 조절하기 위하여 원하는 데로 추가적인 단계들이 부가될 수 있고, 단계들이 제거될 수 있다.
하나 또는 그 이상의 반응물들이 Ar 또는 He과 같은 캐리어 가스(carrier gas)의 도움으로 제공될 수 있다. 일부 실시예들에 있어서, 실리콘 전구체 및 도판트 전구체는 캐리어 가스의 도움으로 제공된다. 일부 실시예들에 있어서, 상기 단계들 중 두 단계는 오버랩되거나 결합될 수 있다. 예를 들어, 실리콘 전구체 및 도판트 전구체는 부분적으로 또는 전적으로 오버랩되는 펄스로 동시에 제공될 수 있다. 또한, 비록 제1, 제2 및 제3 단계들 및 제1, 제2 및 제3 반응물들로 지칭되지만, 상기 단계들의 순서를 변경될 수 있고, ALD 사이클은 상기 단계들 중 임의의 하나로 시작될 수 있다. 다시 말해, 다르게 특정되지 않는 한, 상기 반응물들은 임의의 순서로 제공될 수 있고, 공정은 임의의 반응물들로 시작될 수 있다.
이하에서 더욱 자세하게 설명되는 바와 같이, 일부 실시예들에 있어서, 도핑된 실리콘 산화물을 퇴적하기 위하여, 하나 또는 그 이상의 퇴적 사이클들이 실리콘 전구체의 제공으로 시작되고, 뒤이어 도판트 전구체 및 반응성 산소 종이 제공된다. 다른 실시예들에 있어서, 하나 또는 그 이상의 퇴적 사이클들이 도판트 전구체의 제공으로 시작되고, 뒤이어 실리콘 전구체 및 반응성 산소 종이 제공된다. 다른 실시예들에 있어서, 퇴적은 반응성 산소 종의 제공으로 시작될 수 있고, 뒤이어 실리콘 전구체 또는 도판트 전구체가 제공될 수 있다.
일부 실시예들에 있어서, 다른 타입들의 도판트 막들을 형성하기 위하여, 각 ALD 사이클은 적어도 두 개의 구별되는 단계들을 포함한다. 제1 단계에서, 도판트를 포함하는 제1 반응물은 기판 표면 상에 대략 하나의 단일층을 또는 그 이하를 형성할 것이다. 또한, 이러한 반응물은 도판트 전구체로서 지칭될 수 있다. 도판트 전구체는 예를 들어, 보론과 같은 13족의 원소(IUPAC 신규 넘버링, 이전 IUPAC 넘버링에 따른 IIIB 및 CAS 미국 넘버링에 따른 IIIA), 탄소와 같은 14족의 원소(IUPAC 신규 넘버링, 이전 IUPAC 넘버링에 따른 IVB 및 CAS 미국 넘버링에 따른 IVA) 및/또는 인 또는 비소와 같은 15족의 원소(IUPAC 신규 넘버링, 이전 IUPAC 유럽 넘버링에 따른 VB 및 CAS 미국 넘버링에 따른 VA)를 포함할 수 있다. 일부 실시예들에 있어서, 제2 반응물은, 예를 들어, 보론, 인, 탄소 또는 비소 전구체일 수 있다. 일부 실시예들에 있어서, 도판트 전구체는 탄소뿐만 아니라 다른 도판트, 예를 들어, 13족 또는 15족의 원소를 포함한다. 예를 들어, 도판트 전구체는 보론 및 탄소 또는 인 및 탄소를 포함할 수 있다. 제2 단계에서, 반응성 종을 포함하는 제2 반응물이 제공되고, 흡착된 도판트 전구체 화합물을 도판트 막으로 변환한다. 일부 실시예들에 있어서, 반응성 종은 산소 플라즈마, 산소 원자들 및/또는 산소 라디칼들을 포함한다. 일부 실시예들에 있어서, 반응성 종은 오존을 포함한다. 일부 실시예들에서, 반응성 종은 플라즈마 방전으로 만들어진 여기된 종을 포함한다. 일부 실시예들에 있어서, 반응성 종은 질소 라디칼들, 질소 원자들 및/또는 질소 플라즈마를 포함한다. 일부 실시예들에 있어서, 반응성 종은 He 또는 Ar 플라즈마를 포함한다. 일부 실시예들에 있어서, 반응성 종은 공정 전체에 걸쳐 일정하게 공급되는 가스에서 간헐적으로 플라즈마를 형성함으로써, 예를 들어, 공급되는 N으로부터 간헐적으로 N 플라즈마를 형성함으로써 형성된다. 막의 조성을 조절하기 위하여 원하는 데로 추가적인 단계들이 부가될 수 있다.
다시, 하나 또는 그 이상의 반응물들이 Ar 또는 He과 같은 캐리어 가스의 도움으로 제공될 수 있다. 일부 실시예들에 있어서, 도판트 전구체는 캐리어 가스의 도움으로 제공된다. 일부 실시예들에 있어서, 비록 제1 단계 및 제2 단계, 그리고 제1 및 제2 반응물이라고 지칭되지만, 단계들의 순서 및 그에 따른 반응물들의 제공 순서는 변경될 수 있고, ALD 사이클은 상기 단계들 중 임의의 하나로 시작될 수 있다.
일부 실시예들에 있어서, 하나 또는 그 이상의 퇴적 사이클들이 도판트 전구체의 제공으로 시작되고, 뒤이어 반응성 종이 제공된다. 다른 실시예들에 있어서, 하나 또는 그 이상의 퇴적 사이클들은 반응성 종의 제공으로 시작되고, 뒤이어 도판트 전구체가 제공된다. 이어서, 반응성 종은 다음 사이클에서 다시 제공된다.
일부 실시예들에 있어서, 그 위에 퇴적이 요구되는 기판, 예를 들어, 반도체 워크피스가 반응기(reactor) 내로 로딩된다(loaded). 상기 반응기는 집적 회로의 형성에서 서로 다른 다양한 공정들이 수행되는 클러스터 툴(cluster tool)의 일부일 수 있다. 일부 실시예들에 있어서, 플로우 타입의(flow-type) 반응기가 이용된다. 일부 실시예들에 있어서, 대용량 제조 가능(high-volume manufacturing-capable) 단일 웨이퍼 ALD 반응기가 이용된다. 다른 실시예들에 있어서, 복수의 기판들을 포함하는 배치(batch) 반응기가 이용된다. 배치 ALD 반응기들이 이용되는 실시예들에 있어서, 기판들의 개수는 바람직하게는 10 내지 200의 범위이고, 더욱 바람직하게는 50 내지 150의 범위이며, 가장 바람직하게는 100 내지 130의 범위이다.
ALD 공정들을 향상시키기 위하여 특별히 설계된, 예시적인 단일 웨이퍼 반응기들은 ASM America, Inc.(Phoenix, AZ)에서 제공되는 상품명 Pulsar? 2000 및 Pulsar? 3000, 그리고, ASM Japan K.K(Tokyo, Japan)에서 제공되는 상품명 Eagle? XP 및 XP8으로부터 상업적으로 이용 가능하다. ALD 공정들을 향상시키기 위하여 특별히 설계된, 예시적인 배치 ALD 반응기들은 ASM Europe B.V(Almere, Netherlands)에서 제공되는 상품명 A4ALDTM 및 A412TM으로부터 상업적으로 이용 가능하다.
일부 실시예들에 있어서, 필요한 경우, 워크피스의 노출된 표면들은 ALD 공정의 제1 단계와 반응하기 위한 반응 부위들(reactive sites)을 제공하기 위하여 전처리될 수 있다. 일부 실시예들에 있어서, 분리된 전처리 단계가 요구되지 않는다. 일부 실시예들에 있어서, 기판은 바람직한 표면 종결을 제공하기 위하여 전처리된다. 일부 실시예들에 있어서, 기판은 플라즈마로 전처리된다.
반응 챔버는 반응물 펄스들 사이에서 일반적으로 퍼지된다(purged). 각 반응물의 유속(flow rate) 및 유동 시간은 조절 가능하고, 이러한 점은 퍼지 단계도 마찬가지이며, 이것은 막의 깊이 프로파일(profile) 및 도판트 농도의 제어를 허용한다.
상술된 바와 같이, 일부 실시예들에서, 각 퇴적 사이클 동안 또는 전체 ALD 공정 동안 가스가 계속하여 반응 챔버에 제공되고, 반응 챔버 내의 또는 반응 챔버의 상류의 가스에서 플라즈마를 생성함으로써 반응성 종들이 제공된다. 일부 실시예들에 있어서, 상기 가스는 산소이다. 다른 실시예들에 있어서, 상기 가스는 질소, 헬륨 또는 아르곤일 수 있다. 또한, 공급되는 가스는 제1 및/또는 제2 전구체, 뿐만 아니라 반응성 종에 대하여 퍼지 가스의 역할을 할 수 있다. 예를 들어, 공급되는 산소는 제1 실리콘 전구체 및 제2 도판트 전구체뿐만 아니라 반응성 산소 종에 대하여 퍼지 가스의 역할을 할 수 있다. 일부 실시예들에 있어서, 질소, 아르곤 또는 헬륨은 도판트 전구체에 대한 퍼지 가스로, 그리고 도판트 전구체를 도판트 막으로 변환하기 위한 여기된 종의 소스로 작용할 수 있다.
상기 사이클은 원하는 두께와 조성의 막이 획득될 때까지 반복된다. 일부 실시예들에 있어서, 퇴적 파라미터들, 예를 들어, 유속, 유동 시간, 퍼지 시간, 및/또는 전구체들 자체는 바람직한 특성을 가진 막을 획득하기 위하여 ALD 공정 동안 하나 또는 그 이상의 퇴적 사이클들에서 변경될 수 있다. 일부 실시예들에 있어서, 수소 및/또는 수소 플라즈마는 퇴적 사이클 또는 퇴적 공정에서 제공되지 않는다.
"펄스(pulse)"라는 용어는 소정의 시간 동안 반응 챔버 내로 반응물을 공급하는 것을 포함하는 것으로 이해될 수 있다. "펄스"라는 용어는 펄스의 길이 또는 지속시간을 한정하지 않으며, 펄스는 임의의 길이의 시간일 수 있다.
일부 실시예들에 있어서, 실리콘 전구체가 먼저 제공된다. 초기의 표면 종결 이후에, 필요하거나 바람직한 경우, 제1 실리콘 전구체 펄스가 워크피스에 공급된다. 일부 실시예들에 따르면, 제1 전구체 펄스는 관심 있는 워크피스 표면들과 반응하는, BDEAS와 같은 휘발성 실리콘 종 및 캐리어 가스 공급을 포함한다. 따라서, 실리콘 전구체는 워크피스 표면들 상에 흡착된다. 제1 전구체 펄스는 워크피스 표면들을 자기 포화시키고, 이에 따라, 제1 전구체 펄스의 임의의 여분의 구성 성분들은 이러한 공정에 의해 형성된 분자층과 더 이상 반응하지 않는다.
제1 실리콘 전구체 펄스는 바람직하게는 가스 형태로 공급된다. 상기 실리콘 전구체 가스는, 노출된 표면들을 포화시키는데 충분한 농도에서 상기 종을 워크피스로 전달하기 위한 공정 조건들 하에서 상기 종이 충분한 증기압을 나타내는 경우, 본 설명을 위해 "휘발성"이라고 여겨진다.
일부 실시예들에 있어서, 실리콘 전구체 펄스는 약 0.05 내지 약 5.0초, 약 0.1 내지 약 3초 또는 약 0.2 내지 약 1.0 초이다.
단일 층이 기판 표면 상에 흡착되는데 충분한 시간이 흐른 뒤에, 이어서, 여분의 제1 전구체가 반응 공간으로부터 제거된다. 일부 실시예들에 있어서, 만약에 여분의 반응물들 및 반응물 부산물들이 있다면, 이들을 반응 공간으로부터 확산 또는 퍼지하기 위한 충분한 시간 동안 캐리어 가스 또는 퍼지 가스를 계속하여 공급하면서, 제1 화학(chemistry)의 공급을 중단함으로써 여분의 제1 전구체는 퍼지된다. 일부 실시예들에 있어서, ALD 사이클 전체에 걸쳐 공급되는 산소 가스의 도움으로 여분의 제1 전구체는 퍼지된다.
일부 실시예들에 있어서, 제1 전구체는 약 0.1 내지 약 10초, 약 0.3 내지 약 5초 또는 약 0.3 내지 1초 동안 퍼지된다. 실리콘 전구체의 제공 및 제거는 ALD 사이클의 제1 또는 실리콘 단계로 간주될 수 있다.
제2 도판트 전구체는 기판 표면에 접촉하기 위하여 반응 공간 내로 펄스된다. 도판트 전구체는 캐리어 가스의 도움으로 제공될 수 있다. 상기 도판트 전구체는 예를 들어, TEB(triethyl boron)과 같은 보론 전구체 또는 TMPI(trimethylphosphite)와 같은 인 전구체일 수 있다. 또한, 전구체 펄스는 바람직하게는 가스 형태로 공급된다. 도판트 전구체는, 노출된 표면들을 포화시키는데 충분한 농도에서 상기 종을 워크피스에 전달하기 위한 공정 조건들 하에서 상기 종이 충분한 증기압을 나타낼 경우 본 설명을 위해 "휘발성"으로 여겨진다.
일부 실시예들에 있어서, 도판트 전구체 펄스는 약 0.05 내지 약 5.0초, 0.1 내지 약 3.0초 또는 0.2 내지 약 1.0초이다.
이용 가능한 결합 부위들에서 분자층이 기판 표면 상에 흡착되기 위한 충분한 시간 후에, 이어서, 제2 도판트 전구체가 반응 공간으로부터 제거된다. 일부 실시예들에 있어서, 만약에 여분의 반응물들 및 반응물 부산물들을 있다면, 이들이 반응 공간으로부터 확산 또는 퍼지하는데 충분한 시간 동안, 바람직하게는 약 두 개의 반응 챔버에 상응하는 부피보다 더 많은 양의 퍼지 가스와 함께, 더욱 바람직하게는 약 세 개의 반응 챔버에 상응하는 부피보다 더 많은 양의 퍼지 가스와 함께, 캐리어 가스를 계속하여 공급하면서 제2 화학의 공급은 중단된다. 일부 실시예들에 있어서, 상기 퍼지 가스는 ALD 공정 전체에 걸쳐 계속하여 공급되는 산소 가스이다. 도판트 전구체의 제공 및 제거는 ALD 사이클의 제2 또는 도판트 단계로 간주될 수 있다.
일부 실시예들에 있어서, 도판트 전구체는 약 0.1 내지 약 10.0초, 0.3 내지 약 5.0초 또는 0.3 내지 1.0초 동안 퍼지된다.
도판트 전구체 펄스의 유속 및 유동 시간뿐만 아니라 도판트 단계의 퍼지 단계는 도핑된 실리콘 산화물 막의 바람직한 깊이 프로파일 및 도판트 농도를 획득하기 위하여 조절 가능하다. 비록 기판 표면 상에 도판트 전구체의 흡착이 자기 제한적이지만, 결합 부위들의 제한된 개수로 인하여, 펄싱 파라미터들은 조정될 수 있고, 이에 따라, 하나 또는 그 이상의 사이클들에서 단일층의 도판트 보다 적게 흡착된다.
제3 단계에서, 산소 플라즈마와 같은 반응성 종이 워크피스에 제공된다. 일부 실시예들에서 각 ALD 사이클 동안에 산소, O2는 반응 챔버에 계속하여 공급된다. 산소 플라즈마는, 반응 챔버 내의 또는 반응 챔버 상류의 산소에서 플라즈마를 생성함으로써, 예를 들어, 멀리 떨어진 플라즈마 생성기를 통해 산소를 공급함으로써 형성될 수 있다.
일반적으로, 산소 플라즈마는 약 0.1 내지 약 10 초 동안 제공된다. 일부 실시예들에 있어서, 산소 플라즈마는 약 0.1 내지 약 10초, 0.5 내지 약 5초 또는 0.5 내지 2.0초 동안 제공된다. 그러나, 반응기 타입, 기판 타입 및 그 표면적에 따라, 산소 플라즈마 펄싱 시간은 10초보다 훨씬 클 수 있다. 일부 실시예들에 있어서, 펄싱 시간은 대략 몇 분일 수 있다. 최적의 펄싱 시간은 구체적인 환경들을 기초로 하여 통상의 기술자에 의해 쉽게 결정될 수 있다.
일부 실시예들에서, 산소 플라즈마는 약 10 내지 약 1000 W, 바람직하게는 약 30 내지 약 500 W, 더욱 바람직하게는 50 내지 300 W의 RF 파워를 인가함으로써 생성될 수 있다. RF 파워는 산소 플라즈마 펄스 시간 동안 공급되는 산소, 반응 챔버를 통해 연속적으로 공급되는 산소, 및/또는 멀리 떨어진 플라즈마 생성기를 통해 공급되는 산소에 인가될 수 있다. 그러므로, 일부 실시예들에서, 플라즈마는 제 자리에서 생성되고, 반면 다른 실시예에서 플라즈마는 원격으로 생성된다.
이전에 흡착된 분자층을 산소 플라즈마 펄스로 완전히 포화시키고 반응시키기 위한 충분한 시간 주기 이후에, 임의의 여분의 반응물 및 반응 부산물들이 반응 공간으로부터 제거된다. 두 개의 제1 반응물들의 제거와 함께, 이러한 단계는 반응성 종의 생성을 중단하는 단계 및 여분의 반응성 종 및 휘발성 반응 부산물들이 반응 공간으로부터 확산되고 퍼지되는데 충분한 시간 주기 동안 산소를 계속하여 공급하는 단계를 포함한다. 다른 실시예들에 있어서, 개별적인 퍼지 가스가 이용될 수 있다. 일부 실시예들에 있어서, 퍼지는 약 0.1 내지 약 10초, 약 0.1 내지 약 4초 또는 약 0.1 내지 약 0.5초일 수 있다. 이와 함께, 산소 플라즈마 제공 및 제거는 도핑된 실리콘 산화물 원자층 증착 사이클에서 제3 단계를 나타내고, 또한 산화 단계로 여겨질 수 있다.
세 개의 단계들은 함께 바람직한 두께의 도핑된 실리콘 산화물 박막들을 형성하기 위하여 반복되는 하나의 ALD 사이클을 나타낸다. 본 명세서에서 ALD 사이클이 일반적으로 실리콘 단계로 시작되는 것으로 지칭되지만, 다른 실시예들에서 사이클은 도판트 단계 또는 산화 단계로 시작될 수 있다는 점이 고려된다. 본 기술 분야에서 통상의 지식을 가진 자는 제1 전구체 단계는 일반적으로 이전 사이클의 최종 단계에 의해 남겨진 종결과 반응한다는 점을 인식할 것이다. 그러므로, 산화 단계가 제1 ALD 사이클의 제1 단계인 경우, 반응물이 기판 표면에 흡착되지 않거나 반응 공간에 존재하지 않을 수 있지만, 이어지는 사이클들에서 실리콘 단계 후에 효율적으로 산화 단계가 수행될 것이다. 일부 실시예들에 있어서, 하나 또는 그 이상의 서로 다른 ALD 사이클들이 퇴적 공간에 제공된다.
일부 실시예들에 있어서, 도핑된 실리콘 산화물 ALD 사이클은 실리콘 단계, 도판트 단계 및 산화 단계를 포함한다. 상기 실리콘 단계는 기판을 포함하는 반응 챔버에 BDEAS의 펄스를 제공하는 단계를 포함한다. 여분의 BDEAS는 제거되고 기판은 도판트 단계에서 도판트 전구체의 펄스와 접촉한다. 예를 들어, 도판트 전구체는 보론 도판트 전구체, 예를 들어, TEB(triehyl boron)의 펄스 또는 인 도판트 전구체, 예를 들어, TMPI(trimethylphosphite)의 펄스일 수 있다. 만약에 여분의 도판트 전구체 및 반응 부산물들이 있다면 이들은 제거된다. 이어서, 기판은 보론 또는 인-도핑된 실리콘 산화물을 형성하기 위하여 산소 플라즈마와 접촉한다. 산소 플라즈마는 예를 들어, ALD 사이클 내내 연속적으로 공급되는 산소 가스에서 제자리에서(in situe) 생성될 수 있다. 다른 실시예들에 있어서, 산소 플라즈마는 원격으로 생성되어 반응 챔버에 제공될 수 있다.
상술된 바와 같이, 각 ALD 사이클의 각 펄스 또는 단계는 바람직하게는 자기 제한적이다. 반응물들의 초과량이 민감한(susceptible) 구조 표면들을 포화시키기 위하여 각 단계에 공급된다. 표면 포화는 모든 이용 가능한 반응 부위들의 (예를 들어, 물리적 사이즈 또는 "입체 장해(steric hindrance)" 제한들에 따른) 반응물 점유를 보장하고, 그러므로, 훌륭한 스텝 커버리지를 보장한다. 일부 실시예들에 있어서, 하나 또는 그 이상의 반응물들의 펄스 시간은 감소될 수 있고, 이에 따라, 완전한 포화는 획득되지 않으며 기판 표면 상에 단일층보다 작게 흡착된다. 그러나, 일부 실시예들에 있어서, 도판트 전구체 단계는 예를 들어, 분해(decomposition) 또는 기상 반응들로 인하여 자기 제한적이지 않다.
상술된 바와 같이, 일부 실시예들에 있어서, ALD 공정은 실리콘 단계로 시작되고, 도판트 단계, 그리고, 차례로 산화 단계가 이어진다. 그러나, 다른 실시예들에 있어서, 도판트 단계는 처음이고, 실리콘 단계 및 산화 단계가 후속된다. 예를 들어, 기판은 먼저 보론 도판트 전구체, 예를 들어, TEB(triethyl boron)의 펄스 또는 인 도판트 전구체, 예를 들어, TMPI(trimethylphosphite)의 펄스와 접촉할 수 있다. 여분의 도판트 전구체는 제거된다. 이어서, 기판은 실리콘 전구체, 예를 들어, BDEAS와 접촉한다. 만약에 여분의 실리콘 전구체 및 반응 부산물들이 있으면 이들은 제거된다. 이어서, 기판은 보론 또는 인-도핑된 실리콘 산화물을 형성하기 위하여 산소 플라즈마와 접촉한다. 산소 플라즈마는 예를 들어, ALD 사이클 내내 계속하여 공급되는 산소 가스에서 제자리에서 생성될 수 있고, 또는 원격으로 생성될 수 있다.
일부 실시예들에 있어서, 실리콘 전구체 및 도판트 전구체는 모두 임의의 퍼지 단계 이전에 제공된다. 그러므로, 일부 실시예들에 있어서, 실리콘 전구체의 펄스가 제공되고, 도판트 전구체의 펄스가 제공되며, 임의의 반응하지 않은 실리콘 및 도판트 전구체가 반응 공간으로부터 퍼지된다. 상기 실리콘 전구체 및 도판트 전구체는 연속적으로 제공될 수 있고, 실리콘 전구체 또는 도판트 전구체로, 또는 실리콘 전구체 및 도판트 전구체와 함께 시작된다. 일부 실시예들에 있어서, 상기 실리콘 전구체 및 도판트 전구체는 동시에 제공된다. 실리콘 전구체에 대한 도판트 전구체의 비율은 퇴적된 박막에서 도판트의 바람직한 농도를 획득하기 위하여 선택될 수 있다.
반응하지 않은 실리콘 및 도판트 전구체의 제거 이후에, 반응성 종 또는 여기된 종, 예를 들어, 오존 또는 산소 라디칼들, 산소 원자들 또는 산소 플라즈마가 예를 들어, 산소 가스의 공급 중에 생성될 수 있고, 기판과 접촉한다. 다시, 일부 실시예들에 있어서, 산소 가스는 ALD 사이클 동안에 반응 공간에 계속적으로 공급될 수 있다. 다른 실시예들에 있어서, 여기된 종, 예를 들어, 산소 라디칼들은 원격으로 생성될 수 있다. 반응성 종 또는 여기된 종은 흡착된 실리콘 및 도판트 전구체와 반응하여 도핑된 실리콘 산화물 층의 형성한다.
도 1은 일부 실시예들에 따라, 도핑된 실리콘 산화물 박막을 퇴적하는데 이용될 수 있는 도핑된 실리콘 산화물 ALD 퇴적 사이클을 일반적으로 나타내는 흐름도이다. 일 실시예에 따르면, 도핑된 실리콘 산화물 박막은 복수의 도핑된 실리콘 산화물 퇴적 사이클들을 포함하는 ALD 타입의 공정에 의해 기판 상에 형성되는데, 각 도핑된 실리콘 산화물 퇴적 사이클(100)은:
실리콘 화합물이 기판 표면 상에 흡착되도록, 기판을 증발된(vaporized) 실리콘 화합물에 접촉시키는 단계 (110);
기판을 증발된 도판트 전구체 화합물에 접촉시키는 단계(120); 및
기판을 산소 플라즈마에 접촉시키고(130), 그렇게 함으로써, 흡착된 실리콘 화합물 및 도판트 전구체 화합물을 도핑된 실리콘 산화물로 변환시키는 단계를 포함한다. 산소는, 흡착된 실리콘 화합물 및 도판트 전구체를 도핑된 실리콘 산화물로 변환시키기 위한 적절한 시간에 형성되는 산소 플라즈마로 상기 사이클 전체에 걸쳐 연속적으로 공급될 수 있다.
상술된 바와 같이, 일부 실시예들에 있어서, 상기 기판은 실리콘 화합물 및 도판트 전구체 화합물과 동시에 접촉할 수 있고, 반면 다른 실시예들에 있어서, 이러한 반응물들은 개별적으로 제공된다.
상기 접촉 단계들은 원하는 두께 및 조성의 박막이 획득될 때까지 반복된다(140). 여분의 반응물들은 각 접촉 단계 이후에 반응 공간으로부터 퍼지될 수 있다.
도 2는 일부 실시예들에 따라, 도핑된 실리콘 산화물 박막을 형성하기 위한 또 다른 도핑된 실리콘 산화물 ALD 사이클을 일반적으로 나타내는 흐름도이다. 이러한 실시예들에 따르면, 도핑된 실리콘 산화물 박막은 복수의 도핑된 실리콘 산화물 퇴적 사이클들을 포함하는 ALD 타입의 공정에 의해 기판 상에 형성되는데, 각 도핑된 실리콘 산화물 퇴적 사이클(200)은:
기판을 증발된 도판트 전구체 화합물에 접촉시키는 단계(210);
여분의 도판트 전구체를 제거하는 단계(215);
실리콘 화합물이 기판에 흡착되도록, 기판을 증발된 실리콘 화합물에 접촉시키는 단계(220);
여분의 실리콘 화합물을 제거하는 단계(225); 및
기판을 산소 플라즈마에 접촉시키고(230), 그렇게 함으로써, 흡착된 실리콘 화합물 및 도판트 전구체 화합물을 도핑된 실리콘 산화물로 변환시키는 단계를 포함한다. 일부 실시예들에 있어서, 산소는 흡착된 실리콘 화합물 및 도판트 전구체를 도핑된 실리콘 산화물로 변환시키기 위한 적절한 시간에 형성된 산소 플라즈마로 상기 사이클 내내 계속적으로 공급될 수 있다. 일부 실시예들에 있어서, 산소 플라즈마는 원격으로 생성될 수 있다.
상기 접촉 단계들은, 바람직한 두께 및 조성의 박막이 획득될 때까지 반복된다(240).
상술된 바와 같이, 일부 실시예들에 있어서, 실리콘 전구체 및 도판트 전구체는 그 사이에 퍼지 단계 없이, 연속적으로 또는 동시에 제공될 수 있다. 이러한 실시예는 도 3에 예시되는데, 도핑된 실리콘 산화물 박막은, 복수의 도핑된 실리콘 산화물 퇴적 사이클들(300)을 포함하는 ALD 타입의 공정에 의해 기판 상에 형성되며, 상기 퇴적 사이클은:
도판트 화합물 및 실리콘 화합물이 기판에 흡착되도록, 기판을 증발된 도판트 전구체 화합물 및 증발된 실리콘 화합물에 접촉시키는 단계(310);
여분의 도판트 전구체 및 실리콘 화합물을 제거하는 단계(315); 및
기판을 산소 플라즈마에 접촉시키고(320), 그렇게 함으로써, 흡착된 실리콘 화합물 및 도판트 전구체 화합물을 도핑된 실리콘 산화물로 변환시키는 단계를 포함한다. 상기 사이클은 한 번 또는 그 이상의 횟수로 반복될 수 있다(330). 일부 실시예들에 있어서, 산소는 흡착된 실리콘 화합물 및 도판트 전구체를 도핑된 실리콘 산화물로 변환시키기 위하여 간헐적으로 형성되는 산소 플라즈마로, 상기 사이클 내내 계속하여 공급될 수 있다. 일부 실시예들에 있어서, 산소 플라즈마는 원격으로 생성되어 반응 공간에 제공된다.
상술된 바와 같이, 퇴적 공정은 일반적으로 복수의 ALD 퇴적 사이클들을 포함한다. 일부 실시예들에 있어서, 도판트 전구체는 모든 퇴적 사이클마다 제공된다. 그러나, 다른 실시예들에 있어서, 도판트 전구체는 퇴적 사이클들 중 단지 일부에만 제공될 수 있다. 도판트 전구체가 제공되는 사이클들은 도판트 전구체 사이클들이라고 불릴 수 있고, 반면에 도판트가 제공되지 않는 사이클들은 실리콘 전구체 사이클들이라고 불릴 수 있다. 또한, 일부 실시예들에 있어서, 실리콘 전구체도 상술된 바와 같이 도판트 전구체 사이클들에 제공될 수 있다. 예시적인 실리콘 전구체 사이클은 예를 들어, 기판을 실리콘 전구체 및 산소 반응물과 교번적으로 그리고 연속적으로 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에서, 실리콘 전구체는 도판트 전구체 사이클에 이용된 동일한 전구체이고, 반면 일부 실시예들에서, 실리콘 전구체는 다를 수 있다. 일부 실시예들에 있어서, 산소 반응성 종은 도판트 전구체 사이클에 이용된 것과 동일하고, 반면 다른 실시예들에서 다른 산소 반응성 종이 이용될 수 있다.
도판트 전구체 사이클들에 대한 실리콘 전구체 사이클들의 비율은 PEALD 공정에 의해 퇴적되는 최후의 막에서 도판트 농도를 제어하기 위하여 선택될 수 있다. 예를 들어, 낮은 도판트 밀도에 대하여, 실리콘 전구체 사이클들에 대한 도판트 전구체 사이클들의 비율은 대략 1:10일 수 있다. 더 높은 농도의 도판트에 대하여, 상기 비율은 약 1:1까지의 범위를 가지거나, 1.5:1, 2:1, 2.5:1, 3:1, 4:1 등과 같이 더 높은 범위를 가질 수 있다. 일부 실시예들에 있어서, ALD 공정에서 모든 퇴적 사이클들은 도판트 전구체 사이클들일 수 있다. 도판트를 포함하지 않는 퇴적 사이클들에 대한 도판트를 포함하는 퇴적 사이클들의 비율은 (예를 들어, 실리콘 전구체 사이클들에 대한 도판트 전구체 사이클들의 비율 또는 실리콘 전구체 사이클들에 대한 도판트 산화물 사이클들의 비율)은 제어 노브(control knob)로 지칭될 수 있다. 예를 들어, 하나의 도판트 전구체 사이클이 네 개의 모든 실리콘 전구체 사이클들 동안에 제공되면, 제어 노브는 0.25이다. 도핑되지 않은 산화물 사이클들이 이용되지 않으면, 제어 노브는 무한한 것으로 여겨질 수 있다.
실리콘 전구체 사이클에 대한 도판트 전구체 사이클의 비율을 제어함으로써, 도판트 농도는 약 0 원자의 도판트에서 약 5E22/cm3 원자의 도판트까지의 밀도 범위로부터 제어될 수 있다. 밀도는 예를 들어, SIMS(secondary-ion-probe mass spectrometry)에 의해 측정될 수 있다. B 도핑된 막 및 P 도핑된 막에 대하여, 이러한 상위 범위는 B2O3 또는 P2O5에 근접하다.
또한, 도판트 밀도는, 퇴적 공정 중에 실리콘 전구체 사이클들에 대한 도판트 전구체 사이클들의 비율을 변경함으로써 막의 두께에 걸쳐 변할 수 있다. 예를 들어, 고밀도의 도판트는 (BSG 또는 PSG 표면과 같은 도핑된 실리콘 산화물 표면의 바닥에 상응하는) Si 표면 근처와 같은 기판 표면 근처에 제공될 수 있고(도판트 전구체 사이클에 대한 실리콘 전구체 사이클들의 낮은 비율), 기판에서 떨어진 상부면에서 도판트의 밀도는 낮을 수 있다(도판트 전구체 사이클들에 대한 실리콘 전구체 사이클들의 더 높은 비율). 다른 실시예들에 있어서, 고 밀도의 도판트는 상부면에 제공될 수 있고, 기판 표면 근처에서는 저 밀도이다.
일부 실시예들에 있어서, 도핑된 실리콘 산화물 층은 실리콘 산화물 퇴적 공정에서 특정한 간격으로 도판트 전구체 사이클을 제공함으로써 형성된다. 상기 간격은 예를 들어, 사이클의 수 또는 두께를 기초로 할 수 있다. 예를 들어, 하나 또는 그 이상의 도판트 전구체 퇴적 사이클들은 매 10, 20, 50, 100, 200, 500 등의 도핑되지 않은 실리콘 산화물 퇴적 사이클들 이후와 같이, 소정의 수의 실리콘 전구체 퇴적 사이클들의 각 세트 이후에 제공될 수 있다. 일부 실시예들에 있어서, 도핑되지 않은 실리콘 산화물 퇴적 사이클들은 소정의 두께의 실리콘 산화물 층이 도달될 때까지 반복될 수 있고, 이어서 이 시점에 하나 또는 그 이상의 도판트 전구체 사이클들이 수행된다. 이러한 공정은 반복되고, 이에 따라 도판트는 특정 두께 간격으로 막에 일체화된다(incorporated). 예를 들어, 하나 또는 그 이상의 도판트 전구체 사이클들이 증착된 도핑되지 않은 SiO2의 각 5nm 후에 제공될 수 있다. 이어서, 이러한 공정은 바람직한 두께 및 조성의 도핑된 실리콘 산화물 박막이 퇴적될 때까지 반복된다.
일부 실시예들에 있어서, 도핑된 실리콘 산화물 막들을 생성하기 위한 ALD 공정에서, 하나 또는 그 이상의 "도판트 산화물" 퇴적 사이클들이 도핑되지 않은 실리콘 산화물 퇴적 사이클들과 함께 제공된다. 또한, 이러한 공정은 하나 또는 그 이상의 도핑된 실리콘 산화물 퇴적 사이클들을 포함할 수 있다.
"도판트 산화물" 퇴적 사이클들에서, 실리콘 전구체는 상술된, 도핑된 실리콘 산화물 퇴적 사이클들로부터 생략된다. 그러므로, 기판은 도판트 전구체 및 산화제(oxidant), 예를 들어, 산소 플라즈마의 교번적이고 연속적인 펄스들에 노출된다. 일부 실시예들에서, 다른 반응성 산소 소스들이 이용될 수 있다. 일부 실시예들에서, 도핑된 실리콘 산화물 막이 복수의 도판트 산화물 퇴적 사이클들 및 복수의 실리콘 산화물 퇴적 사이클들을 수행함으로써 제공된다. 실리콘 전구체 사이클에 대한 도판트 산화물 사이클들의 비율은 최종 도핑된 실리콘 산화물 막에서 도판트 농도를 제어하기 위하여 선택될 수 있다. 예를 들어, 낮은 도판트 밀도에 대하여, 실리콘 전구체 사이클에 대한 도판트 산화물 사이클들의 비율은 대략 1:10일 수 있다. 다른 실시예들에 있어서, 높은 도판트 밀도는 실리콘 전구체 사이클에 대한 도판트 산화물 사이클들의 비율을 1:1까지 또는 1.5:1, 2:1, 2.5:1, 3:1, 4:1 등과 같이 훨씬 더 높게 증가시킴으로써 획득된다. 예를 들어, 높은 도판트 밀도에 대하여, 예를 들어, 높은 B 밀도에 대하여, 실리콘 전구체 사이클에 대한 도판트 산화물 사이클들의 비율은 대략 6:1 또는 심지어 10:1일 수 있다.
또한, 여기서, 퇴적 공정 중에 실리콘 산화물 사이클들에 대한 도판트 산화물 사이클들의 비율을 변경함으로써 막의 두께에 걸쳐서 밀도가 변할 수 있다. 예를 들어, 고 밀도의 도판트는 도판트 산화물 사이클들에 대한 실리콘 산화물 사이클들의 낮은 비율을 이용함으로써 기판 표면 근처에 제공될 수 있고, 상부면에서 도판트의 밀도는 도판트 산화물 사이클들에 대한 실리콘 산화물 사이클들의 높은 비율을 제공함으로써 낮아질 수 있다.
상술된 바와 같이, 다른 실시예들에 있어서, 도핑된 실리콘 산화물이 아닌 도판트 막은 ALD 공정에 의해 퇴적된다. 예를 들어, 상기 막은 PN 막, BN 막, BC 막 또는 PC 막일 수 있다. 일부 실시예들에 따르면, 도판트 박막은 복수의 퇴적 사이클들을 포함하는, PEALD 공정과 같은 ALD 타입의 공정에 의해 기판 상에 형성되고, 각 퇴적 사이클은:
기판을 증발된 도판트 전구체에 접촉시키는 단계;
여분의 도판트 전구체를 제거하는 단계; 및
기판을 반응성 종에 접촉시키고, 그렇게 함으로써, 흡착된 도판트 전구체를 도판트 막으로 변환시키는 단계를 포함한다.
도판트 전구체는 캐리어 가스의 도움으로 제공될 수 있다. 도판트 전구체는 예를 들어, TEB(triethyl boron)과 같은 보론 전구체 또는 TMPI(trimethylphosphite)와 같은 인 전구체일 수 있다. 또한, 도판트 전구체 펄스는 바람직하게는 가스 형태로 공급된다. 도판트 전구체는 노출된 표면들을 포화시키는데 충분한 농도에서 상기 종을 워크피스에 전달하기 위한 공정 조건들 하에서 상기 종이 충분한 증기압을 나타내는 경우 본 설명을 위하여 "휘발성"으로 여겨진다.
일부 실시예들에 있어서, 도판트 전구체 펄스는 약 0.05 내지 약 5.0초, 0.1 내지 약 3.0초 또는 0.2 내지 약 1.0초이다.
분자층이 이용 가능한 결합 부위들에서 기판 표면 상에 흡착되기 위한 충분한 시간 이후에, 이어서 여분의 도판트 전구체가 반응 공간으로부터 제거된다. 일부 실시예들에 있어서, 만약에 여분의 반응물들 및 반응물 부산물들이 있다면 이들이 반응 공간으로부터 이들을 확산 또는 퍼지하는데 충분한 시간 동안 캐리어 가스를 계속하여 공급하면서 도판트 전구체의 공급은 중단된다. 일부 실시예들에 있어서, 상기 퍼지 가스는 ALD 공정 내내 계속하여 공급된다. 도판트 전구체의 제공 및 제거는 상술된 바와 같이, ALD 사이클의 도판트 단계로 간주될 수 있다.
일부 실시예들에 있어서, 도판트 전구체는 약 0.1 내지 약 10.0초, 0.3 내지 약 5.0초 또는 0.3 내지 1.0초 동안 퍼지된다.
도판트 전구체 펄스의 유속 및 유동 시간, 뿐만 아니라 도판트 단계의 퍼지 단계는 도판트 막의 바람직한 도판트 농도 및 깊이 프로파일을 획득하기 위하여 조절 가능하다. 비록 도판트 전구체의 기판 표면 상으로의 흡착이 자기 제한적이지만, 제한된 수의 결합 부위들로 인하여, 펄싱 파라미터들은, 하나 또는 그 이상의 사이클들에서 단일 층의 도판트보다 적게 흡착되도록 조정될 수 있다.
제2 단계에서, 플라즈마와 같은 반응성 종이 워크피스에 제공된다. 플라즈마는, 예를 들어, 질소, 아르곤 또는 헬륨 플라즈마일 수 있다. 플라즈마는 본 명세서의 다른 곳에서 설명된 바와 같이 생성될 수 있고, 원격으로 또는 제자리에서 생성될 수 있다. 일부 실시예들에 있어서, 반응성 종은 하나 또는 그 이상의 종을 도판트 막으로 기여할 수 있다. 예를 들어, 질소는 질소를 포함하는 반응 종에 의해 기여될 수 있다.
일반적으로, 반응성 종은 약 0.1 내지 약 10초 동안 제공된다. 그러나, 반응기 타입, 기판 타입 및 그 표면적에 따라, 펄싱 시간은 10초보다 훨씬 클 수 있다. 일부 실시예들에 있어서, 펄싱 시간은 대략 몇 분일 수 있다. 최적 펄싱 시간은 구체적인 환경들을 기초로 하여 통상의 기술자에 의해 쉽게 결정될 수 있다.
이전에 흡착된 분자층을 반응성 종으로 완전히 포화시키거나 반응시키기 위한 충분한 시간 주기 이후에, 임의의 여분의 반응성 종 및 반응 부산물들이 반응 공간으로부터 제거된다. 이러한 단계는 반응성 종의 생성을 중단하는 단계, 및 여분의 반응성 종 및 휘발성 반응 부산물들이 반응 공간으로부터 확산되고 퍼지되는데 충분한 시간 주기 동안, 그로부터 반응성 종이 생성되는 가스 또는 캐리어 가스를 계속하여 공급하는 단계를 포함한다. 다른 실시예들에 있어서, 개별적인 퍼지 가스가 사용될 수 있다. 일부 실시예들에 있어서, 퍼지는 약 0.1 내지 약 10초, 약 0.1 내지 약 4초 또는 약 0.1 내지 약 0.5초일 수 있다. 이와 함께, 반응성 종의 제공 및 제거는 도판트 막 원자 층 증착 사이클에서 제2 단계를 나타내고, 또한 반응성 종 단계로 간주될 수 있다.
두 단계들은 함께 하나의 ALD 사이클을 나타내고, 이것은 바람직한 두께의 도판트 박막들을 형성하기 위하여 반복된다. 바람직한 조성을 획득하기 위하여, 추가적인 반응물들 및/또는 추가적인 단계들이 부가될 수 있다. 본 명세서에서 ALD 사이클은 일반적으로 도판트 단계로 시작되는 것으로 언급되지만, 다른 실시예들에서 상기 사이클은 반응성 종 단계로 시작될 수 있다는 점이 고려된다. 본 기술 분야에서 통상의 기술자는 제1 전구체 단계는 일반적으로 이전 사이클의 최종 단계에 의해 남겨진 종결과 반응한다는 점을 인식할 것이다. 그러므로, 반응성 종 단계가 제1 ALD 사이클의 제1 단계인 경우, 반응물이 기판 표면 상에 미리 흡착되지 않거나 반응 공간에 존재하지 않지만, 이어지는 사이클들에서, 도판트 단계 후에 반응성 종 단계가 효율적으로 수행될 것이다. 일부 실시예들에 있어서, 하나 또는 그 이상의 서로 다른 ALD 사이클들은 퇴적 공정에서 제공된다.
퇴적 온도는 반응물들의 열 분해(thermal decomposition) 온도 아래로, 그러나, 반응물들의 압축(condensation)을 피하고 바람직한 표면 반응들을 위한 활성화 에너지를 제공하기 위하여 충분히 높은 레벨로 유지된다. 물론, 임의의 주어진 ALD 반응에 대하여 적절한 온도 윈도우(window)는 표면 종결 및 포함된 반응성 종에 따를 것이다. 여기서, 상기 온도는 바람직하게는 약 400 ℃ 또는 그 이하이다. 일부 실시예들에 있어서, 상기 퇴적 온도는 약 20 내지 약 400 ℃, 약 50 내지 약 400 ℃ 또는 약 100 내지 약 400 ℃이다.
퇴적 공정들은 넓은 범위의 압력 조건들에서 수행될 수 있으나, 감소된 압력에서 상기 공정을 동작하는 것이 바람직하다. 반응 챔버에서의 압력은 일반적으로 약 0.1 Pa 내지 약 50000 Pa 또는 그 이상이다. 그러나, 일부 경우들에 있어서, 상기 압력은 이러한 범위보다 높거나 낮을 것이고, 이러한 점은 통상의 기술자에 의해 쉽게 결정될 수 있다. 단일 웨이퍼 반응기에서 압력은 바람직하게는 약 50 Pa 내지 1000 Pa 사이로, 바람직하게는 약 100 Pa 내지 600 Pa 사이로, 더욱 바람직하게는 약 150 Pa 내지 500 Pa 사이로 유지된다. 일부 실시예들에 있어서, 배치 ALD 반응기에서의 압력은 바람직하게는 약 0.1 Pa 내지 70 Pa, 더욱 바람직하게는 약 4 Pa 내지 약 25 Pa 사이로 유지된다.
반응물 소스 온도, 예를 들어, 실리콘 소스 온도는 바람직하게는 퇴적 온도 또는 기판 온도 아래로 설정된다. 이것은 소스 화학 증기(source chemical vapor)의 부분압이 기판 온도에서 압축 한계를 초과할 경우, 박막의 제어된 층별 성장(layer-by-layer growth)이 절충된다는 사실을 기초로 한다.
일부 실시예들에 있어서, 실리콘 소스 온도는 약 20 내지 약 150 ℃ 이다. 일부 실시예들에 있어서, 실리콘 소스 온도는 퇴적 중에 약 60 ℃ 보다 높다. 예를 들어, 일부 단일 웨이퍼 공정들에서 실리콘 소스는 약 상온 내지 약 100 ℃ 사이일 수 있다. 도판트 전구체 소스는 대략 동일한 온도에 있을 수 있다. 일부 실시예들에 있어서, 예를 들어, 배치 ALD에서 더 많은 도즈(doses)가 요구되면, 실리콘 소스 온도는 약 90 ℃ 내지 약 200 ℃, 바람직하게는 약 130 ℃ 내지 약 170 ℃이다.
일부 실시예들에 있어서, 도핑된 실리콘 산화물을 포함하는 박막들과 같은 박막들의 성장 속도는 바람직하게는 약 0.8 내지 2.0 Å/cycle 이다. 다른 실시예들에 있어서, 상기 성장 속도는 약 1.0 내지 약 1.5 Å/cycle 이다.
일부 실시예들에 있어서, 도핑된 실리콘 산화물을 포함하는 퇴적된 박막들은 (633 nm의 파장으로 측정되는 경우) 약 1.6 내지 약 1.9의 굴절율을 가진다. 일부 실시예들에 있어서, BSG 또는 PSG의 굴절율은 633 nm에서 측정되는 경우 약 1.48이다.
일부 실시예들에 있어서, 도핑된 실리콘 산화물 박막들과 같은 퇴적된 박막들은 3차원 구조 상에 퇴적되고, 약 80 % 보다 큰, 약 90 %보다 큰, 약 95 %보다 큰 스텝 커버리지 또는 약 100 %의 스텝 커버리지를 가진다.
일부 실시예들에서, 실리콘 산화물을 포함하는 막들과 같은 퇴적된 막들은 80 % 이상, 다른 실시예들에서는 바람직하게는 90 % 이상, 그리고, 다른 실시예들에서는 바람직하게는 95 % 이상의 스텝 커버리지를 가진다.
일부 실시예들에 있어서, 도핑된 실리콘 산화물 박막들과 같은 박막들은 5 nm 또는 그 이하, 또는 10 nm 또는 그 이하의 두께로 퇴적된다. 그러나, 일부 상황들에서, 예를 들어, 10 nm 또는 그 이상, 30 nm 또는 그 이상, 50 nm 또는 그 이상, 또는 심지어 100 nm 또는 그 이상과 같이 큰 두께를 가지는 도판트 박막들이, 예를 들어, 도핑된 실리콘 산화물 막들이 퇴적될 수 있다. 특정한 두께는 구체적인 환경들을 기초로 하여 통상의 기술자에 의해 선택될 수 있다.
소스 물질들
일반적으로, 소스 물질들(예를 들어, 실리콘 소스 물질들 및 도판트 소스 물질들)은 바람직하게는, ALD에 의한 퇴적에 영향을 미치기 위한 화합물들의 충분한 반응성, 기판 온도에서 충분한 열적 안정성, 그리고, 충분한 증기압을 제공하기 위하여 선택된다. 일반적으로 "충분한 증기압"은, 바람직한 속도로 표면에서 자기 포화 반응들을 보장하기 위하여 충분한 소스 화학 분자들을 기상(gas phase)으로 기판 표면에 제공한다. 일반적으로 "충분한 열적 안정성"은, 소스 화학물질(chemical) 그 자체가 열 분해를 통해, 표면 상에 성장 방해 응결 상들(growth-disturbing condensable phases) 형성하지 않거나 또는 기판 표면 상에 유해한 수준의 불순물들을 남기지 않는다는 것을 의미한다. 다시 말해, 온도들은 선택된 반응물 증기들의 응결 한계(condensation limits)보다는 높게, 그리고 열 분해 한계보다는 낮게 유지된다. 하나의 목적은 기판 상에서 분자들의 제어되지 않은 응결을 피하는 것이다. 일반적으로 "충분한 반응성"은, 상업적으로 수용 가능한 처리량 시간(throughput time)을 감안하여 충분히 짧은 펄스에서 자기 포화를 가능하게 한다. 또 다른 선택 기준은 고 순도에서 화학물질의 이용 가능성 및 각 화학물질의 핸들링을 포함한다.
일부 실시예들에 있어서, 실리콘 전구체는 아미노실란(aminosilane) 또는 아민실란(aminesilane)이다.
일부 실시예들에 있어서, 실리콘 전구체는 실리콘이 하나의 질소 원자 및 세 개의 수소 원자들에 본딩되는 아미노실란을 포함한다. 예를 들어, 실리콘 전구체는 디알킬아민실란(dialkylaminesilane), (R2N)Si-H3을 포함할 수 있다.
일부 실시예들에 있어서, 실리콘 전구체는 실리콘이 두 개의 질소 원자들 및 두 개의 수소 원자들에 본딩되는 실리콘 아민(silicon amine)을 포함한다. 예를 들어, 실리콘 전구체는 비스(디알킬아민)실란(bis(dialkylamine)silane), (R2N)2Si-H2을 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 전구체는 BDEAS(=bis(diethylamino)silane)를 포함한다.
일부 실시예들에 있어서, 실리콘 전구체는 실리콘이 세 개의 질소 원자들 및 하나의 수소 원자에 본딩되는 실리콘 아민을 포함한다. 예를 들어, 실리콘 전구체는 트리스(디알킬아민)실란(tris(dialkylamine)silane), (R2N)3Si-H1을 포함할 수 있다.
일부 실시예들에 있어서, 실리콘 전구체는 실리콘이 네 개의 질소 원자들에 본딩되는 실리콘 아민을 포함한다. 예를 들어, 실리콘 전구체는 테트라키스(디알킬아민)실란(tetrakis(dialkylamine)silane), (R2N)4Si 을 포함할 수 있다.
일부 실시예에서는, 실리콘에 (하나 또는 그 이상의 실리콘 원자들에) 직접 부착되거나 실리콘에 부착된 탄소 체인(carbon chain)에 부착되는 NHx 그룹 및 Si-Si 본드를 가지는 유기 화합물들이 이용된다. 일부 실시예들에 있어서, 실리콘 전구체는 아미노디실란(aminodisilane), 예를 들어, 헥사키스(에틸아미노)디실란(hexakis(ethylamino)disilane)을 포함할 수 있다. 일부 실시예들에 있어서, 실리콘 화합물은 아래의 화학식을 가질 수 있다:
RIII 3 -x(RIIRIN)xSi-Si(N-RIRII)yRIII 3-y
여기서, x는 1 내지 3에서 선택되고;
y는 1 내지 3에서 선택되며;
RI은 수소, 알킬, 및 치환된 알킬(substituted alkyl)을 포함하는 그룹에서 선택되고;
RII는 알킬 및 치환된 알킬을 포함하는 그룹에서 선택되며; 그리고
RIII은 수소, 수산화물(hydroxide)(-OH), 아미노(amino)(-NH2), 알콕시(alkoxy), 알킬 및 치환된 알킬을 포함하는 그룹에서 선택되고;
여기서, x, y, RIII, RII, RI 각각은 서로 독립적으로 선택될 수 있다.
일부 실시예들에 있어서, 실리콘 화합물은 헥사키스(모노알킬아미노)디실란(hexakis(monoalkylamino)disilane):
(RII-NH)3Si-Si(NH-RII)3이다.
다른 실시예들에 있어서, 실리콘 화합물은 (CH3-O)3Si-Si(O-CH3)3 이다.
일부 실시예들에 있어서, 도판트 전구체는 보론 화합물이다. 예시적인 보론 화합물들은 B(OR)3와 같은 보론 알콕사이드(alkoxide) 화합물들 및 BR3와 같은 알킬보론 화합물들을 포함한다. 일부 실시예들에 있어서, 도판트 전구체는 트리메틸보론(trimethylboron)(B(CH3)3) 또는 트리에틸보론(triethylboron)(B(C2H5)3)이다.
일부 실시예들에 있어서, 도판트 전구체는 인 화합물이다. 예시적인 인 화합물들은 P(OR)3와 같은 인 알콕사이드들(phosphorous alkoxides) 및 PR3와 같은 알킬인(alkylphosphorous) 화합물들을 포함한다. 일부 실시예들에 있어서, 도판트 전구체는 트리메틸보론(trimethylboron: P(CH3)3)이다. 일부 실시예들에 있어서, PH3가 이용될 수 있다.
일부 실시예들에 있어서, 도판트 전구체는 비소 화합물이다. 다른 실시예들에 있어서, 도판트 전구체는 탄소 화합물이다. 예시적인 비소 화합물들은 AsH3 및 알킬비소 화합물들, 예를 들어, As2(CH3)4을 포함한다. 예시적인 탄소 화합물들은 알코올들(alcohols)(CxHyOH) 및 탄화수소들(hydrocarbons)(CxHy)을 포함한다.
상술된 바와 같이, 일부 실시예들에 있어서, 산소 플라즈마는 반응성 산소 소스로 이용된다. 산소 플라즈마는 반응 챔버에서, 예를 들어, 반응 챔버 내로 공급되는 O2로부터 생성될 수 있다. 일부 실시예들에 있어서, 산소 플라즈마는 기판 근처에서, 예를 들어, 기판 위에서 생성된다. 일부 실시예들에 있어서, 산소 플라즈마는 기판 근처의 외부에서 생성된다. 예를 들어, 산소 플라즈마는 반응 챔버의 외부에서 원격으로 생성될 수 있다.
SSD 층 구조들
일부 실시예들에 있어서, 본 명세서에 개시된 방법들에 의해 퇴적된 도판트 막들은 고상 도핑(solid state doping, SSD) 층 스택들(stacks)에서 이용될 수 있다. 도 9는 핀펫 소자 제조를 위한 SSD 층 스택의 일 예를 도시한다. Si 핀은 일반적으로 Si 웨이퍼로부터 제조된다. Si 핀 구조를 가지는 웨이퍼는, SSD 층을 퇴적하기 위하여 제1 ALD 공정이 이용되는 ALD 공정 모듈에 전달된다. 이어서 도 9에 예시된 바와 같이, 캡 층을 퇴적하기 위하여 제2 퇴적 공정이 이용될 수 있다. 일부 실시예들에 있어서, 제1 및 제2 공정들은 에어 브레이크(air break) 없이 수행되는데, 다시 말해, SSD 층과 캡 층의 제자리에서의(in-situ) 연속적인 퇴적이 수행된다.
일부 실시예들에 있어서, Si 핀으로의 도핑 효율성을 향상시키기 위하여, SSD 층의 퇴적 이전에 기판의 인시츄(in-situ) 플라즈마 전처리가 수행된다. 도 10은 SIMS에 의해 측정된 경우, Si로의 P 주입에 대한 H2 플라즈마 전처리의 효과를 도시한다. 원래의 SSD 층은 PEALD에 의해 퇴적되고, 7 wt%의 P 농도(2.8E+21at/cm3)를 가지는 5 nm 두께의 PSG 층이었다. 캡 층은 PEALD에 의해 퇴적된 5 nm 두께의 SiO 층이었다. RTA(rapid thermal anneal) 조건은 N2 분위기의 1000deg-C에서 4초였다. H2 플라즈마 전처리 샘플을 가진 PSG는 높은 P 주입 레벨 및 얕은 확산 깊이를 보여주었다. H2 플라즈마 전처리는 일부 튜닝(tuning) 공간에 핀펫 소자 디자인을 제공할 수 있다. 상기 전처리는 H2 플라즈마에만 한정되지 않는다. 일부 실시예들에 있어서, 전처리 플라즈마는 Ar, He, H2, 플루오린(Fluorine) 함유 가스 및 그들의 혼합 가스 플라즈마로부터 선택될 수 있다.
도 9에 예시되고, 상술된 바와 같이, 일부 실시예들에 있어서, 캡 층은 도판트 층 위에 퇴적된다. 일부 실시예들에 있어서, 캡 층은 도판트 층의 바로 위에 있고, 도판트 층에 접촉한다. 캡 층은, 예를 들어, SiO 또는 SiN을 포함할 수 있다. 일부 실시예들에 있어서, 캡 층은 13족, 14족 또는 15족 원소의 산화물 또는 질화물을 포함한다. 도 11a는 BSG SSD 층의 B 노화에 대한 인시츄 캡 효과를 예시한다. 높은 도판트 레벨의 BSG에서 B의 농도는 공기에 노출된 경우 시간에 따라 감소한다는 점은 공지되었다. 도 11a에서 세로축은 FTIR B-O 피크 영역으로부터 계산된, BSG SSD 층에서 상대적인 B의 농도를 나타낸다. 이러한 테스트를 위하여, BSG SSD 층은 CK=10을 이용하여 퇴적되었고, ~1.2E22 atoms/cm3의 B 농도를 가졌다. B의 농도는 이미 퇴적된(as-deposited) BSG 샘플의 B-O 피크 영역에 의해 정규화되었다(normalized). 캡이 없는 BSG 샘플들과 소프트 캡(여기서, 낮은 파워; 50 W를 이용하여 퇴적된 5 nm 두께를 가지는 PEALD SiO 캡 층)을 가진 BSG 샘플들에서B의 농도는, 공기에 노출된 경우 퇴적 이후에 즉시 감소되었다. 한편, 로버스트(robust) 캡(여기서, 높은 파워; 500 W를 이용하여 퇴적된 5 nm 두께를 가진 PEALD SiO 캡 층)을 가진 BSG 샘플들은 B의 초기 농도의 80 %보다 크게 유지되었다. 도 11b는 도판트 깊이에 대한 캡의 효과를 예시한다.
상기 캡은 SiO 막들에만 한정되지 않으며, 다른 막들, 예를 들어, SiN, SiON, P(B)N, P(B)ON 등일 수 있고, 그들의 스택은 예를 들어, PN(또는 BN) 그리고 SiN(또는 SiO) 스택 캡을 가지는 SSD 막으로 여겨질 수 있다.
SiO 이외의 물질의 캡 층의 일 예가 도 8에 예시된다. 도 8은 4 nm의 SiN이 심지어 공기 중에 12시간 노출된 이후의 하부 층 BO의 B-O 피크를 유지하는데 충분하다는 점을 도시하는 FT-IR 그래프이다. 막 두께는 SSD 층에서 B의 농도를 유지하기 위한 하나의 중요한 파라미터이다. 도 8에서, 4 nm 막은 B의 농도를 유지하는데 충분하지만, 2 nm 막은 B의 농도를 유지하지 못했다. 그러므로, 캡 층을 위한 막 두께는 부분적으로, 캡 막 품질의 관점에서 선택될 수 있다. 도 11a에 예시된 바와 같이, 막 품질은 캡의 능력(capability)에 영향을 미칠 수 있다. 더 얇은 막 두께, 예를 들어, 1 nm는 SSD 층에서 B의 농도를 유지하기 위하여 우수한 품질의 막을 가지고 이용될 수 있다. 일부 실시예들에 있어서, 캡 층은 약 1 내지 약 10 nm이고, 약 4 nm 또는 그 이상일 수 있다.
SSD 층에서 도판트의 깊이 프로파일은 핀펫 소자 디자인을 기초로 하여 선택될 수 있다. 예를 들어, SSD 막에서 균일한(uniform) 농도는 도 12a에 도시되고, SSD 막에서 조절된(modulated) 농도는 도 12b에 도시되며, 단일층(하나의 펄스 화학물질 흡착)은 도 12c에 도시된다. 도 12a에 도시된 균일한 깊이 프로파일을 가지는 PSG 층은 아래의 표 5의 반응 조건들을 이용하여 퇴적되었다. 도 12b에 "조절 레시피(modulation recipe)"로 도시된 BSG 막을 위한 조절된 깊이 프로파일은 아래의 표 4의 조건 및 도 18의 펄스 간격을 이용하여 퇴적되었다. (Si 기판과 SSD 막 사이의 경계면 근처의) B의 초기 농도는 (Si 기판에서 떨어진) SSD 막 표면에서 B의 농도보다 높다. 이러한 막은 Si 기판으로의 얕은 확산 및 고 농도를 실현시키는 데에 효율적이다. 이러한 프로파일은 소자 디자인의 필요조건들을 고려한 간격 및 도판트 전구체 펄스 비율을 조정함으로써 쉽게 조절된다.
일부 실시예들에 있어서, 하나의 도판트 단일층이 퇴적된다. 도 12c에 이러한 단일층에 대한 깊이 프로파일의 일 예가 도시된다. 여기서, 단일층은 아래의 표 3의 조건 1을 이용하여 퇴적되었다. 하나의 도판트 단일층은 이것이 소자 디자인에 의해 나타난 경우, Si 기판으로 아주 얕은 확산을 제공하는데 효과적이다. 도판트 전구체는 Si 표면 상에 화학 흡착된(chemisorbed) 도판트 단일층을 형성하기 위하여 오직 한 번의 전처리 이후에 공정 모듈로 공급된다. SSD 단일층은 예를 들어, PEALD SiO로 캡핑된다.
버퍼 층 구조
일부 실시예들에 있어서, 도판트 박막, 예를 들어, 도핑된 실리콘 이산화물(dioxide)은 도판트 산화물 층의 어닐링에 의해 실리콘 기판의 도핑 중에 버퍼 층(buffer layer)으로 이용될 수 있다. 예를 들어, 도핑된 실리콘 이산화물 버퍼 층은 도판트 층, 예를 들어, 도판트 산화물 층과 캡 층, 예를 들어, SiN 캡 층의 사이에서 이용될 수 있다. 버퍼 층은 하부의 도판트 층에서 버퍼 층 위에 놓인 구조들 내로 도판트가 확산되는 것을 감소시키거나 예방할 수 있다. 그러므로, 도판트는 어닐링 중에 하부의 실리콘 기판 내로 향한다. 이것은, 예를 들어, 어닐링 조건들을 수정하지 않고 도판트 밀도를 증가시키는 데에 이용될 수 있다. 일부 실시예들에 있어서, 도핑된 실리콘 산화물 버퍼 층 구조는 실리콘 기판 상에, 예를 들어, 핀펫 소자 상에 형성된다. 비록 도핑된 실리콘 산화물 버퍼 층이라는 용어로 주로 설명되었으나, 본 명세서에 기재된 다른 도판트 층들도 이용될 수 있으며 퇴적될 수 있다.
예시적인 보론 도핑된 실리콘 산화물 버퍼 층 구조가 도 13에 도시된다. 예시적인 인 도핑된 실리콘 산화물 버퍼 층 구조가 도 14에 도시된다. 아래에 기재되는 바와 같이, 상기 구조는 H2 플라즈마 처리된 실리콘 기판, 어닐링 중에 실리콘 층으로 주입되는 도판트 소스의 역할을 하는 상부(overlying) 도판트 산화물 층, 도판트가 상부 구조들로 확산되는 것을 감소시키거나 예방하기 위한 도핑된 실리콘 산화물 버퍼 층, 및 습기가 도판트 산화물과 상호 작용하는 것을 감소시키거나 예방할 수 있는 캡 층을 포함하고, 상기 캡 층은 하이그로스코픽(hygroscopic)일 수 있다.
일부 실시예들에 있어서, 도 13 및 도 14에 예시된 것들과 같은 구조가 형성된다. 첫 번째로, 실리콘 기판은 플라즈마, 예를 들어, H2 플라즈마로 처리된다. 플라즈마 처리는 표면 거칠기(roughness)를 생성할 수 있고, 자연 산화물(native oxide)를 제거한다. 이것은 실리콘 기판 내로 도판트 침투를 가능하게 할 수 있다. H2 플라즈마로 처리된 실리콘 표면이 도 7에 도시된다. 상술된 바와 같이, 일부 실시예들에서, 플라즈마 처리 및 이어지는 퇴적 공정들은 제자리에서 수행될 수 있다.
두 번째로, 도판트 산화물 층이 상기 처리된 기판의 바로 위에 퇴적되고, 처리된 기판에 접촉한다. 도 13 및 도 14에 도시된 예들에서, B2O3 층 및 P2O5 층이 각각 이용된다. 도판트 산화물 층은 상부 도핑된 실리콘 이산화물 층보다 더 많은 도판트(예를 들어, P 또는 B)를 포함한다. 도판트 산화물 층은 ALD에 의해, 예를 들어, 본 명세서에 기재된 복수의 도판트 산화물 퇴적 사이클들을 이용하여 퇴적될 수 있다. 그러나, 다른 실시예들에서, 열 CVD와 같은 다른 타입들의 퇴적이 이용될 수 있다. 일부 실시예들에 있어서, 도판트 산화물 층은 약 1 내지 약 10 nm의 두께, 또는 약 2 nm의 두께이다. 일부 실시예들에 있어서, 도판트 산화물 층은 도핑된 실리콘 산화물 층 및/또는 캡 층과 함께 제자리에서 퇴적될 수 있다.
세 번째로, 도핑된 실리콘 이산화물 버퍼 층과 같은 도판트 층이 상기 도핑된 산화물 층의 바로 위에 퇴적되고 도핑된 산화물 층에 접촉한다. 도 13 및 도 14에 도시된 예들에서, BSG 및 PSG가 각각 퇴적된다. 도핑된 실리콘 이산화물 층의 퇴적은 본 명세서의 다른 부분에 기재된 바와 같이 필수적일 수 있다. 일부 실시예들에 있어서, 도핑된 실리콘 산화물 층은 약 1 내지 10 nm의 두께 또는 약 3nm의 두께이다
이어서, SiN 캡 층과 같은 캡 층이 상기 버퍼 층 위에 퇴적된다. 캡 층은 도 13 및 도 14에 도시된 바와 같이, 버퍼 층 바로 위에 위치할 수 있고, 버퍼 층에 접촉될 수 있다. 구조 내의 도판트 산화물 층들은 하이그로스코픽 특성들을 가질 수 있고, 캡 층은 임의의 습기가 하부의 도핑된 실리콘 이산화물 버퍼 층 및/또는 도판트 산화물 층과 상호 작용하는 것을 감소시킬 수 있다. 상술된 바와 같이, 도 8은 하부의 B2O3 층이 습기와 반응하는 것을 예방하는 데에 4 nm의 SiN이 충분하다는 점을 보여주는 FT-IR 그래프이다. 일부 실시예들에 있어서, 캡 층은 약 1 내지 약 10 nm이고, 약 4 nm 또는 그 이상일 수 있다. 일부 실시예들에 있어서, 약 4 nm 두께의 SiN 캡 층이 이용된다.
일부 실시예들에 있어서, 예를 들어, 상술된 바와 같이, 도핑된 실리콘 이산화물 층은 도판트 소스의 역할을 한다. 예를 들어, 일부 실시예들에 있어서, 도핑된 실리콘 이산화물 층은 실리콘 기판 위에 홀로 퇴적되어 실리콘 기판 내로 도판트를 주입시키기 위하여 어닐링된다. 도핑된 실리콘 이산화물 층은 예를 들어, BSG 또는 PSG일 수 있다.
일부 실시예들에 있어서, 도판트 산화물 층(예를 들어, B2O3 또는 P2O5)는 실리콘 기판 위에 퇴적되고, 도판트 실리콘 이산화물 층(예를 들어, BSG 또는 PSG)는 하부의 실리콘 기판으로 보론을 주입하기 위해 어닐링되는 구조 및 도판트 산화물 위에 퇴적된다.
일부 실시예들에 있어서, 또 다른 캡 층, 예를 들어, SiN 캡 층은 어닐링 이전에 도판트 실리콘 이산화물 층 위에 퇴적된다.
일부 실시예들에 있어서, 실리콘 기판은 도판트 산화물 층 및/또는 도핑된 실리콘 이산화물 층의 퇴적에 앞서, 플라즈마로, 예를 들어, H2 플라즈마로 처리된다. 플라즈마 처리는 만약에 자연 산화물이 존재하는 경우 이를 제거할 수 있고, 그리고/또는 (도 7에 도시된 바와 같이) 표면 거칠기를 증가시킬 수 있으며, 그리하여, 도판트를 실리콘 기판으로 주입하는 것을 용이하게 한다.
도 15는 이어지는 단지 실리콘 기판 위의 BSG 층의 어닐링, H2 플라즈마 표면 처리된 실리콘 기판 위의 BSG 층의 어닐링, 및 도 13에 도시된 구조(H2 플라즈마 표면 처리된 실리콘 기판 위에 퇴적된 B2O3 층 및 상기 B2O3 층 위의BSG 버퍼 층)의 어닐링에 따른 도판트 밀도(여기서, 보론)의 차이를 나타낸다.
이어지는 비제한적인 예들은 특정 실시예들을 나타낸다.
예 1
BSG가 실리콘 웨이퍼 상에 PEALD 및 PECVD에 의해 퇴적되었다. 실리콘 소스는 BDEAS이고, 보론 소스는 트리메틸 보레이트(trimethyl borate) 또는 트리에틸 보레이트(triethyl borate)이다. 도 4 및 아래의 표 1에 예시된 바와 같이, PEALD BSG는 우수한 스텝 커버리지를 나타낸다. PEALD 및 PECVD BSG의 FT-IR 스펙트럼이 도 5에 도시된다.
도판트 밀도는 SIMS로 측정되었고, 도판트 밀도 균일성은 웨이퍼 상의 9개의 지점들에서 측정되었다.
PEALD에 의해 퇴적된 BSG
Si 소스 보론 소스 스텝 커버리지
측면/상부면
스텝 커버리지
하부면/상부면
보론 밀도 균일성 (±%)
BDEAS 트리메틸 보레이트(Trimethyl Borate) 100 100 <10
BDEAS 트리에틸 보레이트(Triethyl Borate) 95 100 <10
예 2
PSG는 실리콘 웨이퍼 상에 PEALD 및 PECVD에 의해 퇴적되었다. 실리콘 소스는 BDEAS이고, 인 소스는 트리메틸포스파이트(trimethylphosphite)이다. 도 6에 예시되고, 아래의 표 2에 나타난 바와 같이, PEALD PSG는 우수한 스텝 커버리지를 나타낸다.
도판트 밀도는 SIMS로 측정되었고, 도판트 밀도 균일성은 웨이퍼 상의 9개의 지점들에서 측정되었다.
PEALD에 의해 퇴적된 PSG
Si 소스 인 소스 스텝 커버리지
측면/상부면
스텝 커버리지
하부면/상부면
인 밀도 균일성(±%)
BDEAS 트리메틸포스파이트(Trimethylphosphite) 100 100 < 10
예 3
BSG 또는 PSG는 PEALD에 의해, 도 16에 예시된 펄싱 시퀀스를 이용하여 퇴적된다. 예시된 바와 같이, 반응물 공급은 퇴적 공정 내내 유지된다. 상기 반응물은 예를 들어, O2일 수 있다. 첫 번째로, 실리콘 소스 가스, 예를 들어, BDEAS는 FPS에 의해 약 0.5초 동안 공급된다. 반응 챔버는 약 1초 동안, 예를 들어, 예시된 바와 같이 반응물의 공급을 계속하는 것에 의해 퍼지된다. 도판트 소스 가스, 예를 들어, 예시된 B 또는 P 소스는 예를 들어, 약 0.5초 동안 제공된다. 도판트 소스 가스는 약 1초 동안, 예를 들어, 예시된 바와 같이 반응물의 공급을 계속하는 것에 의해 퍼지된다. RF 플라즈마는 예를 들어, 약 1 초 동안 제공된다. 플라즈마는 예시된 바와 같이, 예를 들어, 약 50 W 및 약 300 Pa의 파워를 인가함으로써, 공급되는 반응물에서 생성될 수 있다. 이어서, 반응 챔버는 플라즈마를 생성하지 않고, 예를 들어, 반응물을 계속하여 공급함으로써, 다시 퍼지될 수 있다. 상기 퍼지는 예를 들어, 약 0.5 초일 수 있다. 상기 사이클은 바람직한 두께의 보론 또는 인 도핑된 실리콘 산화물 막을 퇴적하기 위하여 반복된다.
예 4
BSG는 도핑되지 않은 실리콘 산화물 퇴적 사이클들에 대한 보론 산화물 퇴적 사이클들의 다양한 비율들을 이용하여 PEALD에 의해 퇴적되었다. 일부 실시예들에 있어서, 제어 노브는 0.001에서 무한대까지의 범위를 가지고, 실리콘 산화물 사이클들은 10 내지 1000이고, 그리고, 약 0.5 nm 내지 30 nm의 두께를 가진 막들이 퇴적되었다.
간략하게, 실리콘 기판은 반응 챔버 내에 배치되었고, 산소는 공정 중에 반응 챔버를 통해 연속적으로 공급되었다. 각각의 도핑되지 않은 실리콘 산화물 퇴적 사이클에서, 실리콘 전구체인 BDEAS는 0.3초 동안 반응 챔버 내로 펄스되었다. 공급되는 산소 가스에서 산소 여기된 종과 같은 산소 반응성 종을 생성하기 위하여, 실리콘 전구체는 0.8초 동안 퍼지되었고, RF 파워는 0.4 초 동안 인가되었고, 이어서 0.1초 퍼지가 더 수행되었다. 각 보론 산화물 퇴적 사이클에서, 보론 전구체인 트리메틸 보레이트는 0.4초 동안 반응 챔버 내로 펄스되었고, 이어서 5 초 퍼지가 수행되었다. RF 파워는 0.4초 동안 인가되었고, 이어서 0.1 초 퍼지가 더 수행되었다.
세 개의 예시적인 퇴적 공정들이 아래의 표 3에 기재되었고, 이때, 제어 노브는 0.00167(1 보론 산화물 퇴적 사이클에 대한 600 실리콘 산화물 퇴적 사이클들)에서 무한대(실리콘 산화물 퇴적 사이클은 없고 1000 보론 사이클들)까지의 범위를 가진다.
SiO 사이클 B 도핑된 사이클 제어 노브 두께 전체 사이클
조건-1 600 1 0.00167 30nm 601
조건-2 10 10 1 0.5nm 20
조건-3 0 1000 무한대 5nm 1000
도 17a 내지 도 17c는 서로 다른 제어 노드 설정들(실리콘 산화물 사이클들에 대한 보론 산화물 사이클들의 비율)에서 보론의 농도를 나타낸다. 공정 1 및 공정 2(도 17a)가 표 4에 기재된다. 사이클들의 비율을 변경함으로써, BSG 층에서 서로 다른 농도의 보론이 획득될 수 있다.
공정 1 및 2에 의한 BSG 퇴적을 위한 조건들
  공정1 공정2
서셉터(susceptor) 온도 300degC 300degC
전극 간격(gap) 14.5mm 14.5mm
압력 200Pa 200Pa
RF 파워 50W 500W
산화제 가스(oxidant gas) 500sccm 500sccm
Si 전구체 캐리어 가스 2000sccm 2000sccm
도판트 전구체 캐리어 가스 2000sccm 2000sccm
Si 전구체 공급(feed) 0.3 0.3
Si 전구체 퍼지 0.8 0.8
RF ON 0.4 0.4
퍼지 이후 0.1 0.1
B 전구체 공급 0.4 0.4
B 전구체 퍼지 5.0 5.0
RF ON 0.4 0.4
포스트 퍼지(Post Purge) 0.1 0.1
일부 실험들에서, 보론 산화물 퇴적 사이클은 도 18에 도시된 바와 같이, 퇴적 공정 중에 간격을 두고 제공되었으며, 여기서, 사각형들 및 삼각형들은 도판트 사이클 제공을 나타낸다. 표준 레시피 실험들(도 18, 사각형들)에서, 도판트 사이클들은 일정한 간격을 두고 제공되었다. 구체적으로, 0.5 nm의 실리콘 산화물이 퇴적될 때까지, 도핑되지 않은 실리콘 산화물 사이클이 반복되었다. 그 다음에, 단일 보론 산화물 사이클이 수행되었다. 이어서, 모든 추가적인 1.0 nm의 실리콘 산화물 이후에 5 nm의 막이 퇴적될 때까지, 단일 보론 산화물 퇴적 사이클이 제공되었다. 퇴적 사이클들은 상술된 바와 같이 필수적이었다.
다른 실험들에서, 도 18에서 조절 레시피로 도시된 바와 같이(삼각형들), 추가적인 보론 산화물 사이클들이 퇴적 공정에서 더 일찍 제공되었다. 도 18에서 볼 수 있는 바와 같이, 첫 번째 0.5 nm의 실리콘 산화물의 퇴적 중에 세 번의 보론 산화물 퇴적 사이클들이 제공되었다. 막이 1.5 nm 및 4 nm에 도달될 때에, 추가적인 보론 산화물 퇴적 사이클들이 제공되었다. 다시, 상술된 바와 같이, 퇴적 사이클들은 필수적이었다.
도 19a 및 19b는 균일한 깊이 프로파일을 가지는 막들(도 19a) 및 상술된 표준 레시피 및 조절 레시피에 의해 퇴적된 막들(도 19b)에 대하여 도판트 농도를 깊이의 함수로써 도시한다.
예 5
PSG는 도핑되지 않은 실리콘 산화물 퇴적 사이클들에 대한 인 산화물 퇴적 사이클들의 다양한 비율들을 이용하여 PEALD에 의해 퇴적되었다. 공정 조건들이 아래의 표 5에 기재된다. 간략하게, 실리콘 기판이 반응 챔버에 배치되었고, 공정 중에 산소가 반응 챔버를 통해 연속적으로 공급되었다. 각 도핑되지 않은 실리콘 산화물 퇴적 사이클에서, 실리콘 전구체인 BDEAS는 0.3초 동안 반응 챔버 내로 펄스되었다. 공급되는 산소 가스에서 산소 반응성 종을 생성하기 위하여, 실리콘 전구체가 0.8초 동안 퍼지되었고, RF 파워는 0.4 초 동안 인가되었고, 이어서 0.1 초의 퍼지가 더 수행되었다. 각 인 산화물 퇴적 사이클에서, 인 전구체인 트리메틸포스파이트(trimethylphosphite)는 0.4초 동안 반응 챔버 내로 펄스되었고, 이어서 5초의 퍼지가 수행되었다. RF 파워는 0.4초 동안 인가되었고, 이어서 0.1 초의 퍼지가 더 수행되었다. 90개의 퇴적 사이클들의 전체는 대부분의 실험들을 위하여 이용되었고, 약 5 nm 두께의 막들을 생성하였다.
도 20a 내지 20c는 서로 다른 제어 노브 설정들(실리콘 산화물 사이클들에 대한 인 산화물 사이클들의 비율)에서 인의 농도를 나타낸다. 사이클들의 비율을 변경함으로써, PSG 층에서 서로 다른 농도의 인이 획득될 수 있다.
PSG 퇴적 조건들
   CK1
서셉터 온도 300degC
전극 간격 14.5mm
압력 200Pa
RF 파워 50W
산화제 가스 500sccm
Si 전구체 캐리어 가스 2000sccm
도판트 전구체 캐리어 가스 2000sccm
Si 전구체 공급 0.3
Si 전구체 퍼지 0.8
RF ON 0.4
포스트 퍼지 0.1
P 전구체 공급 0.3
P 전구체 퍼지 2.0
RF ON 0.4
포스트 퍼지 0.1
하나의 인 산화물 대 하나의 도핑되지 않은 실리콘 산화물 사이클들의 비율(1.00의 제어 노브)을 이용하여 형성된 PSG 막이 더욱 분석되었다. 도 19a는 인의 농도를 박막에서 깊이의 함수로 나타낸다.
예 6
PSG는 예 5의 조건들을 이용하여 PEALD에 의해 실리콘 기판 상에 퇴적되었다. 퇴적에 이어서, 막의 어닐링은 수행하지 않고, HF-dip이 PSG 층을 제거하기 위하여 이용되었다(도 21d). 인의 농도는 깊이의 함수로써 측정되었다(도 21e). 도 21e는 PSG 층의 퇴적 중에 인이 하부의 실리콘 기판으로 상당히 침투하지는 않는다는 점을 보여준다.
예 7
PSG는 아래의 표 6의 조건들을 이용하여 PEALD에 의해 실리콘 기판 상에 퇴적되었다.
PSG 퇴적 조건들
  PSG CK1
서셉터 온도 300degC
전극 간격 14.5mm
압력 200Pa
RF 파워 50W
산화제 가스 500sccm
Si 전구체 캐리어 가스 2000sccm
도판트 전구체 캐리어 가스 2000sccm
Si 전구체 공급 0.3
Si 전구체 퍼지 0.8
RF ON 0.4
포스트 퍼지 0.1
P 전구체 공급 0.3
P 전구체 퍼지 2.0
RF ON 0.4
포스트 퍼지 0.1
보론을 기판 내로 주입하기 위하여, 램프 기반(lamp based) RTA가 3초 동안 1000 ℃에서 이용되었다(도 21a). 어닐링 조건들이 아래의 표 7에 제공된다. 어닐링에 이어서, 도핑된 실리콘 산화물 층이 HF-dip에 의해 제거되었고(도 21a), 그리고 기판의 다양한 깊이에서 인의 농도가 측정되었다(도 21c). 도 21c는 어닐링에 이어, 인이 기판 내로 침투하는 것을 나타낸다.
어닐링 조건들
Mattson3000
어닐링 온도 1000degC
가스 N2
어닐링 시간 3sec
압력 1atm
전달 온도 600degC
또한, BSG 막들이 퇴적되고 어닐링 되었다. BSG 막들은 아래의 표 8의 조건들을 이용하여 퇴적되었고, 이어서 표 7의 조건들을 이용하여 어닐링되었다. 그러나, 기판은 BSG 퇴적에 앞서 H2 플라즈마로 처리되었다. H2 플라즈마 처리 조건들이 표 9에 제공된다. 도 21f는 측정된 보론의 농도를 퇴적된 막에서 깊이의 함수로써 나타내고, BSG 층의 퇴적 중에 보론이 하부의 실리콘 기판으로 상당히 침투하지 않는다는 점을 보여준다.
BSG 퇴적 조건들
  BSG CK2
서셉터 온도 300degC
전극 간격 14.5mm
압력 200Pa
RF 파워 50W
산화제 가스 500sccm
Si 전구체 캐리어 가스 2000sccm
도판트 전구체 캐리어 가스 2000sccm
Si 전구체 공급 0.3
Si 전구체 퍼지 0.8
RF ON 0.4
포스트 퍼지 0.1
B 전구체 공급 0.3
B 전구체 퍼지 5.0
RF ON 0.4
포스트 퍼지 0.1
전처리 조건들
서셉터 온도 300degC
전극 간격 14.5mm
압력 350Pa
RF 파워 400W
H2 1000sccm
Ar 1000sccm
처리 시간 30sec.
어닐링 및 HF-dip에 이어서, 보론 농도가 깊이의 함수로써 측정되었다. 그 결과들이 도 21b에 예시되고, 이것은 어닐링 이후에 보론이 기판에 침투되는 것을 보여준다.
예 8
P전구체로 TMPI를, 그리고 반응성 종으로 N 플라즈마를 이용하여, PEALD에 의해 실리콘 기판 상에 PN이 퇴적되었다. 퇴적 조건들이 아래의 표 10에 제공된다. 도 22에 도시된 바와 같이, 플라즈마를 생성하기 위하여, 질소는 일정하게 공급되고, RF 파워는 간헐적으로 제공되었다. 0.015 nm/cycle의 성장 속도가 관찰되었고, PN 막은 약 5%의 균일도 및 약 1.66의 굴절율을 가진다. 일부 실험들에서, SiN 캡 층은 PEALD PN 층 상에 퇴적되었다. 도 23은 SIMS로 측정된 경우, 퇴적된 막 스택의 깊이에 대한 P의 농도를 나타낸다. 도 24는 SIMS로 측정된 경우, 어닐링 이후에, Si 기판에서 P의 농도를 나타낸다.
서셉터 온도 200degC
전극 간격 7.5mm
압력 400Pa
RF 파워 800W
N2 공급 2000sccm
TMPI 캐리어 Ar 공급 2000sccm
P 전구체 공급 0.3sec
P 전구체 퍼지 1.0sec
RF ON 0.2sec
포스트 퍼지 0.1 sec

Claims (35)

  1. 원자층 증착(atomic layer deposition, ALD) 공정에 의해, 반응 챔버(reaction chamber) 내의 기판 상에 도핑된(doped) 실리콘 산화물을 퇴적하는 방법으로서, 상기 ALD 공정은 적어도 하나의 도핑된 실리콘 산화물 퇴적 사이클(cycle)을 포함하고, 도핑된 실리콘 산화물 퇴적 사이클은:
    상기 반응 챔버 내에 실리콘 전구체의 펄스(pulse)를 제공하는 단계;
    상기 반응 챔버 내에 도판트(dopant) 전구체의 펄스를 제공하는 단계;
    상기 반응 챔버로부터 여분의 실리콘 및 도판트 전구체들을 제거하는 단계; 및
    도핑된 실리콘 산화물이 형성되도록, 상기 기판을 반응성 종(reactive species)에 접촉시키는 단계를 포함하는 방법.
  2. 제1항에 있어서,
    상기 ALD 공정은 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition, PEALD) 공정인 것을 특징으로 하는 방법.
  3. 제2항에 있어서,
    상기 반응성 종은 산소를 포함하는 것을 특징으로 하는 방법.
  4. 제2항에 있어서,
    산소는 상기 PEALD 공정 중에 상기 반응 챔버에 계속해서 공급되는 것을 특징으로 하는 방법.
  5. 제1항에 있어서,
    상기 반응성 종은 오존(ozone)인 것을 특징으로 하는 방법.
  6. 제1항에 있어서,
    상기 실리콘 전구체의 펄스 및 상기 도판트 전구체의 펄스는 적어도 부분적으로 오버랩되는(overlap) 것을 특징으로 하는 방법.
  7. 제1항에 있어서,
    상기 실리콘 전구체 및 상기 도판트 전구체는 상기 반응 챔버에 동시에 제공되는 것을 특징으로 하는 방법.
  8. 제1항에 있어서,
    상기 반응성 종은 질소의 여기된(excited) 종을 포함하는 것을 특징으로 하는 방법.
  9. 제1항에 있어서,
    산소는 상기 실리콘 전구체 펄스 및 상기 도판트 전구체 펄스 이후에 상기 반응 챔버에 제공되는 것을 특징으로 하는 방법.
  10. 제1항에 있어서,
    상기 도핑된 실리콘 산화물 퇴적 사이클은:
    상기 반응 챔버 내에 한 펄스의 실리콘 전구체를 제공하는 단계;
    상기 반응 챔버로부터 여분의 실리콘 전구체를 제거하는 단계;
    상기 반응 챔버 내에 한 펄스의 도판트 전구체를 제공하는 단계;
    상기 반응 챔버로부터 여분의 도판트 전구체들을 제거하는 단계; 및
    상기 반응 챔버 내에 산소를 제공하는 단계를 순서대로 포함하고,
    플라즈마는, 도핑된 실리콘 산화물이 형성되도록, 상기 반응 챔버에 상기 산소를 제공하는 동안 생성되는 것을 특징으로 하는 방법.
  11. 제1항에 있어서,
    각 도핑된 실리콘 산화물 퇴적 사이클은:
    상기 반응 챔버 내에 한 펄스의 도판트 전구체를 제공하는 단계;
    상기 반응 챔버로부터 여분의 도판트 전구체를 제거하는 단계;
    상기 반응 챔버 내에 한 펄스의 실리콘 전구체를 제공하는 단계;
    상기 반응 챔버로부터 여분의 실리콘 전구체를 제거하는 단계; 및
    도핑된 실리콘 산화물이 형성되도록, 상기 반응 챔버에 산소를 제공하는 동안, 플라즈마를 생성하여 상기 기판을 반응성 종에 접촉시키는 단계를 순서대로 포함하는 것을 특징으로 하는 방법.
  12. 제1항에 있어서,
    상기 도핑된 실리콘 산화물 퇴적 사이클은:
    상기 반응 챔버 내에 한 펄스의 도판트 전구체를 제공하는 단계;
    상기 반응 챔버로부터 여분의 도판트 전구체를 제거하는 단계;
    도판트를 포함하는 막이 형성되도록, 상기 반응 챔버 내에 여기된 종을 생성하여 상기 기판을 반응성 종에 접촉시키는 단계;
    상기 반응 챔버 내에 한 펄스의 실리콘 전구체를 제공하는 단계;
    상기 반응 챔버로부터 여분의 실리콘 전구체를 제거하는 단계; 및
    도핑된 실리콘 산화물 막이 형성되도록, 상기 반응 챔버에 산소 플라즈마를 생성하여 상기 기판을 반응성 종에 접촉시키는 단계를 순서대로 포함하고,
    산소는 상기 도핑된 실리콘 산화물 퇴적 사이클 내내 상기 반응 챔버에 공급되는 것을 특징으로 하는 방법.
  13. 제1항에 있어서,
    상기 실리콘 전구체들이 상기 반응 챔버에 제공된 이후에, 상기 도판트 전구체가 상기 반응 챔버 내에 제공되기 전에 여분의 실리콘 전구체가 제거되는 것을 특징으로 하는 방법.
  14. 제1항에 있어서,
    상기 도판트 전구체는 상기 도핑된 실리콘 산화물 퇴적 사이클에서 상기 실리콘 전구체 이전에 제공되는 것을 특징으로 하는 방법.
  15. 제1항에 있어서,
    복수의 도핑된 실리콘 산화물 퇴적 사이클들이 수행되는 것을 특징으로 하는 방법.
  16. 제1항에 있어서,
    상기 기판을 반응성 종에 접촉시키는 단계는 상기 기판 위에 플라즈마를 생성하는 단계를 포함하는 것을 특징으로 하는 방법.
  17. 제1항에 있어서,
    상기 기판을 반응성 종에 접촉시키는 단계는 상기 반응 챔버 외부에 플라즈마를 생성하는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제1항에 있어서,
    상기 실리콘 전구체는 Si-N 본드(bond)를 포함하는 것을 특징으로 하는 방법.
  19. 제1항에 있어서,
    상기 실리콘 전구체는 아미노실란(aminosilane) 또는 아민실란(aminesilane)을 포함하는 것을 특징으로 하는 방법.
  20. 제1항에 있어서,
    상기 도판트 전구체는 보론 화합물(compound)인 것을 특징으로 하는 방법.
  21. 제1항에 있어서,
    상기 도판트 전구체는 인 화합물인 것을 특징으로 하는 방법.
  22. 제1항에 있어서,
    상기 반응 챔버 내의 온도는 약 20 내지 약 400 ℃ 인 것을 특징으로 하는 방법.
  23. 제1항에 있어서,
    상기 도판트 전구체는 13족, 14족 또는 15족 화합물을 포함하는 것을 특징으로 하는 방법.
  24. 제1항에 있어서,
    도핑된 실리콘 산화물 막은 삼차원 구조 위에 퇴적되고, 적어도 약 80 %의 스텝 커버리지(step coverage)를 가지는 것을 특징으로 하는 방법.
  25. 제1항에 있어서,
    상기 ALD 공정은 적어도 하나의 실리콘 산화물 퇴적 사이클을 추가적으로 포함하고, 상기 실리콘 산화물 퇴적 사이클은:
    상기 반응 챔버 내에 실리콘 전구체의 펄스를 제공하는 단계;
    상기 반응 챔버로부터 여분의 실리콘 전구체를 제거하는 단계; 및
    상기 기판을 산소를 포함하는 반응성 종에 접촉시키는 단계를 포함하는 것을 특징으로 하는 방법.
  26. 제25항에 있어서,
    산소를 포함하는 상기 반응성 종은 산소 플라즈마인 것을 특징으로 하는 방법.
  27. 제25항에 있어서,
    실리콘 산화물 퇴적 사이클들에 대한 도핑된 실리콘 산화물 퇴적 사이클들의 비율은 바람직한 농도의 도판트를 가지는 도핑된 실리콘 산화물 막을 형성하기 위하여 선택되는 것을 특징으로 하는 방법.
  28. 실리콘 기판을 도핑하는 방법으로서,
    상기 기판 표면을 플라즈마로 처리하는 단계;
    원자층 증착에 의해 상기 실리콘 기판 위에 도판트를 포함하는 고상 확산(solid state diffusion, SSD) 층을 퇴적하는 단계;
    상기 SSD 층 위에 캡 층(cap layer)을 퇴적하는 단계; 및
    상기 SSD 층으로부터 상기 하부의 실리콘 기판으로 도판트를 주입시키기 위하여 상기 기판을 어닐링하는 단계를 포함하는 방법.
  29. 제28항에 있어서,
    상기 SSD 층은 상기 기판을 도판트 전구체 및 플라즈마에 교번적으로(alternately) 그리고 연속적으로 접촉시키는 단계를 포함하는 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition, PEALD) 공정에 의해 퇴적되는 것을 특징으로 하는 방법.
  30. 제29항에 있어서,
    상기 플라즈마는 H2, Ar, He, H2 또는 플루오린(Fluorine) 함유 가스 및 그들의 혼합물들에서 선택된 가스로부터 생성되는 것을 특징으로 하는 방법.
  31. 제28항에 있어서,
    상기 SSD 층은 균일한 도판트 깊이 프로파일(profile), 조절된 도판트 깊이 프로파일 또는 단일 층 도판트 깊이 프로파일을 가지는 것을 특징으로 하는 방법.
  32. 제28항에 있어서,
    상기 도판트는 13족, 14족 또는 15족 원소를 포함하는 것을 특징으로 하는 방법.
  33. 제32항에 있어서,
    상기 도판트는 인(phosphorus), 비소(arsenic), 안티모니(antimony), 보론(boron), 갈륨(gallium) 및 인듐(indium)으로부터 선택되는 것을 특징으로 하는 방법.
  34. 제28항에 있어서,
    상기 캡 층은 실리콘 산화물, 실리콘 질화물, 13족, 14족 또는 15족 원소들의 산화물들 및 13족, 14족 또는 15족 원소들의 질화물들로부터 선택되는 것을 특징으로 하는 방법.
  35. 원자층 증착(ALD) 공정에 의해 반응 챔버 내의 기판 상에 도판트 화합물 막을 퇴적하는 방법으로서, 상기 ALD 공정은 적어도 하나의 도판트 전구체 퇴적 사이클을 포함하고, 도판트 전구체 퇴적 사이클은:
    상기 반응 챔버 내에 도판트 전구체의 펄스를 제공하는 단계;
    상기 반응 챔버로부터 도판트 전구체들을 제거하는 단계; 및
    도판트 화합물 막이 형성되도록, 상기 기판을 여기된 종에 접촉시키는 단계를 포함하는 방법.
KR1020120123815A 2011-11-04 2012-11-02 도핑된 실리콘 산화물 박막들을 형성하는 방법들 KR101950909B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161556033P 2011-11-04 2011-11-04
US61/556,033 2011-11-04
US201261620769P 2012-04-05 2012-04-05
US61/620,769 2012-04-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190017776A Division KR102035701B1 (ko) 2011-11-04 2019-02-15 도핑된 실리콘 산화물 박막들을 형성하는 방법들

Publications (2)

Publication Number Publication Date
KR20130049752A true KR20130049752A (ko) 2013-05-14
KR101950909B1 KR101950909B1 (ko) 2019-02-21

Family

ID=48223961

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020120123815A KR101950909B1 (ko) 2011-11-04 2012-11-02 도핑된 실리콘 산화물 박막들을 형성하는 방법들
KR1020190017776A KR102035701B1 (ko) 2011-11-04 2019-02-15 도핑된 실리콘 산화물 박막들을 형성하는 방법들
KR1020190129048A KR102103800B1 (ko) 2011-11-04 2019-10-17 도핑된 실리콘 산화물 박막들을 형성하는 방법들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020190017776A KR102035701B1 (ko) 2011-11-04 2019-02-15 도핑된 실리콘 산화물 박막들을 형성하는 방법들
KR1020190129048A KR102103800B1 (ko) 2011-11-04 2019-10-17 도핑된 실리콘 산화물 박막들을 형성하는 방법들

Country Status (3)

Country Link
US (10) US8679958B2 (ko)
KR (3) KR101950909B1 (ko)
TW (3) TWI606136B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150044416A (ko) * 2013-10-16 2015-04-24 에이에스엠 아이피 홀딩 비.브이. 보론 및 카본 함유 물질들의 퇴적
KR20170007177A (ko) * 2015-07-09 2017-01-18 램 리써치 코포레이션 막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스
US9558946B2 (en) 2014-10-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
KR20190017324A (ko) * 2017-08-11 2019-02-20 한양대학교 산학협력단 절연막의 제조 방법, 및 이를 이용한 절연막
WO2020061491A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Low-k ald gap-fill methods and material
US10816596B2 (en) 2018-03-13 2020-10-27 Samsung Electronics Co., Ltd. Test chamber for memory device, test system for memory device having the same and method of testing memory devices using the same

Families Citing this family (404)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI549163B (zh) * 2011-09-20 2016-09-11 應用材料股份有限公司 減少摻質擴散之表面穩定化製程
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9490125B2 (en) * 2013-01-30 2016-11-08 Applied Materials, Inc. Methods for forming a molecular dopant monolayer on a substrate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9929014B2 (en) * 2013-11-27 2018-03-27 Entegris, Inc. Dopant precursors for mono-layer doping
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
CN103744008B (zh) * 2013-12-12 2016-02-03 华为技术有限公司 确定电路老化性能的方法和装置
US10050035B2 (en) 2014-01-17 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making protective layer over polysilicon structure
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
EP3161869B1 (en) * 2014-06-26 2023-04-05 Tahoe Research, Ltd. Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105373299A (zh) * 2014-08-25 2016-03-02 深圳富泰宏精密工业有限公司 电子装置及其显示界面调整方法
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9601333B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
RU2597647C2 (ru) * 2014-12-15 2016-09-20 Акционерное общество "Рязанский завод металлокерамических приборов" (АО "РЗМКП") Способ легирования полупроводниковых пластин
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105990239B (zh) 2015-02-06 2020-06-30 联华电子股份有限公司 半导体元件及其制作方法
US9478415B2 (en) * 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10062567B2 (en) 2015-06-30 2018-08-28 International Business Machines Corporation Reducing autodoping of III-V semiconductors by atomic layer epitaxy (ALE)
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017070190A1 (en) * 2015-10-23 2017-04-27 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9397002B1 (en) 2015-11-20 2016-07-19 International Business Machines Corporation Self-aligned punchthrough stop doping in bulk finFET by reflowing doped oxide
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106952822A (zh) * 2016-01-07 2017-07-14 中芯国际集成电路制造(上海)有限公司 改善鳍式场效应管性能的方法
US9583489B1 (en) 2016-01-08 2017-02-28 International Business Machines Corporation Solid state diffusion doping for bulk finFET devices
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN107180761A (zh) * 2016-03-09 2017-09-19 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10056381B2 (en) 2016-09-08 2018-08-21 Globalfoundries Inc. Punchthrough stop layers for fin-type field-effect transistors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
JP7183187B2 (ja) * 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249303A1 (en) * 2018-02-09 2019-08-15 Asm Ip Holding B.V. Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11328928B2 (en) 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10777469B2 (en) 2018-10-11 2020-09-15 International Business Machines Corporation Self-aligned top spacers for vertical FETs with in situ solid state doping
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
EP3671813A1 (en) 2018-12-21 2020-06-24 IMEC vzw Si-passivated ge gate stack
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US20220165563A1 (en) * 2019-03-22 2022-05-26 Lam Research Corporation Method for providing doped silicon
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
KR20210011748A (ko) 2019-07-23 2021-02-02 삼성전자주식회사 반도체 소자
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
US20220359196A1 (en) * 2019-09-30 2022-11-10 Kyocera Corporation Method for manufacturing semiconductor element, and semiconductor device
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
FI130211B (fi) * 2020-10-29 2023-04-24 Beneq Oy Puolijohdeseostusmenetelmä ja välivaiheen puolijohdetuote
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220121720A (ko) * 2021-02-25 2022-09-01 에이에스엠 아이피 홀딩 비.브이. 포스포실리케이트 유리 층을 형성하는 방법, 방법을 사용하여 형성된 구조 및 방법을 수행하기 위한 시스템
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040137167A1 (en) * 2000-11-22 2004-07-15 Tue Nguyen Plasma enhanced pulsed layer deposition
KR20060056883A (ko) * 2003-02-04 2006-05-25 테갈 코퍼레이션 나노층 증착 공정
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
KR20090092728A (ko) * 2008-02-27 2009-09-01 에이에스엠 아메리카, 인코포레이티드 원자층 증착 기술을 이용한 도핑 방법
KR20100133377A (ko) * 2008-02-08 2010-12-21 어플라이드 머티어리얼스, 인코포레이티드 원자층 증착에 의해 보조되는 등각 플라즈마 이온 임플란트(piii)를 위한 신규 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
TWI606136B (zh) * 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040137167A1 (en) * 2000-11-22 2004-07-15 Tue Nguyen Plasma enhanced pulsed layer deposition
KR20060056883A (ko) * 2003-02-04 2006-05-25 테갈 코퍼레이션 나노층 증착 공정
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
KR20100133377A (ko) * 2008-02-08 2010-12-21 어플라이드 머티어리얼스, 인코포레이티드 원자층 증착에 의해 보조되는 등각 플라즈마 이온 임플란트(piii)를 위한 신규 방법
KR20090092728A (ko) * 2008-02-27 2009-09-01 에이에스엠 아메리카, 인코포레이티드 원자층 증착 기술을 이용한 도핑 방법

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150044416A (ko) * 2013-10-16 2015-04-24 에이에스엠 아이피 홀딩 비.브이. 보론 및 카본 함유 물질들의 퇴적
KR20190089131A (ko) * 2013-10-16 2019-07-30 에이에스엠 아이피 홀딩 비.브이. 보론 및 카본 함유 물질들의 퇴적
US9558946B2 (en) 2014-10-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10535768B2 (en) 2015-04-22 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11355635B2 (en) 2015-04-22 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and methods of forming same
KR20170007177A (ko) * 2015-07-09 2017-01-18 램 리써치 코포레이션 막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스
KR20190017324A (ko) * 2017-08-11 2019-02-20 한양대학교 산학협력단 절연막의 제조 방법, 및 이를 이용한 절연막
US10816596B2 (en) 2018-03-13 2020-10-27 Samsung Electronics Co., Ltd. Test chamber for memory device, test system for memory device having the same and method of testing memory devices using the same
US11255904B2 (en) 2018-03-13 2022-02-22 Samsung Electronics Co., Ltd. Test chamber for memory device, test system for memory device having the same and method of testing memory devices using the same
WO2020061491A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Low-k ald gap-fill methods and material

Also Published As

Publication number Publication date
TW201326448A (zh) 2013-07-01
KR20190120151A (ko) 2019-10-23
US9875893B2 (en) 2018-01-23
US20180211834A1 (en) 2018-07-26
US20130115763A1 (en) 2013-05-09
US20170338111A1 (en) 2017-11-23
US20190172708A1 (en) 2019-06-06
US20160196970A1 (en) 2016-07-07
KR20190019979A (ko) 2019-02-27
TW201627520A (zh) 2016-08-01
US20200185218A1 (en) 2020-06-11
US20230031720A1 (en) 2023-02-02
US20150147875A1 (en) 2015-05-28
KR101950909B1 (ko) 2019-02-21
US10784105B2 (en) 2020-09-22
US9564314B2 (en) 2017-02-07
KR102103800B1 (ko) 2020-04-24
KR102035701B1 (ko) 2019-10-23
US8679958B2 (en) 2014-03-25
US20200388487A1 (en) 2020-12-10
US11302527B2 (en) 2022-04-12
TW201802285A (zh) 2018-01-16
US10510530B2 (en) 2019-12-17
TWI627303B (zh) 2018-06-21
US9153441B2 (en) 2015-10-06
US20150017794A1 (en) 2015-01-15
TWI606136B (zh) 2017-11-21
TWI541377B (zh) 2016-07-11
US9368352B2 (en) 2016-06-14
US10147600B2 (en) 2018-12-04

Similar Documents

Publication Publication Date Title
KR102035701B1 (ko) 도핑된 실리콘 산화물 박막들을 형성하는 방법들
US10811249B2 (en) Atomic layer deposition of GeO2
KR102451694B1 (ko) 기판 상의 구조물 형성 방법
KR102243442B1 (ko) SiN 박막의 형성 방법
KR101882905B1 (ko) 박막을 퇴적하는 방법
KR20090068179A (ko) 실리콘 이산화물을 포함하는 박막의 제조 방법
KR20160098484A (ko) 저머늄의 사이클 퇴적
WO2024054413A1 (en) Doped silicon or boron layer formation

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
A302 Request for accelerated examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)