KR20100133377A - 원자층 증착에 의해 보조되는 등각 플라즈마 이온 임플란트(piii)를 위한 신규 방법 - Google Patents

원자층 증착에 의해 보조되는 등각 플라즈마 이온 임플란트(piii)를 위한 신규 방법 Download PDF

Info

Publication number
KR20100133377A
KR20100133377A KR1020107020060A KR20107020060A KR20100133377A KR 20100133377 A KR20100133377 A KR 20100133377A KR 1020107020060 A KR1020107020060 A KR 1020107020060A KR 20107020060 A KR20107020060 A KR 20107020060A KR 20100133377 A KR20100133377 A KR 20100133377A
Authority
KR
South Korea
Prior art keywords
substrate
precursor
dopant
plasma
process chamber
Prior art date
Application number
KR1020107020060A
Other languages
English (en)
Other versions
KR101497902B1 (ko
Inventor
히로지 하나와
조선미
마지드 알리 포드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20100133377A publication Critical patent/KR20100133377A/ko
Application granted granted Critical
Publication of KR101497902B1 publication Critical patent/KR101497902B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 실시예들은 기판의 표면 상에 등각 도핑된 층을 형성하는 신규한 장치 및 방법들을 제공한다. 기판이 프로세스 챔버에 제공되고, 도펀트 소오스 물질의 층이 플라즈마 증착, 원자층 증착, 또는 플라즈마 보조 원자층 증착에 의해서 증착된다. 이어서 기판에 열적 프로세스가 행해져서 도펀트들을 활성화하고 기판 표면 내로 확산시킨다.

Description

원자층 증착에 의해 보조되는 등각 플라즈마 이온 임플란트(PIII)를 위한 신규 방법{NOVEL METHOD FOR CONFORMAL PLASMA IMMERSED ION IMPLANTATION ASSISTED BY ATOMIC LAYER DEPOSITION}
[0001] 본 발명의 실시예들은 일반적으로 반도체 장비들의 제조에 관한 것으로서, 보다 상세하게는 원자층 증착에 의해 보조되는 플라즈마 방식 임플란트(plasma implantation)을 이용하여 기판 상에 도핑된 영역들을 형성하는 것에 관한 것이다.
[0002] 반도체 제조 프로세스에 있어서, 종종 순물질에 불순물들을 첨가하는 것이 필요하다. 소위 "도핑(doping)"으로 불리는 이러한 프로세스는 개선된 전기 전도성과 같은 원하는 특성들을 물질에 부여한다. 많은 프로세스들에 있어서, 반도체 또는 반도체 파생 기판(semiconductor derivative substrate)에 다양한 원자들 또는 이온들을 첨가하는 것이 이롭다. 예를 들면, 붕소, 인, 및 비소 원자들 또는 이온들이 실리콘 기판들 내로 통상적으로(routinely) 첨가되어서 고체 상태 트랜지스트들 용도의 소오스 및 드레인 영역들로서 역할을 하는 "도핑된" 영역들을 생성한다. 몇몇 경우들에 있어서, 도핑될 기판의 영역을 "비정질화(amorphizing)"하는 것에 의해서 도칭 이전에 기판이 준비된다. 기판의 결정 구조(crystal structure)는 도펀트들을 기판 내로 더 깊이 침투시키기 위한 채널들을 생성하는 실리콘, 게르마늄, 또는 아르곤 원자들에 의한 충격(bombardment)에 의해서 붕괴된다(disrupt). 다른 어플리케이션들에서 질소, 산소, 수소, 탄소, 불소 그리고 인듐, 안티몬, 코발트 및 니켈과 같은 다양한 금속들이 전기 전도성 또는 접합(interface)에서의 확산을 제어하기 위한 도펀트들로서 사용될 수 있다.
[0003] 도펀트들은 일반적으로 두 가지 방식으로 임플란트된다. 몇몇 프로세스들에 있어서, 도펀트들이 기판의 표면 상에 임플란트될 수 있고, 이후에 열처리되어 도펀트들이 기판 내로 확산될 수 있다. 다른 프로세스들에 있어서, 도펀트들은 플라즈마가 되도록 이온화된 후에 전기장을 사용하여 기판 내로 에너지틱하게(energetically) 구동(drive)될 수 있다. 이후에 기판은 열처리되어서 도펀트들의 분포를 정규화하고 고속으로 이온들을 채워 넣는 것(barreling through)에 의해 야기되는 결정 구조의 붕괴(disruption)를 치유할 수 있다. 두 유형의 프로세스들에 있어서, 열 처리는 기판을 어닐링하고, 결정에서 격자 사이의 위치들(interstitial positions)에 위치된 도펀트와 주변 원자들(ambient atoms)을 격자 점들로 이동하도록 촉진한다. 이러한 움직임은 결정 격자를 통해 연통가능하게(communicable) 도펀트들의 전기적인 특성들을 만드는 것에 의해서 전기적인 특성들의 제어를 수반하는 어플리케이션들에 있어서 도펀트들을 "활성화(activates)"하고, 그리고 일반적으로 결정을 강화(strengthen)하는데 이것은 확산 제어 어플리케이션들에 대하여 중요할 수 있다.
[0004] 타겟 영역에 걸친 도펀트들의 균일한(even) 분포가 일반적으로 바람직하다. 전기적 전도성의 제어를 수반하는 어플리케이션들에 있어서, 도펀트들의 균일한 분포는 타겟 영역에 걸친 균일한 특성들을 보증한다. 확산 제어를 수반하는 어플리케이션들에 있어서, 도펀트들의 균일한 분포는 원자들의 원치않는 이주(migration)을 위한 열린 확산 경로들(open diffusion pathways)이 없도록 보증한다. 비정질화를 수반하는 어플리케이션들에 있어서, 도펀트들의 균일한 분포는 후속 도펀트들을 위한 경로들의 균일한 밀도를 보증한다. 임플란트 후에 열 처리는 타켓 영역에 걸친 도펀트들의 균일한 분포를 촉진한다.
[0005] 반 세기 이상 동안, 반도체 산업은 무어의 법칙을 따랐는데, 이것은 집적 회로 상 트랜지스터들의 밀도가 약 매 2년마다 두 배가 된다는 것이다. 이러한 법칙을 따르는 산업의 계속된 진화는 기판들 상으로 패터닝된 더 작은 피처들(features)을 요구할 것이다. 현재 제조되는 스택 트랜지스터들(stack transistors)은 50 내지 100 나노미터(nm)의 치수를 가진다. 장치들의 다음 세대는 약 40 nm의 치수를 가질 수 있고, 20 nm 및 그보다 작은 치수들을 가지는 장치들을 향하여 설계 노력들이 계속될 것이다. 장치들이 점점 더 작아질수록, 기판들 상에 패터닝된 피처들의 종횡비(aspect ratio)(폭에 대한 높이의 비율)이 커진다. 현재 제조되는 장치들은 약 4:1까지의 종횡비를 가지는 피처들을 구비할 수 있지만, 미래의 장치들은 잠재적으로 100:1에 이르는 또는 그 이상의 종횡비를 요구할 수 있다.
[0006] 종횡비의 증가와 장치들의 소형화(shrinking)는 도펀트 임플란트 프로세스들에 대한 난관(challenges)을 제기한다. 예를 들어 피처들을 형성하기 위한 기판의 필드 영역에서 트렌치들의 측면들(sides) 상에 및 바닥에 도펀트들을 임플란트하는 것이 빈번하게 필요하다. 에너지틱한 임플란트 프로세스는 기판의 표면에 수직한 방향으로 이온들을 구동하는 경향이 있는 전기장에 의해서 방향성을 가진다. 이온들은 기판 상 필드 영역 상에 용이하게 부딪히고(impinge on), 트렌치 내로 짧은 거리만큼 침투할 것이지만, 전기 바이어스는 필드 영역의 표면 또는 트렌치들의 측벽들을 향하여 이온들을 구동할 것이고, 이것은 그들이 트렌치의 바닥으로 침투하는 것을 막는다. 고에너지 임플란트는 이온들을 트렌치의 바닥까지 구동할 수 있지만, 일반적으로 등각 임플란트를 성취하지 못할 것이고, 측벽들과 비교할 때 필드 영역들 및 트렌치의 바닥에서 과-임플란트를 초래할 수 있다.
[0007] 도 1a 내지 도 1d는 종래의 임플란트 기법들 하에서의 기판들을 나타낸다. 도 1a는 임플란트 프로세스를 둘러싼 필드 영역들을 구비한(featuring) 기판(100)들을 나타낸다. 플라즈마가 없는 프로세스는 우선 필드 영역들 상에 층(102)을 임플란트할 것이고, 트렌치들의 바닥들에 층(104)을 주입할 것이지만, 측벽들 상에서는 어떠한 임플란트도 천천히 발생할 것이며, 층들(102)은 임플란트가 발생함에 따라서 트렌치 내로의 진입(entry) 기회를 줄이면서 서로를 향하여 성장할 것이다. 도 1c에서 층(106) 및 층(108)은 각각 어닐링 후의 임플란트된 층들(102 및 104)을 나타낸다. 층들(106)은 종래의 임플란트에 의해 빈번하게 발생하는 부풀어짐들(bulges)을 특징으로 하고, 층들(108)은 임플란트된 물질들의 코너들에 모이는 경향을 나타낸다. 몇몇 프로세스들에 있어서, 도 1d에 도시된 바와 같이, 입사각을 바꾸도록 기판이 회전될 수 있고, 그 결과 전구체 물질들(precursor materials)이 트렌치들에 침투할 기회가 많아진다. 이것은 측벽(112)의 일부 상에서의 증가된 임플란트(110)를 가져올 수 있다. 그런데, 이러한 임의의 이점이, 특히 매우 높은 종횡비 구조들에 대한 이점이 작아지는데, 이온들의 움직임을 구동하는 전기장 선들(electric field lines)이 표면에 수직하기 때문이다. 따라서 스테이지 회전(stage rotation)이 등각 임플란트 또는 도핑을 결과하지 않을 것이다.
[0008] 따라서, 기판들 상에 높은 종횡비 구조들을 등각 도핑하는 방법이 요구된다.
발명의 요약
[0009] 본 발명의 실시예들은 일반적으로 기판 처리 방법을 제공하는데, 상기 방법은 프로세스 챔버에 기판을 배치하는 단계; 상기 프로세스 챔버에 제1 전구체 물질을 제공하는 단계; 상기 제1 전구체 물질을 반응시켜 상기 기판 상에 제1 전구체의 층을 형성하는 단계; 상기 프로세스 챔버에 제2 전구체 물질을 제공하는 단계; 상기 제2 전구체 물질을 반응시켜 상기 기판 상에 도펀트 원자들의 층을 형성하는 단계; 도펀트 원자들의 층이 목표 두께에 이를 때까지 사이클을 반복하는 단계; 그리고 상기 기판을 가열하여서 도펀트 원자들의 층을 기판 내로 확산시키는단계를 포함한다.
[0010] 본 발명의 실시예들은 또한 트렌치들을 구비하는 기판 처리 방법을 제공하는데, 상기 방법은 프로세스 챔버에 기판을 배치하는 단계; 상기 프로세스 챔버에 도펀트 전구체를 포함하는 프로세스 가스 혼합물을 제공하는 단계; 상기 프로세스 챔버 내부에서 도펀트 이온들을 포함하는 플라즈마가 되도록 상기 도펀트 전구체를 이온화하는 단계; 상기 기판의 트렌치들 내로의 도펀트 이온들의 침투를 최대화하도록 구성된 전기장을 생성하는 단계; 그리고 상기 기판 상에 등각으로(conformally) 상기 도펀트 이온들을 증착하는 단계;를 포함한다.
[0011] 본 발명의 실시예들은 또한 반도체 기판의 표면 상에 도핑된 영역을 형성하는 방법을 제공하는데, 상기 방법은 프로세스 챔버에 기판을 배치하는 단계; 상기 프로세스 챔버에 촉매 전구체(catalytic precursor)를 제공하는 단계; 등방성 플라즈마(isotropic plasma)가 되도록 상기 촉매 전구체를 이온화하는 단계; 상기 촉매 전구체를 반응시켜 상기 기판 상에 촉매 전구체의 층을 형성하는 단계; 상기 프로세스 챔버에 퍼지 가스를 제공하는 단계; 상기 프로세스 챔버에 도펀트 전구체를 제공하는 단계; 등방성 플라즈마가 되도록 상기 도펀트 전구체를 이온화하는 단계; 상기 도펀트 전구체를 반응시켜 상기 기판 상에 도펀트들의 층을 형성하는 단계; 상기 도펀트들의 층이 목표 두께에 이를 때까지 전구체들의 사이클을 반복하는 단계; 그리고 기판을 가열시켜서 상기 도펀트들의 층을 기판 내로 확산시키는 단계;를 포함한다.
[0012] 앞서 인용된 본 발명의 기술적 구성들(features)을 상세하게 이해할 수 있도록, 앞에서 간단히 요약된 본 발명에 대한 보다 상세한 설명이 실시예들과 관련하여 기술되는데, 실시예들 중의 몇몇은 첨부된 도면들에 도시된다. 그런데, 첨부된 도면들은 본 발명의 전형적인 실시예들을 도시할 뿐이며, 따라서 그 범주(scope)를 제한하도록 고려되지 아니하며, 본 발명은 다른 동등한 효과를 가지는 실시예들을 허용할 수 있음을 유의해야 한다.
[0013] 도 1a 내지 도 1d는 종래 기술에 따른 도핑 프로세스들에 따라서 처리된 기판을 나타낸다.
[0014] 도 2는 본 발명의 일 실시예에 따른 프로세스 순서도를 나타낸다.
[0015] 도 3은 본 발명의 다른 실시예에 따른 프로세스 순서도를 나타낸다.
[0016] 도 4는 본 발명의 다른 실시예에 따른 프로세스 순서도를 나타낸다.
[0017] 도 5a 내지 도 5c는 도 2 내지 도 4에 나타낸 프로세스들 중 하나에 따라서 처리된 기판을 나타낸다.
[0018] 도 6a는 본 발명의 일 실시예에 따른 기판 처리 장치의 횡단면도(cross-sectional side view)를 나타낸다.
[0019] 도 6b는 본 발명의 일 실시예에 따른 플라즈마 소오스의 사시도를 나타낸다.
[0020] 간명함을 위하여, 동일한 참조 번호들이 도면들에서 공통적인 동일한 요소들을 가리키기 위해 가능한 사용되었다. 하나의 실시예의 기술적 구성들은 추가적인 인용이 없더라도 다른 실시예들에 통합될 수 있음이 고려되어야 한다.
[0021] 본 발명의 실시예들은 기판의 등각 도핑 방법들에 관한 것이다. 이러한 방법들은 일반적으로 기판 상 도펀트 소오스의 등각 증착을 위해서 제공되는데, 전자기 에너지에 의한 처리에 의해서 기판 내로 도펀트들을 확산시키고 그들을 활성화하는 것이 뒤따른다. 증착은 어닐링이 뒤따르는 기판 상 도펀트들의 플라즈마-보조 원자층 증착(PAALD), WPCVD(chemical vapor deposition enhanced by weak plasma), 또는 원자층 증착(ALD)에 의해서, 약 3:1 이상과 같이 큰 종횡비를 가지는 기판 상 얇은 도펀트 소오스 층들의 등각 증착을 얻기 위해 설계된 임의의 프로세스에 의한 것일 수 있다. 반도체 장치들의 제조 프로세스들은 필드 영역들에 형성된 매우 큰 종횡비의 홀들 또는 프렌치들을 구비하는 기판들 상에 등각으로 도핑된 영역들을 생성할 것을 점점 더 요구받고 있다. ALD는 금속 및 유전체 증착 프로세스들에서 지금까지 사용된 큰 종횡비의 피처들 상에 등각 층들을 형성하기 위한 성공적인 방법이다. 본 발명의 실시예들은 플라즈마의 보조에 의해서 또는 보조 없이, 기판 상에 도펀트 원자들을 등각 증착하도록 ALD 기법들을 적응시키는 프로세스들을 제공한다.
[0022] 본 발명의 실시예들은 도핑 프로세스에서 도펀트들의 등각 층들을 증착하기 위해 ALD 프로세스들을 사용한다. 일 세트의 실시예들에 있어서, 도펀트들의 등각 층이 기판 상에 증착되는데, 이것은 상부에 형성된 높은 종횡비를 가지는 홀들 또는 트렌치들을 가질 수 있다. 이후 도펀트들은 어닐링 프로세스에서 기판 내로 구동될 수 있는데, 어닐링 프로세스는 기판 내로 도펀트들을 확산시키고 그들을 "활성화"하거나 또는 그들이 결정 구조에서 격자 점들에서의 위치들을 차지하도록 촉진하도록 만들어진다. 증착 프로세스는 ALD 프로세스, WPCVD 프로세스, 또는 PAALD 프로세스일 수 있다. 어닐링 프로세스는 신속한 열적 프로세스일 수 있는데, 이러한 프로세스에서 기판이 목표 온도까지 신속하게 가열되고 기결정된 시간 구간 동안 상기 온도롤 유지되고 이후에 빠르게 냉각된다. 어닐링 프로세스는 스파이크 어닐링 프로세스(spike anneal process)일 수도 있는데, 여기서 기판은 온도 스파이크, 레이저 어닐링 프로세스, 펄스된 전자기 에너지 어닐링 프로세스 도는 노 열처리(furnace anneal) 프로세스를 겪는다.
ALD 에 의한 등각 도핑
[0023] ALD 프로세스들은 일반적으로 자기-제한적 표면-촉매 화학 "반쪽-반응들"(self-limiting surface-catalyzed chemical "half-reactions")의 실행을 특징으로 하는데, 제1 전구체가 기판과 반응하고 그 위에 증착되고, 이후에 제2 전구체가 증착된 제1 전구체와 반응하여서 기판 상에 증착된 희망 구성요소(desired component)의 원자 층을 생성한다. 이들 기법들은 금속 층들, 금속 산화물 층들, 금속 질화물 층들, 및 금속 파생 층들을 증착하는데에 흔히 사용되어 왔는데, 이들은 2원, 3원, 4원, 또는 n원(n>4) 사이클들에서 희망 원소들을 포함하는 전구체들의 연속적인 펄싱(pulsing)에 의해서 보다 복잡한 화학제(chemistry)로 이루어진다.
[0024] 일반적으로, 반응 챔버에 배치된 기판의 표면 상에 증착되면서, 제1 전구체가 펄스로 반응 챔버에 공급된다. 제1 전구체는 일반적으로 표면 상에 등각 단층의 형성을 촉진하도록 선택된 촉매 종(catalytic species)이다. 모든 반응 지역이 소비될 때까지 제1 전구체는 기판의 표면 상 반응 지역과 반응하고, 그 후에 반응이 멈춰진다. 촉매 종들의 단일층은 일반적으로 표면 상에 남겨진다. 제1 전구체의 임의의 과다분(excess)은 비-반응성 가스의 퍼지에 의해서 반응 챔버로부터 제거된다. 이어서 제2 전구체가 펄스로 반응 챔버에 제공된다. 제2 전구체는 다른 촉매 종이거나, 도펀트 전구체와 같이 표면 상에 증착될 종에 대한 전구체(precursor to the species)일 수 있다. 제2 전구체는 흡착된 촉매 종과 반응하여 제2 전구체의 단일층을 결과하고 이것은 촉매 종이거나 금속 종 또는 도펀트 종과 같은 목표 증착 종일 수 있다. 다수의 자기-제한적 증착 단계들에서 증착된 단일층의 형성을 진척시키기 위해서 추가적인 전구체 단계들이 사용될 수 있다. 이후에 희망 두께를 가진 매끄러운 등각 층이 형성될 때까지 단일층 후 단일층이 반복되는 사이클들에서 증착될 수 있다.
[0025] 금속 산화물 증착 프로세스들에 있어서, 제1 전구체는 일반적으로 수산기 그룹들에 의해서 표면을 종결하도록 선택된 산소-함유 화합물이다. 수산기 그룹들은 표면 상에 금속 산화물의 등각 단일층을 증착하기 위한 금속-함유 화합물과의 반응을 촉진시키는 역할을 한다. 제2 전구체는 일반적으로 비교적 손쉬운 반응들에 의해서 유리될(liberate) 수 있는, 예를 들어 알킬 아미노(alkyl amino) 그룹들과 같은, 비교적 큰(massive) 리간드들을 특징으로 하는 금속-함유 화합물이다. 휘발성 화합물들로서 리간드들의 몇몇을 유리하는, 기판 상, 흡착된 수산기 그룹들과 같은, 국소적인 루이스 염기 지역들과 금속이 복합체를 형성할 때 기판 상에 제2 전구체가 증착된다.
[0026] 모든 가용 지역들이 소모된 후에, 반응이 멈춰지고 임의의 과다 금속 전구체는 반응 챔버로부터 제거된다. ALD는 "자기-제한적"이라고 말해지는데, 표면-촉매 반응에 대한 가용한 반응 지역들의 소모로 인하여 단일층의 증착을 넘어서는 반응이 진행되지 아니하기 때문이다. 이것은 매우 높은 종횡비를 가지는 구조들 상에 등각 층들을 증착하는 것을 가능하게 한다.
[0027] 이어서 펄스로 산소 전구체가 제공되고, 흡착된 금속과 반응하여서 기판의 표면 상에 금속 산화물 단일층을 생성하는데, 이 때 잔존 리간드들을 유리하고 표면 상에 촉매 수산기 그룹을 남긴다. 다시, 가용한 반응 지역들이 소모되었을 때, 반응이 멈춰진다. 이어서 금속 전구체/산소 전구체 사이클이 반복될 수 있고, 이것이 희망 두께의 매끄러운 등각 층이 형성될 때까지 단일층 후에 단일층을 증착한다.
[0028] 또한 ALD 프로세스들에 의한 금속들을 증착하기 위한 방법들(pathways)이 알려져 있다. 촉매 종은 일반적으로 수소 원자들로 표면을 종결하는 환원제이다. 표면은 환원제에 의한 처리에 의해서 준비된다. 이어서 금속 전구체가 기판 상에 흡착되고 그 후에 환원제가 반응기 내로 펄스된다. 환원제는 기판 상에 금속 단일층을 남긴다.
[0029] 일 세트의 실시예들에 있어서, 어닐링 프로세스가 뒤따르는, ALD 프로세스에 의해서 기판이 등각 도핑된다. 도 2는 본 발명의 일 실시예에 따른 ALD 방법을 이용한 등각 도핑 프로세스(200)를 나타낸다. 희망 두께까지 기판의 표면 상에 등각 도펀트 소오스 층이 증착되고 기판 내로 구동되고 필요하다면 어닐링 프로세스에 의해 활성화된다. 도펀트 소오스 층은 실시예에 따라서 그리고 희망 도펀트에 따라서 인, 비소, 불소, 붕소, 금속 또는 규산염(silicate) 층일 수 있다. 신속한 열적 프로세스 또는 스파이크 열적 프로세스, 레이저 또는 펄스식 전자기 에너지 프로세스, 또는 노 열처리 프로세스일 수 있는 어닐링 프로세스를 촉진하기 위해 몇몇 실시예들에 있어서 캡핑 층(capping layer)이 사용될 수 있다.
[0030] 본 발명의 몇몇 실시예들에 있어서, 붕소, 인, 또는 비소 소오스 층이 ALD 프로세스에 의해서 증착될 수 있다. 단계 202에서 도핑될 기판이 프로세스 챔버에 제공되고, 기판 지지부 상에 위치된다. 기판은 진공 또는 전자기 수단에 의해서 제 위치에 유지될 수 있다. 기판 지지부는 후방 및 가장자리 증착의 제어 또는 열적 제어를 위해 기판 지지부의 표면을 통해 기판의 후방에 화합물을 전달하도록 구성될 수 있다. 부가적으로, 기판 지지부는 기판의 후방의 열적 제어를 지배(direct)하기 위해 지지부에서 전선관들을 통해 열적 제어 매체를 유동시키는 것에 의해서 또는 저항에 의해서(resistively) 그 자체를 가열하거나 냉각시킬 수 있다. 기판은 약 10:1 이상과 같은 매우 큰 종횡비를 가지는 홀들 또는 피처들을 가질 수 있다. 프로세스 챔버는 하나 이상의 증착, 세정, 열적 또는 전자기 에너지 프로세스들을 수행하도록 구성될 수 있다. 선택적으로 단계 204에서 프로세스를 위해 기판 표면을 조절하기 위해 기판 표면을 예비-처리할 수 있다. 예를 들어 기판은 액체 조성 또는 플라즈마 예비-세정 프로세스를 사용하여 세정될 수 있다. 또한 제1 ALD 사이클에 앞서서 표면 상에 반응 지역들을 증착하도록 처리될 수도 있다.
[0031] 촉매 전구체일 수 있는 제1 전구체가 단계 206에서 도핑될 기판을 포함하는 프로세스 챔버에 제공된다. 제1 전구체가 산화제라면, 표면 상 도펀트와 결합된 잔존 리간드들을 유리시키면서, 최종(terminal) 수산기 그룹 층을 형성하도록 반응할 것이다. 제1 전구체가 질화제(nitriding agent)라면, 유사한 방식으로 최종 아미노 그룹들이 남겨질 수 있다. 예를 들어 BSG(borosilicate glas), PSG(phosphosilicate glass), 또는 BPSG(borophosphosilicate glass)와 같은 규산염 층이 도펀트 소오스가 된다면, 실리콘-함유 화합물이 제1 전구체로서 제공될 수 있다. 이러한 단계에 유용한 전구체들은 열, 핫 와이어(hot wires) 및/또는 플라즈마에 의해서 생성될 수 있는 O2(산소), O3(오존), N2O(nitrous oxide), H2O(물), 알코올들(예를 들어 ROH, 여기서 R은 지방족(aliphatic), 고리형(cyclic), 또는 방향족 유기(aromatic organic) 작용기임), 유기 및 무기 과산화물들(peroxides), 카복실산들(carboxylic acids), 및 라디칼 산소 화합물들(예를 들어, O, O2, O3, 및 OH 라디칼들)을 포함할 수 있지만, 이에 반드시 한정되는 것은 아니다. 적절한 질소 전구체들은 열, 핫 와이어 및/또는 플라즈마에 의해서 생성될 수 있는 NH3(암모니아), N2(질소 가스), 히드라진(hydrazines)(예를 들어, N2H4 또는 MeN2H3), 아민들(amines)(예를 들어, RR'R"N, 여기서 R, R', 및 R"은 같거나 서로 다른 유기 작용기들일 수 있음), 아닐린들(anilines)(예를 들어, C6H5NH2), 유기 및 무기 아지드들(azides)(예를 들어, MeN3, Me3SiN3, NaN3 또는 Cp2CoN3), 및 라디칼 질소 화합물들(예를 들어, N3, N2, N, NH, 또는 NH2 라디칼들)을 포함할 수 있지만, 이에 반드시 한정되는 것은 아니다. 적절한 실리콘 전구체들은 실란들(silanes), 작용기를 가진 실란들(예를 들어, 알킬(alkyl)-, 알콕시(alkoxy)-, 또는 알킬아미노(alkylamino)-실란들), 실라놀들(silanols) 및 작용기를 가진 실라놀들을 포함할 수 있지만, 이에 반드시 한정되는 것은 아니다.
[0032] 도펀트 전구체일 수 있는 제2 전구체가 단계 208에서 도핑될 기판을 포함하는 챔버에 제공된다. 제2 전구체는 붕소 함유 화합물, 인 함유 화합물, 비소 함유 화합물, 금속 함유 화합물, 또는 불소 함유 화합물일 수 있다. 일반적으로, 이와 관련하여 유용한 화합물들은 수소화물들(예를 들어 보란(boranes), 인화수소(phosphines) 또는 아르신(arsines))이거나 알킬-, 고리형 알킬-(cyclic alkyl-), 또는 아릴-(aryl-) 보란, 붕소화물(borides), 붕산염(borates), 인화수소, 인화물(phosphides), 인산염(phosphates), 아르신, 비화물(arsenides), 또는 비산염(arsenates)과 같은 유기 일부분(organic moieties)이다. 부가적으로 아미노-, 알킬아미노-, 또는 아릴아미노- 도펀트 전구체들과 같이 헤테로치환된(heterosubstituted) 그룹들을 특징짓는 일부분(moieties)이 또한 유용할 수 있다. 일반적으로, ALD 프로세스에 대하여 표준 CVD 프로세스들에서의 사용가능성과 합리적인 프로세스 조건들 하에서의 기판 표면에 대한 부착력에 기초하여 화합물들이 선택될 수 있다. 인 도펀트 소오스 층을 증착하는 데에 사용될 수 있는 두 예시적인 전구체들은 TMP(trimethylphosphide)와 TEP(trimethylphosphate)이다.
[0033] 붕소, 인, 비소 함유 화합물이 하나 이상의 펄스로 반응 챔버에 제공될 수 있고 기판에 부착되는 것이 허용될 수 있다. 예를 들어 유기붕소 화합물이 몇몇 유기 화합물들을 유리하면서, 수산기-종결된 기판 표면에 부착될 수 있다. 전구체에 의해서 실질적으로 모든 반응 지역들이 소모된 후에, 사이클의 다음 단계에 대비하여 과다분이 챔버로부터 퍼지되거나 펌핑될 수 있다.
[0034] 반응 지역들이 실질적으로 소모될 때까지 제2 전구체가 기판의 표면 상으로 흡착된 제1 전구체와 반응한다. 이어서 제2 전구체는 반응 챔버로부터 퍼지될 수 있다. 도펀트 소오스로서 간단한 산화물 또는 질화물 층이 요구된다면, 제2 전구체가 증착된 후에 도펀트 소오스 물질의 완전한 단일층이 등각으로 기판 표면을 덮을 것이다. 더 높은 화학 층들이 요구되면, 계단식(step-wise) ALD 프로세스를 완료하기 위해 후속 전구체들이 제공된다.
[0035] 단계 210에 의해 나타낸 바와 같이, 도펀트 소오스 층의 희망 두께가 얻어질 때까지 전구체 사이클들이 반복될 수 있다. 희망 두께가 얻어진 후에, 단계 212에서 기판은 어닐링 처리를 받게 되어서, 도펀트들을 기판 내로 확산시키고, 도펀트들을 활성화하고, 그리고 결정 격자 손상을 수리한다. 단계 212는 목표 온도까지 기판을 신속하게 가열하고, 상기 온도로 기결정된 시간 동안 유지하고, 그리고 주변 온도까지 기판을 신속하게 냉각시키는 것에 의하거나 또는 기판을 온도 스파이크(temperature spike)에 종속시키는 것에 의하는 것과 같이, 하나 이상의 처리 사이클들에서 수행될 수 있다. 대안적인 실시예들에 있어서, 처리 사이클들은 서로 같거나 다를 수 있다.
[0036] 위에서 기술한 ALD 프로세스의 다수의 실시예들이 유용할 수 있다. 몇몇 실시예들에 있어서, 모든 유입 경로들을 막고 진공을 적용하는 것에 의해서 전구체 사이클들 간에서 챔버를 완전히 펌프-다운하거나 비우는 것이 이로울 수 있다. 몇몇 실시예들에 있어서, 전구체 경로들은 전구체 증착 사이클 간에 비-반응성 가스에 의해서 퍼지될 수도 있다. 또 다른 실시예들에 있어서, 퍼지 가스는 하나 이상의 전구체 경로들을 통해 프로세스 챔버 내로 유입될 수 있고, 퍼지 가스의 유동을 계속하면서 전구체 가스의 유동을 멈추는 것에 의해서, 과다한 전구체를 프로세스 챔버에서 퍼지시킬 수 있다. 또 다른 실시예들은 이들 기술적 구성들을 결합할 수 있다. 이들 실시예들 중 어떤 것은 기판들 상에 등각 도펀트 층들을 증착하는 데에 사용될 수 있다.
플라즈마 증착에 의한 등각 도핑
[0037] 등각 도핑은 PED(plasma-enhanced deposition) 증착을 사용하여 수행될 수 있다. 도 3은 이러한 프로세스를 나타낸다. 프로세스(300)는 단계 302에서 프로세스 챔버 내 기판 지지부 상에 높은 종횡비를 가지는 피처들을 구비하는 기판을 위치시키는 것에 의해서 시작된다. 기판은 진공 또는 전자기 수단에 의해서 제 위치에 유지될 수 있고, 기판 지지부는 기판의 열적 제어를 위해 전술한 바와 같이 구성될 수 있다. 또한 전술한 바와 같이, 프로세스 챔버는 하나 이상의 증착, 세정, 열적 또는 전자기 에너지 프로세스들을 수행하도록 구성될 수 있다.
[0038] 단계 304에서 프로세스 챔버에 도펀트 전구체 물질이 제공된다. 도펀트 전구체는 플라즈마가 되도록 이온화되는 것에 의해서 활성화될 때 기판 표면에 부착되도록 선택된다. 이러한 목적에 유용한 도펀트 전구체들은 붕소 화합물(다시 말해서, 보란, 붕산염, 또는 붕소화물), 인 화합물(다시 말해서, 인화수소, 인산염, 또는 인화물), 비소 화합물(다시 말해서, 아르신, 비산염, 또는 비화물), 실리콘 화합물(다시 말해서, 실란, 실록산(siloxanes), 실라놀), 질소 화합물(N2, NH3, N2O), 수소(H2), 산소(O2)를 포함할 수 있지만, 이에 반드시 한정되는 것은 아니다. 프로세스(300)과 같은 등각 도핑에 유용할 수 있는 몇몇 예시적인 화합물들은 보란, 디보란(diborane), 인화수소, 아르신, 실란, 질소(N2), 수소(H2), 및 산소(O2)이다.
[0039] 도펀트 전구체는 단계 306에서 플라즈마가 되도록 이온화된다. 플라즈마는 용량성으로 또는 바람직하게는 유도성으로 커플링될 수 있다. 전기장을 생성하는 것에 의해서 유도성으로 커플링된 플라즈마는 생성될 수 있는데, 반응 혼합물의 일부가 상기 전기장을 통해 지나간다. 전기장은 대개 이온화될 도펀트 전구체와 같은, 물질을 포함하는 경로 주변에 배치된 코일을 통해 진동 전류가 지나는 것에 의해서 생성된다. 진동 전기장은 바람직하게는 약 1000 W 이하와 같은, 가장 바람직하게는 약 500 W 이하와 같은 비교적 작은 전력에서 생성된다. 이러한 저-전력 플라즈마 또는 약한 플라즈마는 프로세스 장치 상 원치 않는 증착들을 최소화하면서, 기판 상으로 흡착되거나 기판과 반응하려는 전구체들의 경향을 높인다. 진동 전기장의 주파수는 일반적으로 라디오 주파수(RF)인 약 13.56 MHz이다. 이러한 유형의 유도성 커플링된 플라즈마는 프로세스 챔버 내부에서, 프로세스 챔버에 인접한 루프에서, 또는 멀리 떨어진 플라즈마 생성 장치에서 발생할 수 있다.
[0040] 챔버의 일부에 커플링된 인덕터를 통해 RF 전력을 적용하는 것에 의해서 유도성으로 커플링된 플라즈마를 생성하는 것에는 단계 308에서와 같이, 전기적인 바이어스의 적용이 부가적으로 수반될 수 있다. 전기적인 바이어스는 가스 분배기, 기판 지지부 또는 챔버 벽과 같이, 반응 공간과 면하는 하나 이상의 구성요소들에, 고역 통과 필터 또는 저역 통과 필터에 의한 RF 전력을 인가하거나 DC 전력을 인가하는 것에 의해서 생성될 수 있다. 상기 바이어스는 바람직하게는 기판을 향하여 이온들이 추진되도록 지향되고, 바람직하게는 대전 입자들이 측벽들을 향하여 방향전환(veer)하기 전에 트렌치들 내로 깊이 침투하도록 그리고 대전 입자들이 표면 내로 임플란트하기보다는 기판의 표면 상에 증착되게 할 수 있도록 상기 바이어스가 약하다(다시 말해서 500 W보다 작다). 등방성 반응 혼합물이 바람직할지라도, 약한 전기적인 바이어스의 적용은 측벽들 상 증착을 방해하지 아니하면서 이온들이 트렌치들 내로 침투하는 것을 촉진한다. 이런 방식으로 등각 임플란트 및 도핑이 얻어진다.
[0041] 유도성 커플링에 부가하여, 플라즈마는 용량성 커플링에 의해서 생성될 수 있는데, 이 때 전기장은 캐퍼시터 판들 사이에 생성된다. 전술한 프로세스 챔버 내 전기적인 바이어스를 생성하기 위한 방법과 유사하게, 반응 챔버의 하나 이상의 구성요소들에 전압이 인가되어서, 전기장을 생성할 수 있다. RF 전력이 통상 사용되지만, DC 전력도 사용될 수 있다. 이러한 실시예에 있어서 약한 플라즈마가 바람직하다.
[0042] 단계 310에서의 기판 표면 상 도펀트 소오스 물질의 증착에 이어서, 단계 312에서 기판이 어닐링되어서 도펀트들을 활성화하고 기판의 결정 구조 내로 도펀트들을 확산시킨다.
플라즈마 보조 ALD( PLASMA - ASSISTED ALD )
[0043] 몇몇 등각 도핑 실시예들은 PAALD(plasma-assisted ALD) 방법의 사용으로부터 이로울 수 있다. PAALD 프로세스에 있어서, 전구체들의 기판과의 반응 또는 기판 상으로 흡착된 다른 전구체들과의 반응은 전구체 종들의 이온화에 의해서 촉진되거나 증가한다. 도펀트 층들을 증착하도록 보다 용이하게 반응하는 플라즈마가 생성된다. 플라즈마는 적용된 전기적인 바이어스가 있거나 또는 없는 채로, 유도성 또는 용량성 커플링될 수 있다.
[0044] 도 4는 본 발명의 일 실시예에 따른 PAALD 프로세스(400)를 나타내는 프로세스 순서도이다. 단계 402에서 전술한 바와 같이 높은 종횡비를 가지는 피처를 구비한 기판이 프로세스 챔버에 제공되고 기판 지지부 상에 배치된다. 습식 세정, 플라즈마 세정 또는 작용기에 의한 종결(functional termination)(다시 말해서, 수산기, 아미노, 또는 수소 종결)과 같이 기판의 표면을 세정하거나 조절하기 위해서 단계 404에서 기판의 일부가 선택적으로 예비-처리될 수 있다. 단계 406에서 전술한 바와 같이 촉매 전구체일 수 있는 제1 전구체가 프로세스 챔버에 제공된다. 또한 전술한 바와 같이 제1 전구체가 제공되기 전에 상기 챔버가 퍼지되거나 비워질 수 있다.
[0045] 단계408에서 촉매 전구체일 수 있는 제1 전구체가 증착을 돕기 위한 플라즈마로 이온화될 수 있다. 플라즈마는 용량성 또는 바람직하게는 유도성 커플링에 의해서 생성될 수 있고, 프로세스 챔버 내부에서 또는 상기 프로세스 챔버에 인접한 장치에서 또는 상기 프로세스 챔버로부터 멀리 떨어진 장치에서 생성될 수 있다. 플라즈마 생성을 위해 13.56 MHz의 주파수로 RF 전력이 인가된다. 전술한 바와 같이 바람직하게는 1000 W 이하의, 가장 바람직하게는 200 W 이하와의 전력 레벨들에서 생성된 RF 전력이 제1 전구체와 같이 이온화될 물질을 포함하는 경로 주변에 배치된 인덕터에 인가된다. 약한 플라즈마는 기판 표면 상으로 전구체들을 증착하는 것을 도울 것이다. 플라즈마는 바이어스될 수 있지만, 바람직하게는 언바아이스되거나 전기적으로 중성일 수 있다. 언바이어스된 플라즈마는 프로세스 챔버 전체에 걸쳐 가장 등방성이어서 등각 도핑을 가져온다. 500 W 이하의 전력에 의해서 생성된 것과 같은 약한 바이어스는 또한 높은 종횡비를 가지는 구조들에 대한 실질적으로 등각인 증착을 결과한다.
[0046] 바람직하다면, 결과를 더 좋게 하기 위해 RF 전력이 하나 이상의 전구체들에 인가될 수 있다. 예를 들면, 바람직하다면 도펀트 전구체가 RF 전력에 의해서 바람직하게는 산소 또는 질소 소오스 또는 다른 촉매 전구체의 적용 동안 인가가 중단되는 RF 전력에 의해서 활성화될 수 있다. 촉매 전구체는 또한 RF 전력에 의해서 활성화되거나 플라즈마로 이온화될 수 있다. 이에 의해서 산소 또는 질소 플라즈마가 형성될 수 있다. 셋 이상의 전구체들을 특징으로 하는 실시예들에 있어서, 많은 서로 다른 조합들로 다양한 전구체들에 RF 전력을 인가하는 것이 이로울 수 있다.
[0047] 바람직하다면, 퍼지 단계들 410 및 416 동안 RF 전력 인가가 계속될 수 있다. 퍼지 단계들 동안 RF 전력은 반응 챔버의 배관(piping)과 벽들 상으로 흡착될 수 있는 전구체들의 존재를 줄인다는 부가적인 이점을 가질 수 있다. 약한 플라즈마로 이온화되는 퍼지 가스들은 벽들로부터 및 챔버로부터의 이러한 증착들을 제거하는 것에 있어서 효과적일 수 있다. 퍼지 단계들은 또한 RF 전력의 중단 후에 수행될 수 있다.
[0048] 임의의 ALD 프로세스와 마찬가지로, 증착은 사이클들로 진행된다. 특정한 경우들에서 플라즈마가 있는 채로 또는 없는 채로 전구체들이 프로세스 챔버에 연속적으로 제공되어 희망 두께의 도펀트 층 또는 도펀트 소오스 층을 형성할 수 있다. 단계 418에 의해서 도시한 바와 같이, 목표 두께에 이르지 않았다면, 증착 사이클들은 반복될 수 있다. 플라즈마는 바람직하다면 증착 프로세스를 추가적으로 튜닝하기 위해 정도(degrees)를 바꾸는 데 사용될 수 있다. 예를 들면, 대안적인 사이클들이 플라즈마를 특징으로 할 수 있다.
[0049] 기판 상에 증착된 도펀트 소오스 층의 목표 두께가 단계 418에서 얻어지면, 프로세스(400)를 완료하기 위해 기판이 어닐링될 수 있다. 단계 420에서 기판이 어닐링되어서, 도펀트 소오스 층으로부터 도펀트들을 기판 표면의 적어도 일부 내로 확산시키고, 도펀트들을 활성화하고 결정 격자 손상을 수리하는 데에 사용될 수 있다. 서로 같거나 다를 수 있는, 신속한 열적 프로세스, 스파이크 어닐링, 레이저 또는 펄스식 레이저 어닐링, 섬광(flash) 또는 펄스식 섬광 램프 어닐링, 또는 노 어닐링과 같은 하나 이상의 열처리 사이클들에서 어닐링이 수행될 수 있다.
[0050] 도 5a 내지 도 5c는 전술한 프로세스 단계들에 상응하는 다양한 단계들에서의 기판을 나타낸다. 도 5a는 높은 종횡비를 가지는 피처들을 구비하는 기판(500)을 나타낸다. 맨위 표면들(500A), 측벽들(500B) 상에 그리고 기판(500)의 트렌치들(500C)에 등각 도핑을 생성하는 것이 바람직하다. 도 5b는 도펀트 소오스 물질이 등각으로 증착될 때, 중간 단계에서의 기판(500)을 나타낸다. 전구체 물질(502)이 프로세스 챔버 전체에 걸쳐 등방성으로(isotropically) 분포되도록 도시되었는데, 그 결과 전구체의 농도가 맨위 표면들(500A), 측벽들(500B), 상에 그리고 기판(500)의 트렌치들(500C) 근처에서 실질적으로 동일하다. 도펀트 소오스 물질(504)의 등각 층이 기판(500) 위에서 성장한다. 도 5c는 열처리 후의 결과를 나타낸다. 등각 레이어(504)는 기판(500) 내로 구동되고 등각 도핑된 층(506)을 형성하도록 활성화된다.
활성화
[0051] 기판 표면 상에 증착된 도펀트 소오스 물질은 기판 내로의 확산이 촉진되도록 그리고 도펀트들을 활성화하도록 처리되어야 한다. 등각 도핑 실시예들은 또한 활성화 단계들을 포함한다. 신속한 열적 프로세스 또는 어닐링, 스파이크 어닐링, 레이저 어닐링, 섬광, 펄스, 또는 노 어닐링 등과 같이 임의의 도펀트 확산 프로세스가 활성화를 성취하도록 사용될 수 있다. 몇몇 실시예들에 있어서, 확산 및 활성화 프로세스를 촉진하기 위해서 캡핑 층이 이롭게 사용될 수 있다. 원하는 열처리 결과를 얻기 위해서 캡핑 층은 전체 기판 위에 증착되거나 단지 기판의 일부 위에 증착될 수 있다.
[0052] 증착된 도펀트 소오스 물질을 가지는 기판은 도펀트 소오스 물질을 증착하기 위해 사용된 동일한 챔버에서 또는 하나 이상의 다른 챔버들에서 열적 또는 전자기 어닐링에 의해 처리될 수 있다. 예를 들면, 도펀트 소오스 물질이 PAALD 프로세스를 수행하도록 구성된 챔버에서 증착될 수 있고, 이어서 어닐링을 위한 열 처리 챔버에 이송될 수 있다. 열 처리 챔버는 예를 들어 가열 램프들을 사용하여 기판 전체를 가열 또는 냉각시키거나, 레이저 또는 섬광 램프 또는 후방 냉각 구성들에서처럼 기판의 일부만을 가열 또는 냉각시키도록 구성될 수 있다. 기판 지지부는 어닐링을 촉진하기 위해 제어된 온도일 수 있다.
[0053] 도펀트 소오스 층에서의 도펀트 원자들은 처리에 의해서 에너지를 얻게 되고 기판 내로 이동한다. 몇몇 실시예들에 있어서, 열적 프로세스 동안 이탈하는 도펀트들의 이탈을 막기 위해서 어닐링 전에 캡핑 층을 적용하는 것이 이로울 수 있다. 캡핑 층의 사용은 또한 기판의 표면상 구조적인 피쳐들의 최상부들과 측벽들 상에서 열적 부하를 균등화하는 역할을 할 수 있다. 기판의 일부들이 기판 결정 구조의 배열(ordering)과 기판 내로의 도펀트들의 이동을 촉진하도록 선택된 온도까지 일반적으로 가열된다. 목표 온도는 약 700℃ 내지 약 1410℃일 수 있고, 그리고 기판의 일부들을 부분적으로 녹이도록 선택될 수 있다. 선택적인 용융( melting)은 활성화 및 확산 프로세스를 용이하게 하기 위해서 기판 원자들 및 도펀트의 국소적인 재배치를 촉진하는 데에 사용될 수 있다. 신속한 가열을 용이하게 하기 위해서 가열 사이클들 간에 기판은 약 100℃ 내지 약 700℃ 사이의 주변 온도에서 제어될 수 있다. 가열 및 냉각 사이클들은 바람직하게는 확산 및 활성화의 제어를 용이하게 하기 위해서 신속하게 이뤄진다. 예를 들면, 기판의 온도를 너무 천천히 올리는 가열 사이클은 이전에 활성화된 도펀트들의 유리 또는 기판 내로의 도펀트들의 과도한 확산을 결과할 수 있다. 400℃/초를 넘는 온도 기울기(temperature ramp rate)가 일반적으로 바람직하다. 냉각 사이클들은 일반적으로 이동되거나(migrate) 활성화된 도펀트 또는 기판 원자들을 제위치에 응고시키거나(solidify) 또는 동결(freeze)하기 위해 가열 사이클들을 뒤따른다.
[0054] 어닐링 프로세스에 이어서, 기판의 표면 상에 도펀트 소오스 층의 작은 양들이 남겨질 수 있다. 일반적으로, 어닐링 프로세스들은 기판의 표면에서 기판 근처에서 가장 큰 농도를 가지는 도펀트들의 농도 구배를 결과할 것이다. 몇몇 실시예들에 있어서, 기판의 표면 상 도펀트 소오스 층 그리고 기판의 표면 바로 아래 고농도 도펀트 층은 어닐링 후에 제거될 수 있다. 식각, 플라즈마 세정, 또는 플라즈마 식각 프로세스과 같은 세정 프로세스가 원치 않는 종들의 제거를 위해 사용될 수 있다.
장치
[0055] 도 6a는 본 발명의 일 실시예에 따른 장치의 도식적인 횡단면도를 나타낸다. 도시된 장치는 기판에 도펀트들을 플라즈마 임플란트하는 것과 같은 플라즈마-보조 프로세스들을 수행하도록 구성된다. 플라즈마 반응기(600)는 프로세스 영역(604)을 둘러싸는 바닥(624), 천장(626), 및 측벽들(622)를 구비하는 챔버 바디(602)를 포함한다. 기판 지지 조립체(628)가 챔버 바디(602)의 바닥(624)으로부터 지지되고 프로세스를 위해 기판(606)을 수용하도록 구성된다. 가스 분배기(630)가 기판 지지 조립체(628)와 대면하는 챔버 바디(602)의 천장(626)에 커플링된다. 펌프 포트(632)가 챔버 바디(602)에 형성되고 진공 펌프(634)에 커플링된다. 진공 펌프(634)는 쓰로틀 밸브(636)을 통해 펌핑 포트(632)에 커플링된다. 가스 소오스(652)는 가스 분배기(630)에 커플링되어서 기판(606) 상에 수행되는 프로세스들을 위한 가스상 전구체 화합물들을 공급한다. 몇몇 실시예들에 있어서, 가스 분배기(630)은 샤워헤드일 수 있다.
[0056] 도 6a에 도시된 반응기(600)는 도 6b의 사시도에서 가장 잘 도시된 플라즈마 소오스(690)를 더 포함한다. 플라즈마 소오스(690)는 한 쌍의 별개의 외부 재진입(external reentrant) 전선관들(640 및 640')을 포함하는데, 이들은 서로를 횡단하도록 배치된(또는 도 6b에 도시된 예시적인 실시예에서 도시된 바와 같이 서로에게 직교하는) 챔버 바디(602)의 천장(626)의 외부 상에 장착된 구부러진 관들일 수 있다. 제1 외부 전선관(640)은 천장(626)에 형성된 개구(698)를 통해 챔버 바디(602) 내 프로세스 영역(604)의 제1 쪽(side)으로 커플링되는 제1 단부(640a)를 구비한다. 제2 단부(640b)는 프로세스 영역(604)의 제2 쪽으로 커플링되는 개구(696)를 가진다. 제2 외부 재진입 전선관(640')은 제1 단부(640a') - 프로세스 영역(604)의 제3 쪽으로 커플링되는 개구(694)를 구비함 - 와 제2 단부(640b') - 프로세스 영역(604)의 제4 쪽으로의 개구(692)를 구비함을 포함한다. 일 실시예에 있어서, 제1 및 제2 외부 재진입 전선관(640, 640')은 서로에게 직교하게 구성되는데, 이 때 각각의 외부 재진입 전선관(640, 640')의 단부들(640a, 640a', 640b, 640b')이 챔버 바디(602)의 천장(626)의 둘레 주변에 약 90 도의 간격들로 배치된다. 외부 재진입 전선관(640, 640')이 직교하는 구성은 프로세스 영역(604)에 걸쳐 플라즈마 소오스가 균일하게 분포되는 것을 허용한다. 다른 분포들이 프로세스 영역(604) 내로 균일한 플라즈마 분포를 제공하기에 바람직하다면, 제1 및 제2 외부 재진입 전선관(640, 640')이 재구성될 수 있는 것도 고려될 수 있다.
[0057] 투자성 토로이달 코어들(magnetically permeable torroidal cores)(642, 642')이 외부 재진입 전선관(640, 640') 중 상응하는 하나의 일부를 둘러싼다. 전도성 코일들(644, 644')은 각각의 임피던스 정합 회로들 또는 요소들(648, 648')을 통해 각각의 RF 플라즈마 소오스 전력 생성기들(646, 646')에 커플링된다. 각각의 외부 재진입 전선관(640, 640')은 절연 환형 링(650, 650')에 의해서 중단되는 중공형 전도성 관인데, 절연 환형 링은 각각 그렇지 않으면 연속적인 각각의 외부 재진입 전선관(640, 640')의 두 단부들(640a, 640b 및 640a', 604b') 간의 전기 경로를 중단한다. 기판 표면에서의 이온 에너지는 임피던스 정합 회로 또는 요소(656)을 통해 기판 지지 조립체(628)에 커플링된 RF 플라즈마 바이어스 전력 생성기(654)(도 6a)에 의해서 제어된다.
[0058] 다시 도 6a를 참조하면, 프로세스 가스 소오스(6562)로부터 제공된 가스 화합물들을 포함하는 프로세스 가스들이 오버헤드 가스 분배기(630)을 통해 프로세스 영역(604)에 유입된다. 외부 재진입 전선관(640)과 프로세스 영역(604)을 포함하는 제1 폐 토로이달 경로에 순환 플라즈마를 생성하면서, 전도성 코일(644)와 토로이달 코어(642)에 의해서 전선관(640)에 제공되는 가스들에 RF 소오스 플라즈마 전력(646)이 커플링된다. 또한, 제1 폐 토로이달 경로를 횡단하는(예를 들어 직교하는) 제2 폐 토로이달 경로에 순환 플라즈마 전류를 생성하면서, 전도성 코일(644')과 토로이달 코어(642')에 의해서 제2 전선관(640') 내 가스들에 RF 소오스 전력(646')(도 6b)이 커플링될 수 있다. 제2 토로이달 경로는 제2 외부 재진입 전선관(640')과 프로세스 영역(604')을 포함한다. 경도들의 각각에서 플라즈마 전류들은 서로 같거나 약간 오프셋될 수 있는 각각의 RF 소오스 전력 생성기들(646, 646')의 주파수들에서 (예를 들어 역 방향으로) 진동한다.
[0059] 일 실시예에 있어서, 프로세스 가스 소오스(652)는 기판(606)에 도펀트들을 제공하는 데 이용될 수 있는 서로 다른 프로세스 가스들을 제공한다. 각각의 플라즈마 소오스 전력 생성기들(646, 646')의 전력은 프로세스 가스 소오스(652)로부터 공급된 프로세스 가스들을 분리하거나 기판(606)의 표면에 원하는 이온 자속(ion flux)을 생성하도록 동작될 수 있다. RF 플라즈마 바이어스 전력 생성기(654)의 전력은 프로세스 가스들로부터 분리된 이온 에너지가 기판 표면을 향하여 가속될 수 있고 희망 이온 농도를 가지고 기판(606)의 맨위 표면 아래의 희망 깊이에 임플란트되거나 기판(606)의 표면 상에 증착되는 선택된 레벨에서 제어된다. 예를 들면, 약 50 eV 미만과 같이, 바이어스 생성기(654)에 인가된 비교적 작은 RF 전력에 의해서, 비교적 작은 플라즈마 이온 에너지가 얻어질 수 있다. 작은 이온 에너지를 가지는 분리된 이온들은 기판 표면으로부터 약 0 Å 및 약 600 Å 사이의 얕은 깊이로 임플란트될 수 있거나 단지 기판(606)의 표면 상에 증착될 수 있다. 대안적으로, 약 50 eV 이상과 같이, 큰 RF 전력에 의해서 제공되거나 생성된 높은 이온 에너지를 가지는 분리된 이온들은 기판 표면으로부터 실질적으로 100 Å 이상의 깊이를 가지고 기판 내로 임플란트될 수 있다.
[0060] 전술한 바와 같이, 등각 도핑 어플리케이션들에 대하여, 챔버 내에 가장 약한 전기적인 바이어스를 생성하는 것이 바람직하다. 강한 바이어스는, 증착 종들의 왕성한 활성화를 유지하면서, 필드 영역들 상에서 과한 증착과 홀들과 트렌치들에서 요구되는 것 보다 작은 증착을 야기한다. 약한 필드 영역들에 의해서 더 나은 트렌치들 내로의 침투가 얻어진다. 전기적인 바이어스가 없다면, 플라즈마의 조성은 등방성이고 필드 영역들 상 및 트렌치들에서의 증착이 등각이다. 약한 바이어스 어플리케이션들에 있어서, RF 바이어스 생성기(654)는 바람직하게는 13.56 MHz의 주파수로 동작되고 약 1000 W 이하의 또는 보다 바람직하게는 약 100 W 이하와 같이 약 500 W 이하의 바이어스 전력 레벨에서 가장 효과적으로 동작될 수 있다.
[0061] 가스 분배기(630)이 접지된 채로, 정합 네트워크(656)을 통해 기판 지지부(628)에 바이어스 전력 생성기(654)가 커플링되어 도시된다. 바이어스 전력 생성기(654)는 외부 재진입 전선관들(640 및 640')에 의해 생성되는 플라즈마에 단일극성의 RF-구동 전기 바이어스(monopolar RF-driven electrical bias)를 인가한다. 대안적인 실시예들에 있어서, 바이어스 전력 생성기(654)는 가스 분배기(630)에 커플링될 수 있거나 별개의 바이어스 회로들이 가스 분배기(630) 및 기판 지지부(628) 양자에 독립적으로 커플링될 수 있다.
[0062] 제어된 RF 플라즈마 소오스 전력과 RF 플라즈마 바이어스 전력의 조합은 플라즈마 반응기(600) 내 원하는 이온 분포와 충분한 모멘텀을 가지는 가스 혼합물 내 이온들을 분리한다. 이온들이 바이어스되고 기판 표면을 향하여 구동되고 이에 의해서, 충분히 에너지가 가해진다면, 원하는 이온 농도, 분포 및 기판 표면으로부터의 깊이를 가지고 기판 내로 이온들이 임플란트된다. 더 작은 에너지 플라즈마 바이어스 전력은, 등각 도핑 어플리케이션들에 있어서 일반적으로 바람직한 것과 같이, 작은 농도로 기판의 표면 상에 증착되는 것을 결과할 수 있다. 나아가, 제어된 이온 에너지 및 공급된 프로세스 가스들로부터의 서로 다른 유형들의 이온 종들은, 기판(606) 상에 게이트 구조 및 소오스 드레인 영역과 같은 원하는 장치 구조를 형성하면서, 이온들이 기판(606) 상에 증착되거나 기판 내에 임플란트되는 것을 용이하게 한다.
[0063] 플라즈마 반응기(600)는 챔버 라이너(미도시)를 더 포함할 수 있다. 챔버 라이너들은 프로세스 동안 반응 성분들로부터 챔버 벽들을 보호하기 위해 흔히 제공된다. 이러한 라이너들은 세라믹, 실리콘 또는 다른 보호 물질로 이루어질 수 있고, 주기적으로 교체되도록 설계될 수 있다. 대안적인 실시예들에 있어서, 챔버는 프로세스 이전에 챔버의 안쪽 표면 상에 실리콘 또는 산화물 층을 증착하는 것에 의해서 화학적으로 라이닝될(lined) 수 있다. 이러한 종류의 인-시튜 챔버 라이너(in-situ chamber liner)는 동일한 기능을 수행하고, 제거되거나 식각 또는 세정 프로세스들에 의해서 대체될 수 있다.
[0064] 이상 본 발명의 실시예들을 설명하였지만, 본 발명의 다른 실시예들 및 추가적인 실시예들이 본 발명의 기본적인 범주(scope)를 벗어나지 아니하면서 고안될 수 있고, 그 범주는 이하의 청구항들에 의해서 결정된다.

Claims (15)

  1. (a) 프로세스 챔버에 기판을 배치하는 단계;
    (b) 상기 프로세스 챔버에 제1 전구체 물질을 제공하는 단계;
    (c) 상기 제1 전구체 물질을 반응시켜 상기 기판 상에 제1 전구체의 층을 형성하는 단계;
    (d) 상기 프로세스 챔버에 제2 전구체 물질을 제공하는 단계;
    (e) 상기 제2 전구체 물질을 반응시켜 상기 기판 상에 도펀트 원자들의 층을 형성하는 단계;
    (f) 상기 도펀트 원자들의 층이 목표 두께에 이를 때까지 (b) 내지 (e) 단계들을 반복하는 단계;
    (g) 상기 기판을 가열하여서 상기 도펀트 원자들의 층을 상기 기판 내로 확산시키는 단계를 포함하는,
    기판 처리 방법.
  2. 제1 항에 있어서, 상기 제2 전구체는
    붕소 전구체, 인 붕소체, 비소 전구체, 금속 전구체, 불소 전구체 또는 그 조합으로 이루어진 그룹으로부터 선택된,
    기판 처리 방법.
  3. 제1 항에 있어서,
    상기 제2 전구체는 유기붕소화합물(organoboron compound)인,
    기판 처리 방법.
  4. 제1 항에 있어서,
    상기 제2 전구체는 유기인화합물인,
    기판 처리 방법.
  5. 제1 항에 있어서,
    상기 제2 전구체는 유기비소화합물인,
    기판 처리 방법.
  6. 제1 항에 있어서, 상기 제1 전구체는
    O2, O3, N2O, H2O2, NO, N2O5, 알코올들, 유기 및 무기 과산화물들(peroxides), 카복실산들(carboxylic acids), 라디칼 산소 화합물들, 및 그 조합으로 이루어진 그룹으로부터 선택된,
    기판 처리 방법.
  7. 제1 항에 있어서,
    상기 프로세스 챔버에 퍼지 가스를 제공하는 단계를 더 포함하는,
    기판 처리 방법.
  8. 프로세스 챔버에 기판을 배치하고;
    상기 프로세스 챔버에 도펀트 전구체를 포함하는 프로세스 가스 혼합물을 제공하고;
    상기 프로세스 챔버 내부에 도펀트 이온들을 포함하는 플라즈마가 되도록 상기 도펀트 전구체를 이온화하고;
    약한 전기 바이어스를 생성하고;
    상기 기판 상에 등각으로(conformally) 상기 도펀트 이온들을 증착하고; 그리고
    상기 기판을 어닐링하는 것:을 포함하는,
    기판 처리 방법.
  9. 제8 항에 있어서,
    상기 도펀트 전구체는 붕소 화합물, 인 화합물, 비소 화합물, 금속 화합물, 불소 화합물 또는 그 혼합물을 포함하는,
    기판 처리 방법.
  10. 제9 항에 있어서,
    상기 프로세스 챔버에 배치된 가스 분배기에 RF 전력을 커플링하는 것에 의해서 상기 전기 바이어스가 상기 가스 분배기에 인가되는,
    기판 처리 방법.
  11. 제10 항에 있어서,
    상기 RF 전력은 약 100 와트보다 작은,
    기판 처리 방법.
  12. 제8 항에 있어서,
    유도 결합된 플라즈마 소오스에 약 1000 와트보다 작은 전력을 인가하는 것에 의해서 상기 플라즈마가 생성되는,
    기판 처리 방법.
  13. 제8 항에 있어서,
    상기 기판을 어닐링하는 것은,
    약 700℃ 내지 약 1410℃ 사이의 온도까지 상기 기판의 하나 이상의 부분들을 가열하는 것을 포함하는,
    기판 처리 방법.
  14. (a) 프로세스 챔버에 기판을 배치하는 단계;
    (b) 상기 프로세스 챔버에 촉매 전구체(catalytic precursor)를 제공하는 단계;
    (c) 촉매 전구체 플라즈마가 되도록 상기 촉매 전구체를 이온화하는 단계;
    (d) 상기 촉매 전구체 플라즈마를 반응시켜 상기 기판 상에 도펀트 전구체의 층을 형성하는 단계;
    (d) 상기 프로세스 챔버에 퍼지 가스를 제공하는 단계;
    (e) 상기 프로세스 챔버에 도펀트 전구체를 제공하는 단계;
    (f) 도펀트 전구체 플라즈마가 되도록 상기 도펀트 전구체를 이온화하는 단계;
    (g) 상기 도펀트 전구체 플라즈마를 반응시켜 상기 기판 상에 도펀트들의 층을 형성하는 단계;
    (h) 상기 도펀트들의 층이 목표 두께에 이를 때까지 (b) 내지 (g) 단계들을 반복하는 단계; 그리고
    (g) 상기 기판을 가열시켜서 상기 도펀트들의 층을 상기 기판 내로 확산시키는 단계;를 포함하는,
    반도체 기판의 표면 상에 도핑된 영역을 형성하는 방법.
  15. 제14 항에 있어서,
    상기 도펀트 전구체는 붕소 화합물, 인 화합물, 비소 화합물, 금속 화합물, 불소 화합물 또는 그 조합으로 구성된 그룹으로부터 선택되고,
    상기 촉매 전구체는 O2, O3, N2O, H2O2, NO, N2O5, 알코올들, 유기 및 무기 과산화물들, 카복실산들, 라디칼 산소 화합물들, 및 그 조합으로 이루어진 그룹으로부터 선택된,
    반도체 기판의 표면 상에 도핑된 영역을 형성하는 방법.
KR1020107020060A 2008-02-08 2009-01-21 원자층 증착에 의해 보조되는 등각 플라즈마 잠입 이온 주입(piii)을 위한 방법 KR101497902B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/028,423 US20090203197A1 (en) 2008-02-08 2008-02-08 Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US12/028,423 2008-02-08
PCT/US2009/031491 WO2009099756A1 (en) 2008-02-08 2009-01-21 Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition

Publications (2)

Publication Number Publication Date
KR20100133377A true KR20100133377A (ko) 2010-12-21
KR101497902B1 KR101497902B1 (ko) 2015-03-11

Family

ID=40939240

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107020060A KR101497902B1 (ko) 2008-02-08 2009-01-21 원자층 증착에 의해 보조되는 등각 플라즈마 잠입 이온 주입(piii)을 위한 방법

Country Status (4)

Country Link
US (2) US20090203197A1 (ko)
KR (1) KR101497902B1 (ko)
TW (1) TWI508177B (ko)
WO (1) WO2009099756A1 (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130049752A (ko) * 2011-11-04 2013-05-14 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
KR20130129149A (ko) * 2012-05-18 2013-11-27 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화 원자층 성막 및 컨포멀 막 성막을 통한 컨포멀 도핑
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8828835B2 (en) 2009-03-06 2014-09-09 Texas Instruments Incorporated Ultrashallow emitter formation using ALD and high temperature short time annealing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102009053889B4 (de) * 2009-11-20 2014-03-27 C. Hafner Gmbh + Co. Kg Verfahren zur Beschichtung einer metallischen Substratoberfläche mit einer durch einen ALD-Prozess aufgebrachten Materialschicht
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8030157B1 (en) * 2010-05-18 2011-10-04 International Business Machines Corporation Liner protection in deep trench etching
DE102010040231A1 (de) * 2010-09-03 2012-03-08 Evonik Degussa Gmbh p-Dotierte Siliciumschichten
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8492253B2 (en) * 2010-12-02 2013-07-23 Sunpower Corporation Method of forming contacts for a back-contact solar cell
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9093266B2 (en) * 2011-04-11 2015-07-28 Micron Technology, Inc. Forming high aspect ratio isolation structures
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8846482B2 (en) * 2011-09-22 2014-09-30 Avogy, Inc. Method and system for diffusion and implantation in gallium nitride based devices
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9142402B2 (en) * 2011-11-30 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Uniform shallow trench isolation regions and the method of forming the same
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP2013175587A (ja) * 2012-02-24 2013-09-05 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
CN103594341A (zh) * 2012-08-14 2014-02-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其掺杂方法、鳍式场效应管的形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9490125B2 (en) * 2013-01-30 2016-11-08 Applied Materials, Inc. Methods for forming a molecular dopant monolayer on a substrate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10599844B2 (en) * 2015-05-12 2020-03-24 Webroot, Inc. Automatic threat detection of executable files based on static data analysis
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9690938B1 (en) 2015-08-05 2017-06-27 Invincea, Inc. Methods and apparatus for machine learning based malware detection
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10318735B2 (en) 2016-06-22 2019-06-11 Invincea, Inc. Methods and apparatus for detecting whether a string of characters represents malicious activity using machine learning
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6810578B2 (ja) * 2016-11-18 2021-01-06 株式会社Screenホールディングス ドーパント導入方法および熱処理方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11003774B2 (en) 2018-01-26 2021-05-11 Sophos Limited Methods and apparatus for detection of malicious documents using machine learning
US11941491B2 (en) 2018-01-31 2024-03-26 Sophos Limited Methods and apparatus for identifying an impact of a portion of a file on machine learning classification of malicious content
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020027593A1 (ko) * 2018-08-01 2020-02-06 한양대학교 산학협력단 전자 및 이온 조절을 이용한 박막 증착 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20220037146A1 (en) * 2018-09-21 2022-02-03 Lam Research Corporation Low-k ald gap-fill methods and material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11947668B2 (en) 2018-10-12 2024-04-02 Sophos Limited Methods and apparatus for preserving information between layers within a neural network
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10937654B2 (en) 2019-01-24 2021-03-02 Micron Technology, Inc. Methods of doping a silicon-containing material and methods of forming a semiconductor device
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
US11714905B2 (en) 2019-05-10 2023-08-01 Sophos Limited Attribute relevance tagging in malware recognition
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP2022544104A (ja) * 2019-08-06 2022-10-17 ラム リサーチ コーポレーション シリコン含有膜の熱原子層堆積
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
US11562902B2 (en) 2020-07-19 2023-01-24 Applied Materials, Inc. Hydrogen management in plasma deposited films
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11989326B2 (en) 2021-03-30 2024-05-21 Sophos Limited Programmable feature extractor with anonymization
US20220353284A1 (en) * 2021-04-23 2022-11-03 Sophos Limited Methods and apparatus for using machine learning to classify malicious infrastructure
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU5977190A (en) * 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
US6921708B1 (en) * 2000-04-13 2005-07-26 Micron Technology, Inc. Integrated circuits having low resistivity contacts and the formation thereof using an in situ plasma doping and clean
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US20040178175A1 (en) * 2003-03-12 2004-09-16 Pellin Michael J. Atomic layer deposition for high temperature superconductor material synthesis
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US7314804B2 (en) 2005-01-04 2008-01-01 Intel Corporation Plasma implantation of impurities in junction region recesses
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) * 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
JP2009513368A (ja) 2005-09-23 2009-04-02 ナノシス・インコーポレイテッド ナノ構造体のドーピング方法
US7524743B2 (en) * 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
US7723154B1 (en) * 2005-10-19 2010-05-25 North Carolina State University Methods of forming zinc oxide based II-VI compound semiconductor layers with shallow acceptor conductivities
US7485536B2 (en) 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
US7294543B2 (en) * 2006-03-22 2007-11-13 International Business Machines Corporation DRAM (Dynamic Random Access Memory) cells
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US8053372B1 (en) * 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20130049752A (ko) * 2011-11-04 2013-05-14 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
KR20130129149A (ko) * 2012-05-18 2013-11-27 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화 원자층 성막 및 컨포멀 막 성막을 통한 컨포멀 도핑
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment

Also Published As

Publication number Publication date
TWI508177B (zh) 2015-11-11
WO2009099756A1 (en) 2009-08-13
US20090203197A1 (en) 2009-08-13
KR101497902B1 (ko) 2015-03-11
US8709924B2 (en) 2014-04-29
US20110159673A1 (en) 2011-06-30
TW200941585A (en) 2009-10-01

Similar Documents

Publication Publication Date Title
KR101497902B1 (ko) 원자층 증착에 의해 보조되는 등각 플라즈마 잠입 이온 주입(piii)을 위한 방법
US7989329B2 (en) Removal of surface dopants from a substrate
TW202030351A (zh) 氧化矽之拓撲選擇性膜形成之方法
US7968441B2 (en) Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US10096466B2 (en) Pulsed plasma for film deposition
KR100931765B1 (ko) Nh3-nf3 화학물질을 이용하는 산화물 식각
JP2020516060A (ja) 高アスペクト比トレンチをアモルファスシリコン膜で間隙充填するための2段階プロセス
JP2017528923A (ja) 選択的堆積のための方法及び装置
TW201125041A (en) High mobility monolithic p-i-n diodes
WO2012125317A9 (en) Methods and apparatus for conformal doping
TW201127983A (en) Dielectric film formation using inert gas excitation
WO2012145148A2 (en) Low temperature silicon oxide conversion
TW201735162A (zh) 電漿活化之保形介電薄膜沉積
KR20130062256A (ko) 플라즈마-활성화 컨포멀 막 증착
KR101234706B1 (ko) 기판 처리 장치 및 이를 이용한 기판 처리 방법
US8288257B2 (en) Doping profile modification in P3I process
JP2010514166A (ja) 低エネルギーの高用量ヒ素、リン、ホウ素注入ウエハの安全な取り扱い
JP2023536422A (ja) 窒化ケイ素膜の多層堆積及び処理
TW201034078A (en) Methods for forming conformal oxide layers on semiconductor devices

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180212

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190225

Year of fee payment: 5