JP2017528923A - 選択的堆積のための方法及び装置 - Google Patents

選択的堆積のための方法及び装置 Download PDF

Info

Publication number
JP2017528923A
JP2017528923A JP2017521057A JP2017521057A JP2017528923A JP 2017528923 A JP2017528923 A JP 2017528923A JP 2017521057 A JP2017521057 A JP 2017521057A JP 2017521057 A JP2017521057 A JP 2017521057A JP 2017528923 A JP2017528923 A JP 2017528923A
Authority
JP
Japan
Prior art keywords
substrate
region
layer
gas
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017521057A
Other languages
English (en)
Other versions
JP6629312B2 (ja
Inventor
エリー ワイ. イー,
エリー ワイ. イー,
シュリーニヴァース ディー. ネマニ,
シュリーニヴァース ディー. ネマニ,
ルドヴィーク ゴデット,
ルドヴィーク ゴデット,
イン ファン,
イン ファン,
トリスタン マー,
トリスタン マー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017528923A publication Critical patent/JP2017528923A/ja
Application granted granted Critical
Publication of JP6629312B2 publication Critical patent/JP6629312B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)

Abstract

フィン電界効果トランジスタ(FinFET)のための選択的堆積プロセスを利用して、フィン構造の異なる場所に形成される所望の材料によって、フィン構造を形成するための方法が提供される。一実施形態では、基板の上に所望の材料で構造を形成する方法は、3次元(3D)構造が形成される基板の上に第1の材料を堆積させること、更に、3D構造の第1の領域をドープする注入プロセスを実行することを含む。第1の材料は除去され、第2の材料は3D構造の上に堆積されうる。第2の材料は、3D構造の第2の領域の上に選択的に成長しうる。【選択図】図7

Description

[0001] 本明細書に記載の実施形態は概して、半導体基板上にフィン電界効果トランジスタ(FinFET)などの三次元構造を形成するための方法に関する。より具体的には、本実施形態は、選択的堆積プロセスを利用して、構造の異なる場所に異なる材料で、半導体基板上に三次元構造を形成する方法に関する。
[0002] 図1Aは、基板100の上に配置されたフィン電界効果トランジスタ(FinFET)150の例示的な実施形態を図解している。基板100は、シリコン基板、ゲルマニウム基板、又は他の半導体材料から形成された基板であってもよい。一実施形態では、基板100はドープされたp型ドーパント又はn型ドーパントを含む。基板100は、浅いトレンチ分離(STI)構造104によって分離され、基板上に形成された複数の半導体フィン102を含む。浅いトレンチ分離(STI)構造104は、酸化ケイ素材料、窒化ケイ素材料又は炭窒化ケイ素材料などの絶縁材料によって形成されうる。
[0003] 基板100は、必要に応じて、NMOS素子領域101の部分とPMOS素子領域103の部分を含むことがあり、半導体フィン102の各々は、基板100内のNMOS素子領域101とPMOS素子領域103で連続的に、また、交互に形成されうる。半導体フィン102は、浅いトレンチ分離(STI)構造104の上面から上方に突出するように形成される。その後、典型的には、ゲート誘電体層の上に配置されたゲート電極層を含むゲート構造106は、NMOS素子領域101とPMOS素子領域103の両方の上、並びに半導体フィン102の上方に堆積される。
[0004] ゲート構造106は、ゲート構造106によって覆われていない半導体フィン102の部分148、168を露出するようにパターン形成されうる。半導体フィン102の露出した部分148、168は次に、注入プロセスを利用して、低濃度ソース/ドレーン(LDD)領域を形成するため、ドーパントでドープされうる。
[0005] 図1Bは、浅いトレンチ分離(STI)構造104によって分離された基板100の上に形成された複数の半導体フィン102を含む、基板100の断面図を図解している。基板100の上に形成された複数の半導体フィン102は、半導体フィン102の各々を分離するため、浅いトレンチ分離(STI)構造104を利用する、基板100から上方に延在する基板100の一部であってもよい。別の実施形態では、半導体フィン102は、利用可能な技術の中で好適な技法を利用して、基板100とは異なる材料から作られ、基板100の上に配置される個別に形成された構造であってもよい。半導体フィン102の異なる材料が、第1の側壁120aと上面110によって接続された第2の側壁120bとを含む、異なる表面120の上に形成される実施形態では、半導体フィン102の異なる表面120の上に形成される半導体フィン102の材料を変えるため、付加的なプロセスステップが実行されうる。
[0006] 図2A〜図2Cは、堆積プロセスを実行するために利用される従来のプロセスを図解している。本プロセスは、基板上で露出している異なる表面材料の表面特性を選択的に改変するため、表面改質層として、自己組織化単分子層(SAM)を利用する。例えば、基板202は、図2Aに示すように、第2の材料(例えば、ケイ素)から形成される基板202の上に配置される、第1の材料(例えば、酸化ケイ素層)から形成される特徴204を含みうる。特徴204は画定された開口部208を有し、これによって、基板202の表面206を露出する。自己組織化単分子層(SAM)210は、図2Bに示すように、溶液系前駆体によって、基板202の上に形成されうる。一般的に、自己組織化単分子層(SAM)210は、自己組織化単分子層(SAM)210の分子と化学反応する能力を有する表面上に形成される。図2Bに描かれている実施形態では、自己組織化単分子層(SAM)210の形成に利用される前駆体は、基板202の表面206(例えば、ケイ素材料)ではなく、特徴204の表面212(例えば、酸化ケイ素材料)と化学的に反応するように選択される。こうすることにより、自己組織化単分子層(SAM)210は、主として基板202の特徴204の上に形成され、基板202の表面206には自己組織化単分子層(SAM)210は形成されない。その結果、表面の状態に非常に敏感なプロセスである原子層堆積(ALD)プロセスは、図2Cに示すように、基板202の指定された表面206の上に選択的に構造215を形成するように実行される。
[0007] 特徴204を形成した自己組織化単分子層(SAM)210を利用することにより、構造214は基板202の指定された表面206の上だけに選択的に形成されうる。しかしながら、基板が1種類の材料のみを含む場合には、自己組織化単分子層(SAM)210はこのような基板の表面全体に広く形成されうるため、選択的な材料堆積の実現は困難になる。
[0008] したがって、半導体チップ又は他の半導体デバイスの三次元(3D)スタック形成に適した、選択的な堆積プロセスの方法を改善する必要がある。
[0009] 一実施形態では、基板の構造形成のための方法が提供される。この方法は、3D構造上に第1の材料を堆積する間に、基板の上に形成される3D構造の第1領域をドープする注入プロセスの実行を含む。第1の材料は除去され、第2の材料が3D構造の第2領域上に堆積されてもよい。
[0010] 別の実施形態では、基板上にフィン構造を形成する方法が提供される。この方法は、基板の注入領域に処理層を形成し、基板の非注入領域に非処理層を形成する指向性プラズマ(directional plasma)プロセスの実行を含む。材料層は、主として基板の注入領域に選択的に堆積されうる。
[0011] 更に別の実施形態では、基板上にフィン構造を形成する方法が提供される。この方法は、基板の注入領域に処理層を形成し、基板の非注入領域に非処理層を形成する指向性プラズマプロセスの実行を含む。材料層は、主として基板の非注入領域に選択的に堆積されうる。
[0012] 上述の本開示の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかしながら、本開示は他の等しく有効な実施形態も許容しうるため、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに留意されたい。
上部に形成されるフィン電界効果トランジスタ(FinFET)構造を有する基板の概略的な斜視図の例を示している。 上部に形成されるフィン電界効果トランジスタ(FinFET)構造の一部を有する基板の断面図の例を示している。 選択的堆積プロセスを実行するため、自己組織化単分子層(SAM)を利用するプロセスフローの例を示している。 選択的堆積プロセスを実行するため、自己組織化単分子層(SAM)を利用するプロセスフローの例を示している。 選択的堆積プロセスを実行するため、自己組織化単分子層(SAM)を利用するプロセスフローの例を示している。 基板上の構造にドーパントを注入するために利用されうる装置を示している。 基板上の構造にドーパントを注入するための装置の別の実施形態を示している。 基板上の構造にドーパントを注入するために利用されうる装置の別の実施形態を示している。 基板上の構造にドーパントを注入するために利用されうる装置の別の実施形態を示している。 原子層堆積(ALD)プロセスの実行に利用されうる装置を示している。 基板上に複合材料でフィン構造を形成するための方法のフロー図を示している。 図7に示されたプロセスに従う製造プロセス中に、所望の材料でフィン構造を形成するための例示的なシーケンスを示している。 図7に示されたプロセスに従う製造プロセス中に、所望の材料でフィン構造を形成するための例示的なシーケンスを示している。 図7に示されたプロセスに従う製造プロセス中に、所望の材料でフィン構造を形成するための例示的なシーケンスを示している。 図7に示されたプロセスに従う製造プロセス中に、所望の材料でフィン構造を形成するための例示的なシーケンスを示している。 図7に示されたプロセスに従う製造プロセス中に、所望の材料でフィン構造を形成するための例示的なシーケンスを示している。 図7に示されたプロセスに従う製造プロセス中に、所望の材料でフィン構造を形成するための例示的なシーケンスを示している。
[0023] 理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、特記しなくとも、他の実施形態にも有益に組み込むことができると予測される。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面は本開示の典型的な実施形態しか例示しておらず、従って、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
[0024] 基板上に形成された構造の異なる場所に、異なる材料を選択的に堆積する方法が提供される。この構造は、フィン構造、ゲート構造、接点構造、或いは、半導体デバイス、特にフィン電界効果トランジスタ(FinFET)半導体構造の三次元(3D)スタック形成に適した任意の構造を含みうる。一実施形態では、選択的堆積プロセスは、異なる表面上に、例えば、構造の異なる部分に異なる材料を形成してもよく、これにより構造は単一の材料からなる。例えば、記載されている方法は、3D構造の異なる領域を順次又は同時に処理するため、上部に形成される3D構造を有する基板の指定された領域に、一又は複数の選択された角度でのイオン注入を利用することによって、イオンアシスト指向性プラズマ処理(PME)を使用しうる。イオンは、その後の選択的堆積プロセスを可能にするため、基板の一部、又は第1の堆積材料の表面特性を変える。PME処理後、洗浄プロセスは第1の堆積層を除去することができ、これによって、3D構造又は基板の注入領域及び非注入領域を露出する。その後、堆積プロセスは、3D構造上に第2の堆積層を選択的に形成するため、使用されうる。本明細書で更に詳細に記述されるように、第2の堆積層は、3D構造の注入領域又は非注入領域の上に選択的に形成されうる。
[0025] 図3Aは、基板へのドーパントの注入に適した処理チャンバ300の一実施形態の断面図である。本明細書で開示される教示によって使用するように適合されうる好適な処理チャンバには、例えば、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なVARIAN VIISTA(登録商標)TRIDENTシステムが含まれる。他の製造業者の適切に構成された他のシステムでも、本明細書に記載の実施形態から利益を享受しうることが予測される。処理チャンバ300は、本明細書に記載のように、プラズマドーピング装置として利用されうる。しかしながら、処理チャンバ300はまた、限定するものではないが、エッチングシステム及び堆積システムも含みうる。更には、プラズマドーピング装置は、基板上で多数の異なる材料改変プロセスを実行することができる。このようなプロセスには、半導体基板などの基板に所望のドーパント材料をドープすることが含まれる。
[0026] 処理チャンバ300は、内部処理領域309を画定するチャンバ本体301を含みうる。基板支持体334は、処理チャンバ300の中に配置される。上部に形成される特徴344を有する基板338は、指向性プラズマプロセス中に、基板支持体334の上に配置されうる。基板338は、限定するものではないが、半導体ウエハ、フラットパネル、ソーラーパネル、又はポリマー基板を含みうる。半導体ウエハは、必要に応じて、直径が200ミリメートル(mm)、300ミリメートル(mm)又は450ミリメートル(mm)又は他のサイズのディスク形状を有する。
[0027] RFプラズマ源306はチャンバ本体301に連結され、処理チャンバ300内にプラズマ340を生成するように構成されている。図3Aの実施形態では、プラズマシース修正器(modifier)308は内部処理領域309内に配置される。プラズマシース修正器308は、2つの間の間隙316を画定する一対の修正器312、314を含む。間隙316は水平間隔(G)を画定する。幾つかの実施形態では、プラズマシース修正器308は、絶縁体、導体、又は半導体を含みうる。一対の修正器312、314は、薄い平坦な形状を有する一対のシートであってもよい。他の実施形態では、一対の修正器312、314は、管形状、くさび形状、及び/又は、間隙316に近接した斜面エッジを有する他の形状であってもよい。一実施形態では、修正器312、314は、石英、アルミナ、窒化ホウ素、ガラス、多結晶シリコン、窒化ケイ素、炭化ケイ素、グラファイトなどから作られうる。
[0028] 一対の修正器312、314によって画定される間隙316の水平間隔は、約6.0ミリメートル(mm)となりうる。一対の修正器312、314はまた、平面351の上方の垂直間隔(Z)を画定するように、配置されうる。平面351は、基板338の前面又は基板支持体334の表面によって画定される。一実施形態で、垂直間隔(Z)は約3.0mmになりうる。
[0029] ガス源388は、内部処理領域309にイオン化ガスを供給するため、処理チャンバ300に連結される。イオン化ガスの例には、限定するものではないが、BF、BI、Ar、PH、AsH、B、H、Xe、Kr、Ne、He、SiH、SiF、SF、C、CHF、GeH、GeF、CH、CF、AsF、PF及びPFが含まれる。プラズマ源306は、処理チャンバ300に供給されるガスを励起及びイオン化することによって、プラズマ340を生成しうる。プラズマ340中のイオンは、種々の機構により、プラズマシース342を横断して引き寄せられうる。図3Aの実施形態では、バイアス源390は、プラズマシース342を横断して、プラズマ340からイオン302を引き寄せるため、基板338をバイアスするように構成された基板支持体334に連結されている。バイアス源390は、DC電圧バイアス信号を供給するDC電源、又はRFバイアス信号を供給するRF電源であってもよい。
[0030] プラズマシース修正器308は、プラズマ340とプラズマシース342との間の境界341の形状を制御するため、プラズマシース342内の電界を改変する、と考えられている。プラズマ340とプラズマシース342との間の境界341は、平面351に対して凸形状を有しうる。バイアス源390が基板338をバイアスするとき、イオン302は、入射角の大きな範囲を介して修正器312、314の間に画定される間隙316を通り、プラズマシース342を横断して引き寄せられる。例えば、軌道経路371をたどるイオン302は、平面351に対して正の角度θ(+θ)で基板338に衝突しうる。軌道経路370をたどるイオンは、同一平面351に対して約90度の角度で基板338に垂直に衝突しうる。軌道経路369をたどるイオンは、平面351に対して負の角度θ(−θ)で基板338に衝突しうる。したがって、入射角の範囲は正の角度およそθ(+θ)と負の角度およそθ(−θ)に及び、中心は約90度となりうる。加えて、経路369と経路371などの一部のイオン軌道経路は、互いに交差しうる。
[0031] 限定するものではないが、修正器312と314との間の水平間隔(G)、平面351の上方のプラズマシース修正器308の垂直間隔(Z)、修正器312と314の誘電率、及びその他のプラズマプロセスパラメータを含む幾つかの要因に応じて、入射角(θ)の範囲は+60度から−60度までに及び、中心は約0度となりうる。したがって、基板338上の小さな3次元構造は、イオン302によって均一に処理されうる。例えば、FinFETデバイスのフィン構造の形成に利用されうる特徴344の側壁347は、わかりやすく図解するため大きさは誇張されているが、イオン302によって上面349よりも均一に処理されうる。
[0032] 図3Bを参照すると、図3Aに示されている一対の修正器312、314の代わりに、少なくとも3の修正器1400、1402、1404が使用され、基板338に対して所望の角度分配でイオンを制御している。外側の2つの修正器1400、1404を基板338の上方にZaだけ離間した共通平面上に配置することによって、同一垂直平面(Za)が、また、修正器1400、1402、1404の間に等しい水平間隔G1、G2を維持することによって、中心が約±θ(+θ及び−θ)となる、イオンの対称な二峰性の角度の広がりが得られる。上述のように、基板338に注入されるイオンの入射角は、間隙の角度を変えるために、外側の修正器1400、1404と中央の修正器1402との間の垂直間隔を変えることによって修正されうる。角度方向のイオンの広がりは、水平間隔(G1、G2)によって画定される間隙の幅を変えるために、修正器1400、1402、1404間の水平間隔(G1、G2)を変えることによって、修正されうる。非対称な分布は、ZaをZbとは異なる値にすること、G2とは異なるG1を選択すること、或いはこれら2つの動作の組み合わせによって生成できる。一実施形態では、イオンを構造の片面だけで処理又は注入するため、角度方向のイオンの広がりは中心から約0度から約30度までの間で改変可能である。
[0033] 図4は、基板に対して所望の入射角や様々な入射角でイオンを注入するために利用される、イオン処理チャンバ400の別の実施形態を示している。処理チャンバ400は、抽出開口部410を備えた側壁403を有するアークチャンバ402を含む。処理チャンバ400は、プラズマ440と抽出開口部410に近接するプラズマシース442との間の境界441の形状を制御するプラズマシースモジュレータ420を更に含む。抽出電極アセンブリは、充分に画定されたイオンビーム418を形成するため、プラズマ440からイオン406を抽出し、プラズマシース442を横断してイオンを加速する。抽出電極アセンブリは、アークスロット電極、抑制電極414及び接地電極416として機能する側壁403を含みうる。抑制電極414及び接地電極416はそれぞれ、充分に画定されたイオンビーム418を抽出するための抽出開口部410と揃えられた開口部を有する。説明を容易にするため、イオンビーム418がZ方向へ移動する直交座標系を定義する。X−Y平面は、イオンビーム418の方向に応じて変化しうるZ方向に対して垂直である。
[0034] 図4の実施形態では、プラズマシースモジュレータ420は、アークチャンバ402内に配置された一対の修正器430、432を含む。他の実施形態では、モジュレータ420は1つの修正器を含みうる。修正器430、432は、石英、アルミナ、窒化ホウ素、ケイ素、炭化ケイ素、グラファイト、ガラス、磁器、窒化ケイ素などから作られうる。一対の修正器430、432は、薄い平坦な形状を有する一対のシートであってもよい。他の実施形態では、一対の修正器430、432は、管形状、くさび形状、及び/又は、斜面エッジを有する他の形状であってもよい。一対の修正器430、432は、両者の間に間隔(G)を有する間隙450を画定する。一対の修正器430、432はまた、抽出開口部410を有する側壁403の内面によって、平面423の上方に垂直な間隔(S)が確定されるように配置されうる。
[0035] 動作中、供給ガス(図示せず)がアークチャンバ402に供給される。供給ガスの例には、限定するものではないが、BF、BI、Ar、PH、AsH、B、H、Xe、SF、C、CHF、Kr、Ne、He、SiH、SiF、GeH、GeF、CH、CF、AsF、PF及びPFが含まれる。供給ガスはガス源に由来することもあるが、所望の核種によっては、固体源から蒸発しうる。供給ガスは、プラズマを生成するためアークチャンバ402内でイオン化される。プラズマを生成する他の種類のイオン源には、間接加熱カソード(IHC)源、Bernas源、RF源、マイクロ波源、及び電子サイクロトロン共鳴(ECR)源などがある。IHC源は一般的にカソードに近接して配置されるフィラメントを含み、また、関連する電源も含む。カソード(図示せず)はアークチャンバ402内に配置される。フィラメントが加熱されると、フィラメントによって放出された電子は、カソードに向かって加速され、カソードに熱を供給する。次に、加熱されたカソードは、アークチャンバ内で供給ガスのガス分子とイオン化衝突する電子を供給し、プラズマを生成する。
[0036] 側壁403、抑制電極414及び接地電極416を含む抽出電極アセンブリは、アークチャンバ402のプラズマ440からイオン406を抽出し、充分に画定されたイオンビーム418へ送り込む。イオン406は、一対の修正器430、432の間の間隙450を通って、境界441とプラズマシース442を横断して加速される。アーク源電極として機能する側壁403は、電源によって、アークチャンバ402と同じ大きさの電位までバイアスされる。抑制電極414は、電子が回帰してアークチャンバ402に入るのを防止するため、適度に負の値でバイアスされうる。接地電極416は接地電位であってもよい。電極アセンブリによって生成される電界の強度は、所望のビーム電流とエネルギーを実現するために調整されうる。
[0037] 有利には、プラズマシースモジュレータ420は、プラズマ440と抽出開口部410の近傍のプラズマシース442との間の境界441の形状を制御する。境界441の形状を制御するため、プラズマシースモジュレータ420は、プラズマシース442内の電界を修正する、或いは電界に影響を及ぼす。プラズマシースモジュレータ420が一対の修正器430、432を含むとき、境界441は図4に示すように、プラズマ440に対して凹型の形状を有しうる。限定するものではないが、修正器430、432の間の水平間隔(G)、基板又は基板支持体の上方の修正器430、432の垂直間隔(S)、修正器430、432の材料及びその厚み、及びイオン源の他のプロセスパラメータを含む幾つかの要因に応じて、境界441の形状は制御されうる。
[0038] プラズマ440とプラズマシース442との間の境界の形状は、プラズマシース442内の電界の勾配と共に、イオンビームのパラメータを制御する。例えば、イオンビームの集束を支援するため、イオン406の角度の広がりが制御可能である。例えば、プラズマに対して凹型形状を有する境界441により、ビームの集束を支援するため、境界を横断して加速されたイオンの大きな角度の広がりがある。加えて、イオンビーム418のイオンビーム電流密度も制御可能である。例えば、従来の1つのイオン源の境界441と比較して、境界441は付加的なイオンを抽出するため、より大きな領域を有する。そのため、付加的な抽出されたイオンは、イオンビーム電流密度の増大に貢献する。したがって、他のパラメータがすべて等しい場合には、境界441の形状は集束したイオンビームに高いイオンビーム電流密度をもたらす。しかも、イオンビームの放射はまた、境界441の形状を制御することによって、制御可能である。その結果、抽出されたイオンビームのビーム品質は、所定の粒子密度及び角度分布に対して充分に画定可能である。
[0039] 図5は、基板の特定の領域にイオンをドープするために利用されうる、従来のイオン注入処理チャンバ500を示している。イオン注入処理チャンバ500は、イオン源502、抽出電極504、90度磁気アナライザ506、第1減速(D1)ステージ508、磁気アナライザ510、及び第2減速(D2)ステージ512を含む。減速ステージD1、D2(「減速レンズ」とも呼ばれる)はそれぞれ、イオンビームがその内部を通過できるように画定された開口部を有する複数の電極からなる。複数の電極に様々な組み合わせで電位を印加することによって、減速レンズD1、D2はイオンエネルギーを操作し、イオンビームを所望のエネルギーでターゲットウエハに衝突させ、イオンを基板に注入することができる。上述の減速レンズD1、D2は一般的に、静電三極管(又は四極管)減速レンズである。
[0040] 図6は、原子層堆積(ALD)処理チャンバ634の1つの実施形態の概略断面図である。ALD処理チャンバ634は、ALD又は化学気相堆積(CVD)などの循環堆積に適合されたガス供給装置を含む。ALD及びCVDという用語は本明細書で使用されているように、基板構造の上に薄層を堆積するための、反応物質の順次導入又は同時導入を意味する。所望の厚みでコンフォーマル層を形成するためには、複数の薄層を堆積するため、反応物質の順次導入が反復されうる。チャンバ634にはまた、リソグラフィプロセスと共に、他の堆積技術も提供されうる。
[0041] チャンバ634は、側壁631及び底部632を有するチャンバ本体629を含む。チャンバ本体629を通って形成されたスリットバルブトンネル633は、200mm、300mm又は450mmの半導体基板又はガラス基板などの基板338を、チャンバ634に供給したり、チャンバ634から取り出したりするため、ロボット(図示せず)へのアクセスを可能にする。
[0042] 基板支持体692はチャンバ634内に設けられ、処理中に基板338を支持する。基板支持体692は、基板支持体692及びその上に配置された基板338を上昇及び下降させるための昇降機614に取り付けられている。昇降機プレート616は、昇降機プレート616の上下動を制御する昇降機プレートアクチュエータ618に接続されている。昇降機プレート616は、基板支持体692を通って移動可能に配置されたピン620を上昇及び下降させるため、上昇及び下降されうる。ピン620は、基板支持体692の表面の上方で、基板338を上昇及び下降させるために利用される。基板支持体692は、処理中に基板338を基板支持体692の表面に固定するための、真空チャック、静電チャック、又はクランプリングを含みうる。
[0043] 基板支持体692は、その上に配置された基板338の温度を上げるため、加熱されうる。例えば、基板支持体692は、抵抗ヒータなどの埋込み加熱素子を使用して加熱されてもよく、或いは、基板支持体692の上方に配置された加熱ランプなど、放射熱を利用して加熱されてもよい。パージリング622は、基板338の周辺部分に対して、その上への堆積を防止するパージガスを供給するパージチャネル624を画定するため、基板支持体692の上に配置されうる。
[0044] ガス供給装置630は、処理ガス及び/又はパージガスなどのガスをチャンバ634に供給するため、チャンバ本体629の上部に配置されている。ポンピングシステム678は、任意の所望のガスを処理チャンバ634から排気し、チャンバ634のポンピングゾーン666内を所望の圧力又は所望の圧力範囲に維持するよう支援するためのポンピングチャネル679と連通している。
[0045] 一実施形態では、ガス供給装置630は処理チャンバリッド632を備える。チャンバリッド632は、チャンバリッド632の中心部分から延在する拡張チャネル637、及び拡張チャネル637からチャンバリッド632の周辺部分まで延在する底面660を含む。底面660は、基板支持体692の上に配置された基板338を実質的に覆うように、大きさ及び形状が決められている。チャンバリッド632は、基板338の外周に隣接ずるチャンバリッド632の周辺部分にチョーク662を有しうる。キャップ部分672は、拡張チャネル637の一部及びガス注入口636A、636Bを含む。拡張チャネル637は、2つの同様なバルブ642A、642Bからガス流を供給するガス注入口636A、636Bを有する。バルブ642A、642Bからのガス流は、一緒に及び/又は別々に供給されうる。
[0046] 1つの構成では、バルブ642Aとバルブ642Bは別々の反応ガス源に連結されているが、同一のパージガス源に連結されてもよい。例えば、バルブ642Aは反応ガス源638に連結されており、バルブ642Bは反応ガス源639に連結されており、両バルブ642A、642Bはガス源640をパージするように連結されている。各バルブ642A、642Bは、バルブシートアセンブリ644A、644Bを有する供給ライン643A、643Bを含み、また、バルブシートアセンブリ646A、646Bを有するパージライン645A、645Bを含む。供給ライン643A、643Bは、反応ガス源638、639と連通しており、拡張チャネル690のガス注入口637A、637Bと連通している。供給ライン643A、643Bのバルブシートアセンブリ644A、644Bは、反応ガス源638、639から拡張チャネル690までの反応ガスの流れを制御する。パージライン645A、645Bはパージガス源640と連通しており、供給ライン643A、643Bのバルブシートアセンブリ644A、644B下流で供給ライン343A、343Bと交差する。パージライン645A、645Bのバルブシートアセンブリ646A、646Bは、パージガス源640から供給ライン643A、643Bまでのパージガスの流れを制御する。反応ガス源638、639から反応ガスを供給するのに、キャリアガスが使用される場合には、キャリアガス及びパージガスとして同じガスが使用されてもよい(例えば、アルゴンガスはキャリアガスとしてもパージガスとしても使用されうる)。
[0047] 各バルブ642A、642Bは、バルブのバルブシートアセンブリが644A、644Bが閉じられているときには、供給ライン643A、643Bから反応ガスをフラッシュすることができるように、ゼロデッドボリュームバルブであってもよい。例えば、パージライン645A、645Bは、供給ライン643A、643Bのバルブシートアセンブリ644A、644Bに隣接して配置されうる。バルブシートアセンブリ644A、644Bが閉じられているときには、パージライン645A、645Bは、供給ライン643A、643Bをフラッシュするためパージガスを供給しうる。提示した実施形態では、バルブシートアセンブリ644A、644Bが開いているとき、パージガスが直接内部へ供給されないように、パージライン645A、645Bは、供給ライン643A、643Bのバルブシートアセンブリ644A、644Bからわずかに間隔を空けて配置されている。本明細書で使用されているように、ゼロデッドボリュームバルブは、無視しうるわずかのデッドボリュームを有する(すなわち、必ずしもゼロデッドボリュームではない)バルブとして定義されている。各バルブ642A、642Bは、反応ガス638、639とパージガス640を組み合わせたガス流、及び/又は分離したガス流を供給するように適合されうる。パージガスのパルスは、パージライン645Aのバルブシートアセンブリ646Aのダイアフラムを開閉することによって、供給される。反応ガス源638からの反応ガスのパルスは、供給ライン643Aのダイアフラムバルブシート644Aの開閉によって、供給されうる。
[0048] 制御ユニット680は、処理条件を制御するため、チャンバ634に結合されうる。制御ユニット680は、中央処理装置(CPU)682、サポート回路684、及び関連制御ソフトウェア683を含むメモリ686を備える。制御ユニット680は、様々なチャンバ及びサブプロセッサを制御するための工業環境で使用されうる任意の形態の汎用コンピュータプロセッサのうちの1つであってもよい。CPU682は、ランダムアクセスメモリ、読取り専用メモリ、フロッピーディスクドライブ、コンパクトディスクドライブ、ハードディスク、或いは、ローカル又は遠隔の、他の任意の形態のデジタルストレージなどの、任意の好適なメモリ686を使用しうる。様々なサポート回路が、チャンバ634をサポートするために、CPU682に連結されうる。制御ユニット680は、バルブ642A、642Bのプログラマブル論理コントローラ648A、648Bなど、個々のチャンバ構成要素に隣接して配置される、別のコントローラに連結されうる。制御ユニット680とチャンバ634の様々な他の構成要素との間の双方向通信は、信号バス688と総称される多数の信号ケーブルを経由して処理される。その一部を図6に図解する。ガス源638、639、640及びバルブ642A、642Bのプログラマブル論理コントローラ648A、648Bからのプロセスガス及びパージガスの制御に加えて、制御ユニット680は、本明細書の他の部分に記載されているその他の作業のうち、基板搬送、温度制御、チャンバ排気など、基板処理で利用される他の作業の自動制御に関与するように構成されてもよい。
[0049] 図7は、基板上に形成された構造の異なる場所に異なる材料を形成するように実行される、選択的堆積プロセスの一実施形態のフロー図である。この構造は、フィン構造、ゲート構造、接点構造、或いは半導体アプリケーションで利用される他の任意の好適な構造など、基板から外へ延在する三次元構造であってもよい。図8A〜図8Fは、プロセス700の態様に対応する複合基板の一部の概略断面図である。プロセス700は、フィン構造の異なる場所に形成される所望の材料を有する基板上にフィン構造を形成するために利用されうる。このフィン構造はその後、三次元(3D)ICアプリケーション用のフィン電界効果トランジスタ(FinFET)の形成に利用される。別の態様では、プロセス700は、他の種類の構造のエッチングにも有効に利用されうる。
[0050] プロセス700は、ブロック702で、図8A〜図8Fに描かれている基板802などの基板を提供することによって開始される。この基板は、上部にフィン構造などの複数の構造を有する、図3A〜図6に描かれている基板338であってもよい。一実施形態では、基板802は、結晶シリコン(例えばSi<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、パターン形成された又はパターン形成されていないウエハシリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料であってもよい。基板802は、200mm、300mm、450mm又はその他の直径、並びに長方形又は正方形のパネルなど、様々な寸法を有しうる。別途明記されない限り、本明細書に記載の実施形態及び実施例は、直径200mm、直径300mm、直径450mmの基板上で実行される。基板802に対してSOI構造が利用される実施形態では、基板802は結晶シリコン基板上に配置された埋め込み誘電体層を含みうる。本明細書に描かれている実施形態では、基板802は結晶シリコン基板であってもよい。しかも、基板802は特定の大きさ又は形状に限定されない。基板802は、直径200mm、直径300mm、又は他の直径、とりわけ直径450mmを有する円形基板であってもよい。基板はまた、フラットパネルディスプレイの製造に使用される多角形ガラス基板などのように、任意の多角形、正方形、長方形、湾曲した或いは非円形の加工品であってもよい。
[0051] フィン構造804は、基板802から外へ延在して突出する構造であってもよい。フィン構造804は、上面808で終わる側壁806(図8A〜図8Fの第1の側壁806a及び第2の側壁806bのように)を有する。一実施形態では、基板802をエッチングしてフィン構造804の間に凹部805を形成することによって、フィン構造804は基板802の中に形成されうる。凹部805の一部は、FinFET製造プロセスの間にフィン構造804の形成を促進するため、浅いトレンチ分離(STI)構造(図解を容易にするため、図に中には描かれていない)を形成するための絶縁材料で満たされる。フィン構造804は基板802のエッチングによって形成される。したがって、フィン構造804は、基板802と同一の材料、シリコン含有材料であってもよい。本明細書で示されている実施形態では、フィン構造804がシリコン材料から形成されるよう、基板802はシリコン基板である。
[0052] 一実施形態では、浅いトレンチ分離(STI)構造の形成に利用される絶縁材料は、酸化ケイ素材料など、誘電体材料であってもよい。絶縁材料は必要に応じて、プラズマ化学気相堆積(CVD)、流動性化学気相堆積(CVD)、高密度プラズマ(HDP)化学気相堆積(CVD)プロセス、原子層堆積(ALD)、周期的層堆積(CLD)、物理的気相堆積(PVD)などによって形成されうる。一実施形態では、絶縁材料は、流動性又はプラズマ化学気相堆積(PECVD)によって形成される。
[0053] 基板802に形成されるフィン構造804の形状は必要に応じて、ほぼ直線的に広がり、上方又は下方に向かってテーパー処理された側壁806、或いは傾斜がつけられた形状、特別な側壁の特徴、オーバーハング又はアンダーカット構造、或いはその他の形状、などを含む種々の形状を有しうることに留意されたい。
[0054] 処理700を続けると、ブロック704では、第1の堆積材料は、形成されたフィン構造804aを有する基板上に配置されうる。一方、注入プロセスは3D構造の第1領域をドープするように実行される。706では、第1の堆積材料が除去される。708では、第2の堆積材料が基板の上に堆積される。第2の材料は、基板の第2の材料の上に選択的に成長しうる。ある実施形態では、第1の材料は、基板202及びフィン構造804の処理領域及び非処理領域の上に、不均一に堆積されうる。処理領域及び非処理領域は、注入プロセスによって形成されうる。
[0055] ブロック704の注入プロセスは、図8A〜図8Fに示すように、フィン構造804及び基板802のある場所(例えば、第1の領域)に、ある種の膜/表面特性をドープ、被覆、処理、注入、挿入又は改変するように実行されうる。基板802の上に形成される堆積された第1の材料810は、ブロック704でその後形成される薄層の成長のためのテンプレートとしての役割を果たす秩序構造をもたらしうることに留意されたい。例えば、注入後、第1の材料810の注入領域は、ブロック708でその後実行される堆積プロセスを選択的に促進するように、元の基板の界面の特性を操作するように利用されうる。
[0056] 第1の材料810は、注入プロセス中に基板802の上に堆積されうる。一実施形態では、第1の材料810はドープされた基板802を均一に覆いうる。別の実施形態では、第1の材料810は、基板802の異なる領域の上に異なる厚みを有しうる。例えば、第1の材料810は、フィン構造804の側壁、フィン構造804の上部、或いは隣接するフィン構造804の間の基板802の領域など、基板802又はフィン構造804の異なる領域に、様々な厚みを有しうる。
[0057] 態様によっては、指向性プラズマプロセス(又は、イオンドーピング/注入プロセス)は、図8A〜図8Fに示すように、フィン構造804の中に形成されたドーパントを有するフィン構造804のある場所に、ある種の膜/表面特性をドープ、被覆、処理、注入、挿入又は改変するように実行されうる。指向性プラズマプロセスは、基板802の上に同時に堆積される第1の材料810の所望の部分の膜/表面特性を改変する特定の選択された角度で、指向性及び/又は入射イオン818を利用する。加えて、指向性プラズマプロセスは、基板802の領域を改変しうる。
[0058] 図8A及び図8Bに図解されているように、注入プロセスは、フィン構造804の第1の側壁812及び上部814をドープしうる。図8C及び図8Dに図解されているように、注入プロセスは、フィン構造804の第1の側壁812及び上部814、並びにフィン構造の底部816をドープしうる。図8E及び図8Fに図解されているように、注入プロセスは、フィン構造804の上部814及び底部816をドープしうる。
[0059] ドープされた領域の具体例は図8A〜図8Fに図解されているが、イオンは、表面特性を局所的及び選択的に変化させる必要に応じて、フィン構造804の所望の場所に注入されうる。
[0060] 指向性プラズマプロセスは、図3A、図3B、図4又は図5に図解されている処理チャンバ300、400、500、或いは他の好適な従来のイオン注入/ドーピング処理ツールなどの、指向性プラズマ処理チャンバ内で実行されうる。指向性プラズマプロセスは、図8A〜図8Fに示したように、選択された領域に対して所望の入射角で、イオン818を注入することによって、実行される。選択された領域の例には、図8A及び図8Bのフィン構造804の第1の側壁812及び上部814、図8C及び図8Dに図解されているフィン構造804の第1の側壁812、上部814、及び底部816、並びに、図8E及び図8Fに図解されているフィン構造804の上部814及び底部816が含まれる。
[0061] 所望の種類の原子を含むイオンは、例えば、図8A〜図8Fに図解されているように、基板802及びフィン構造804に注入されうる。注入の結果として、基板802及び/又はフィン構造804の一部は変化せずに、或いは処理されずに残る。上述のように、イオンは、ある実施形態で第1の材料の堆積中に、第1の材料に注入されうる。
[0062] 基板802に注入されるイオン818は、基板802の膜/表面特性を改変しうる。これは、その後の堆積プロセス中に吸着又は反応される分子の化学反応及び/又は分子の吸着性に影響を及ぼすこと、弱めること、或いは変えることがありうる。したがって、ブロック708では、選択的堆積プロセスは、主として、3D構造の注入領域(すなわち、処理領域)又は非注入領域(すなわち、非処理領域)を含みうる、ある領域にだけ堆積を許可することができる。
[0063] 一実施形態では、指向性プラズマプロセス、或いはイオン注入/ドーピングプロセスから生成されるイオン818は、約0度から約60度の間の入射角を有するように構成される。望ましい所定の入射角及び方向角により、上述のように、イオン818は主に、図8A〜図8Fの所定の領域へ注入されうる。入射角を制御することにより、フィン構造804の選択された部分が処理されうる。こうすることにより、ドープされること、プラズマ処理されること、或いは指向性プラズマプロセス中に堆積されることが意図されていないフィン構造のある所望の領域は、指向性プラズマプロセス中に、選択的に及び/又は意図的に除外される(すなわち、影響されない)。
[0064] 指向性プラズマプロセスは、所望のドーピング形状を形成するため、処理層又は注入層を形成するようにフィン構造804を改変しうる。その結果、改変された膜特性を有する処理層又は注入層が形成され、これによって、その後の堆積プロセス中に、異なるプロセス結果が得られる。このことはブロック708を参照してより詳細に説明される。
[0065] 一実施形態では、指向性プラズマプロセスは、堆積された第1の材料が基板802の上に形成されて、所望の処理領域になるまで、約1秒から約600秒の間だけ実行されうる。別の態様では、指向性プラズマプロセスは、約1×1015イオン/cmから約5×1017イオン/cmの間のドーピング濃度(すなわち用量)を用いて、一定の時間だけ実行されうる。
[0066] 別の態様では、指向性プラズマプロセスは、フィン構造804の第1の場所に第1の角度でイオン818を衝突させ、次にフィン構造804の第2の場所に必要に応じて第2の角度でイオン818を衝突させるように、実行されうる。その結果、所望のパターンは基板802の第1の堆積材料の下にあり、所望のパターンと共に材料層を選択的に堆積させるためのテンプレートとして、その後使用されることがある。基板802上に形成されるフィン構造804が異なるアスペクト比、形状寸法、限界寸法、幅、長さ、又はパターン密度を有する場合には、異なる場所に異なる入射角でイオンを衝突させる方法が使用されることがありうる。こうすることにより、結果的に得られる構造は、例えば、他の表面と比較して、種々の表面形態又は表面特性を有する、様々な特徴を示す1つの面で形成されうる。
[0067] 一実施形態では、指向性プラズマプロセスは、入射イオン818に対して異なる角度でフィン構造804を露出するように基板802を支持し、移動するため、可動式載台を利用するように、実行されうる。角度が付けられたイオンビームに対して配置された可動式載台と基板802により、インタラクティブなイオンスキャニング/処理プロセスが可能になり、これにより、基板802のある領域を所定のモードで連続して又は繰り返し、直線的に、環状に、或いは規則的に処理することができる。
[0068] 幾つかのプロセスパラメータは、指向性プラズマプロセス中に制御されうる。指向性プラズマプロセスは、混合ガスを処理チャンバに供給することによって、実行されうる。ドーパント混合ガスは、約10sccmから約200sccmの流速で処理チャンバへ供給されうる。イオンドーピング混合ガスへの供給に適したガスには、AsH、GaH、SiH、SiF、GeH、GeF、CH、CF、AsF、PF、PF、B、BHなどがある。Ar、He、Kr、Neなどの不活性ガス、又はH、N、NO、NOなどのキャリアガスも混合ガスの中へ供給されうる。チャンバ圧力は一般的に、約0.1mTorrから約100mTorrの間、例えば、約10mTorrに維持される。容量性RF電力又は誘導性RF電力などのRF電力、DC電力、電磁エネルギー、又はマグネトロンスパッタリングは、処理中に混合ガスの解離を支援するため、処理チャンバ200へ供給されうる。
[0069] 解離によって生成されるイオンは、DC又はRF電気バイアスを基板支持体、又は基板支持体上方のガス注入口、或いはその両方に印加することによって生成される電界を使用して、基板に向かって加速されうる。幾つかの実施形態では、イオンは質量選択処理又は質量フィルタ処理を受けることがある。この処理は、所望の運動方向に直交するように位置合わせされた磁界に、イオンを通すことを含む。RF電力によって提供される電界は、原子をイオン化するため、容量結合又は誘導結合されることがあり、DC放電電界又はRF電界などの交流電界であってもよい。イオンを生成するため、これらの元素のいずれかを含むイオン注入混合ガスには、交互に、マイクロ波エネルギーが印加されうる。幾つかの実施形態では、活動的なイオンを含むガスはプラズマであってもよい。イオンを基板表面に向かって所望のエネルギーで加速するため、基板支持体、ガス供給器、又はその両方に、約50Vから約10000Vの間、例えば約4000Vの電気バイアス(ピークトゥピーク電圧)が印加される。幾つかの実施形態では、電気バイアスはまた、処理ガスのイオン化に使用される。他の実施形態では、プロセスガスをイオン化するため、第2の電界が使用される。一実施形態では、約100Wから約10000Wの間の電力レベルで、処理ガスをイオン化し、基板支持体をバイアスするため、約2MHzの周波数を有するRF電界が提供される。生成されるイオンは一般的に、上述のように基板又はガス分配器をバイアスすることによって、基板に向かって加速される。
[0070] 幾つかの実施形態では、イオン生成に使用される電力はパルス化されてもよい。電力はプラズマ源に対して所望の時間だけ印加され、次に所望の時間だけ切断されてもよい。電力サイクルは、所望の周波数及びデューティサイクルで、所望の回数だけ反復されうる。幾つかの実施形態では、プラズマは、約1Hzから約50,000Hzの間、例えば、約5000Hzから約10000Hzの間の周波数でパルス化されうる。他の実施形態では、プラズマパルス化は、約10%から約90%の間、例えば、約30%から約70%の間のデューティサイクル(1サイクルあたりの出力時間と非出力時間の比率)で進行しうる。一実施形態では、RFソース電力は約100ワットから約5000ワットの間で供給され、バイアス電力は約50ワットから約11000ワットの間で供給されうる。処理温度は、摂氏約5度から摂氏約650度までの間で制御されうる。
[0071] ブロック706では、第1の材料810は除去され、これによって、フィン構造804と基板802の処理領域又は注入領域、並びに非処理領域又は非注入領域は露出される。ある実施形態では、構造及び/又は基板802の非注入領域は、第1の材料810の除去によって露出されうる。態様によっては、第1の材料810は、図8A〜図8Fに図解されているように、湿式洗浄プロセスを利用して除去されうる。ドライプラズマプロセスも第1の材料810の除去に利用されうることが予測される。選択された洗浄プロセスの化学物質は、第1の材料810を等方的に除去しうる。
[0072] ブロック708では、指向性プラズマプロセス又はイオン注入プロセス及び第1の材料の除去後、3D構造上に第2の材料が堆積される。第2の材料は、構造の第2の領域の上に、選択的に成長しうる。図8A、図8C、及び図8Eに図解した例によれば、原子層堆積プロセスは、主として基板802の注入領域又は処理領域で、基板802の上に材料層820を選択的に堆積するように実行されうる。図8B、図8D、及び図8Fに図解したように、原子層堆積プロセスは、主として基板802の非注入領域又は非処理領域で、基板802の上に材料層820を選択的に堆積するように実行されてもよい。
[0073] 上述のように、態様によっては、構造の注入領域又は処理領域は、ALDプロセスの各パルスから原子を取り込んで、材料層820の成長及び連続堆積を可能にするため、ALDプロセス中に供給される分子を吸収し、分子と反応しうる。注入された第1の堆積層は、意図された領域の上に材料層820が選択的に形成されることを可能にするテンプレートとしても役割を果たしうる。態様によっては、意図された領域は、異なるデバイス要件に対して、フィン構造の異なる領域上に異なる材料でフィン構造を形成するため、フィン構造804の注入領域又は非注入領域のいずれかを含みうる。
[0074] ALDプロセスは表面状態に対して敏感なため、プロセス700は、第1の堆積材料の上に材料層820を選択的に堆積するための理想的な方法である。別の態様では、材料層820は、基板802及び/又はフィン構造804の上に選択的に堆積されてもよい。ALDプロセスは、自己制御的/限定的成長を有するCVDプロセスである。ALDプロセスは、わずか数オングストーム又は単分子層レベルの厚みを生み出す。ALDプロセスは、化学反応をサイクルで反復される2つ別々の反応に分配することによって、制御される。ALDプロセスによって形成される材料層820の厚みは、反応サイクルの数に依存する。第1の反応は、基板上で吸収される分子層の第1の原子層をもたらし、第2の反応は、第1の原子層の上で吸収される分子層の第2の原子層をもたらす。このように、第1の堆積材料810の秩序構造は、材料層820の成長のためのテンプレートとしての役割を果たす。別の態様では、基板802及びフィン構造804の処理表面は、材料層820の成長のためのテンプレートとしても役割を果たしうる。
[0075] イオン注入から形成された処理層は、注入領域の上へのALD材料の堆積を妨げる成長防止マスクとしての役割を果たしうる。一方、3D構造の非注入/非変化領域は、注入によってもたらされる核形成部位の上にALD材料が核形成し成長することを可能にする、イニシエーションシード/核形成層としての役割を果たしうる。別の態様では、イオン注入及びその後の注入から形成された処理済み層は、第1の堆積層からもたらされる核形成部位の上にALD材料が核形成し成長することを可能にする、イニシエーションシードとしての役割を果たしうる。また、非注入領域、非処理領域は、非注入領域の上へのALD材料の堆積を妨げる成長防止マスクとしての役割を果たしうる。このように、選択的堆積プロセスは、構造の異なる場所に異なる材料で、半導体基板上に3D構造を形成しうる。
[0076] ALD堆積プロセス中、第1の反応混合ガスは、図6に描かれている処理チャンバ634などの処理チャンバに供給され、フィン構造804の上に第2の堆積層820を形成する。指向性イオン注入によって形成される3D構造の領域(すなわち、上部814)は、3D構造の非処理/非変化領域とは異なる化学特性を有しうるため、処理済み層814の分子は、材料層820の第1の単分子層の原子に付着することはできない。したがって、第1の単分子層の原子は、主として3D構造の非処理/非変化領域の原子に吸着しうる。このように、第2の堆積は、図8B、図8D、及び図8Fに図解されているように、非処理/非変化領域の上に選択的に形成されうる。別の態様では、処理層814の分子は、材料層820の第1の単分子層の原子に付着しうる。したがって、第2の堆積は、図8B、図8D、及び図8Fに図解されているように、処理/変化領域の上に選択的に形成されうる。
[0077] 第1の反応混合ガスのパルス化の間に、第1の反応混合ガスは、水素ガス(H)又はNHガスなどの還元混合ガス(「試薬」)と同時に、連続的に、或いは別の態様では、これらの還元混合ガスなしで、必要に応じて、熱ALDプロセス中の或いはプラズマALDプロセス中の処理チャンバ634へ供給されうる。別の態様では、水、酸素、オゾン、過酸化水素などの酸化混合ガス(「試薬」)は、第1の反応混合ガスと共に供給されうる。処理チャンバ634に供給されうる第1の反応混合ガスには、SiH、Si、又は他の好適なシリコン含有化合物などのシリコン含有ガス、並びに、タンタル含有ガス、チタン含有ガス、コバルト含有ガス、タングステン含有ガス、アルミニウム含有ガス、ニッケル含有ガス、銅含有ガス、プラチナ含有ガス、ハフニウム含有ガス、亜鉛含有ガス、ルテニウム含有ガス、ホウ素含有ガス、リン含有ガス、窒素含有ガス、又は半導体デバイスでの使用に適した基盤表面上に単分子層を堆積しうる他の好適なガスのうちの一又は複数が含まれうる。本明細書に記載されているように、代替的な試薬(すなわち、堆積プロセス中に単分子層を形成するための反応前駆体と共に使用される還元剤)の例には、水素(例えば、H又は水素原子)、窒素(例えば、N又は窒素原子)、アンモニア(NH)、ヒドラジン(N)、水素とアンモニアの混合物(H/NH)、ボラン(BH)、ジボラン(B)、トリエチルボラン(EtB)、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、メチルシラン(SiCH)、ジメチルシラン(SiC)、ホスフィン(PH)、これらの誘導体、これらのプラズマ、或いはこれらの組み合わせが含まれる。
[0078] 第1の反応混合ガスパルスは所定の時間間隔だけ続く。パルスという用語は本明細書で使用されているように、処理チャンバに注入される材料の投与を意味する。第1の反応混合ガス又は第2の反応混合ガスの各パルスの間では、以下で更に説明されるように、基板表面で反応しない/吸収されない不純物又は残留前駆体混合ガス(例えば、反応混合ガス又はその他の未反応不純物)を除去するため、パージ混合ガスは、第1及び/又は第2の反応前駆体混合ガスの各パルス又は複数のパルスの間に、処理チャンバの中へパルス注入されることがあり、これらは処理チャンバからポンプで汲み出される。
[0079] 処理チャンバ634へパルス注入された第1の反応前駆体混合ガスの各パルスは、約3Aから約5Aの厚みを有する材料層820の第1の単分子層を堆積しうる。
[0080] 第1の反応前駆体混合ガスのパルス注入中には、幾つかのプロセスパラメータも制御されうる。一実施形態では、処理圧力は約7Torrから約30Torrの間で制御される。処理温度は、摂氏約125度から摂氏約450度の間となる。RF電力は、約100ワットから約2000ワットの間で制御されうる。第1の反応混合ガスに供給される反応ガスは、約5sccmから約10sccmの間で制御されうる。還元ガスは、約100sccmから約700sccmの間で供給されうる。
[0081] 第1の反応ガスのパルスの停止後、第2の反応混合ガスのパルスが処理チャンバ634に供給され、主としてフィン構造804の選択された部分の上に、材料層820の第2の単分子層を形成する。第2の反応混合ガスは、水素ガス(H)又はNHガスなどの還元混合ガス(すなわち、試薬)と同時に、連続して、或いは別の態様では、これらの還元混合ガスなしで、必要に応じて、熱ALDプロセス中の或いはプラズマALDプロセス中の処理チャンバ634へ供給されうる。第2の単分子層は、化学反応によって第1の単分子層に吸収され、これにより、第2の単分子層の原子は第1の単分子層の原子にしっかりと付着させることができる。
[0082] 一実施形態では、処理チャンバ634に供給されうる好適な第2の反応混合ガスには、SiH、Si、又は他の好適なシリコン含有化合物などのシリコン含有ガス、並びに、HO、O、又はOなどの酸素含有ガス、タンタル含有ガス、チタン含有ガス、コバルト含有ガス、タングステン含有ガス、アルミニウム含有ガス、ニッケル含有ガス、銅含有ガス、プラチナ含有ガス、ハフニウム含有ガス、亜鉛含有ガス、ルテニウム含有ガス、ホウ素含有ガス、リン含有ガス、窒素含有ガス、又は半導体デバイスでの使用に適した基盤表面上に単分子層を堆積しうる他の好適なガスのうちの一又は複数が含まれうる。本明細書に記載されているように、代替的な試薬(すなわち、堆積プロセス中に単分子層を形成するための反応前駆体と共に使用される還元剤又は酸化剤)の例には、水(HO)、オゾン(O)、水素(例えば、H又は水素原子)、酸素(例えば、O又は酸素原子)、過酸化水素(H)、窒素(例えば、N又は窒素原子)、アンモニア(NH)、ヒドラジン(N)、水素とアンモニアの混合物(H/NH)、ボラン(BH)、ジボラン(B)、トリエチルボラン(EtB)、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、メチルシラン(SiCH)、ジメチルシラン(SiC)、ホスフィン(PH)、これらの誘導体、これらのプラズマ、或いはこれらの組み合わせが含まれる。
[0083] 第2の反応混合ガスのパルスは、所定の時間間隔だけ持続する。各パルス又は第2の反応混合ガス又は第1及び第2の反応混合ガスの幾つかのパルスの間に、基板表面によって処理されていない/吸収されていない不純物又は残留前駆体混合ガス(例えば、反応混合ガス又はその他の未反応不純物)を除去するため、パージ混合ガスが処理チャンバにパルス注入されてもよい。
[0084] 処理チャンバ634へパルス注入された第2の反応前駆体混合ガスの各パルスは、約3Aから約5Aの厚みを有する材料層820の第2の単分子層を堆積しうる。
[0085] 第2の反応前駆体混合ガスのパルス化中には、幾つかのプロセスパラメータも制御されうる。一実施形態では、処理圧力は約5Torrから約30Torrの間で制御される。処理温度は、摂氏約125度から摂氏約450度の間となる。RF電力は、約100ワットから約800ワットの間で制御されうる。第2の反応混合ガスに供給される反応ガスは、約5sccmから約20sccmの間で制御されうる。還元ガスは、約100sccmから約700sccmの間で供給されうる。
[0086] 反応前駆体混合ガスの各パルスの間又は幾つかのパルス後に、パージ混合ガスは処理チャンバ634に供給され、処理チャンバから残留物及び不純物をパージする。幾つかのプロセスパラメータはまた、パージ混合ガスのパルス化中に制御される。一実施形態では、処理圧力は約1Torrから約100Torrの間で制御される。処理温度は、摂氏約125度から摂氏約450度の間となる。RF電力は、約100ワットから約800ワットの間で制御されうる。Ar又はNガスは、約200sccmから約1000sccmの間で供給されうる。
[0087] パージ混合ガスのパルスの後、付加的なサイクルが第1及び/又は第2の反応混合ガスのパルス化から開始され、その後、パージ混合ガスのパルスが続き、所望の厚みの材料層820が得られるまで繰り返し実行することができる。第1の反応混合ガスをパルス化するその後のサイクルが開始されると、処理圧力及び他のプロセスパラメータは、材料層820のその後の単分子層の堆積を支援するため、所定のレベルまで調整されうる。
[0088] 態様によっては、3D構造の第1の領域をドープするため注入プロセスを実行すること、同時に第1の堆積材料を形成すること、第1の材料を除去すること、及び3D構造上に第2の材料を堆積することによって、選択的な堆積を形成するための方法が提供される。第2の材料は、3D構造の第2の領域の上に選択的に成長しうる。したがって、構造中の異なる場所に所望の異なる種類の材料で形成されたフィン構造は、特に、三次元(3D)IC形成スキームでのアプリケーション用に得ることができる。
[0089] 以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. 基板の上に構造を形成する方法であって、
    基板の上に形成される3D構造の第1の領域をドープするため、前記3D構造の上に第1の材料を堆積する間に注入プロセスを実行すること、
    前記第1の材料を除去すること、及び
    前記3D構造の第2の領域の上で選択的に成長する第2の材料を前記3D構造の上に堆積すること
    を含む方法。
  2. 前記第1の領域と前記第2の領域は同一である、請求項1に記載の方法。
  3. 前記第1の領域の上で前記注入を実行することは、
    前記第1の材料に選択されたイオン入射角でイオンをドープすることを含む、請求項1に記載の方法。
  4. 前記第1の領域をドープするため前記注入プロセスを実行することは、
    前記基板の上で指向性プラズマプロセスを実行することを含む、請求項1に記載の方法。
  5. 前記指向性プラズマプロセスは、
    主に前記3D構造の第1の側壁と前記3D構造の上部にイオンをドープして、前記ドープされた第1の領域を形成すること、及び
    前記基板の処理領域及び非処理領域の上に前記第1の材料を不均一に堆積すること
    を更に含む、請求項4に記載の方法。
  6. 前記第2の材料は、原子層堆積、物理的気相堆積、及び化学気相堆積のうちの少なくとも1つを使用して、前記構造の上に堆積される、請求項1に記載の方法。
  7. 前記3D構造の上に前記第2の材料を堆積することは、
    一又は複数の反応ガスをパルス注入して、前記3D構造上に前記第2の材料を選択的に堆積することを更に含む、請求項6に記載の方法。
  8. 基板上にフィン構造を形成する方法であって、
    基板の注入領域の上に処理層を形成し、また、前記基板の非注入領域の上に非処理層を形成する指向性プラズマプロセスを実行すること、及び
    前記基板の前記注入領域の上に材料層を選択的に堆積すること
    を含む方法。
  9. 前記処理層を除去し、前記基板の前記注入領域及び非注入領域を露出するため、洗浄処理を実行することを更に含む、請求項8に記載の方法。
  10. 前記材料層を選択的に堆積することは、
    前記基板の前記注入領域の上に前記材料層を形成するため、原子層堆積プロセスを実行することを含む、請求項8に記載の方法。
  11. 前記指向性プラズマプロセスを実行することは、
    前記基板の前記第1及び第2の領域の上に第1の堆積材料を堆積すること、及び
    前記基板の前記第1の領域にイオンを注入するため、前記指向性プラズマプロセスを実行すること
    を更に含む、請求項8に記載の方法。
  12. 前記第1の領域は、前記基板の第1の側壁を含み、
    前記基板の前記第1の側壁に、0度から60度の間のイオン入射角で、イオンをドープすることを更に含む、請求項11に記載の方法。
  13. 基板の上にフィン構造を形成する方法であって、
    基板の注入領域の上に処理層を形成し、また、前記基板の非注入領域の上に非処理層を形成する指向性プラズマプロセスを実行すること、及び
    前記基板の前記非注入領域の上に材料層を選択的に堆積すること
    を含む方法。
  14. 前記基板の前記注入領域を露出するため、洗浄処理を実行することを更に含む、請求項13に記載の方法。
  15. 前記材料層を選択的に堆積することは、
    前記基板の前記非注入領域の上に前記材料層を形成するため、原子層堆積プロセスを実行することを含む、請求項13に記載の方法。
JP2017521057A 2014-07-03 2015-06-08 選択的堆積のための方法及び装置 Active JP6629312B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462020651P 2014-07-03 2014-07-03
US62/020,651 2014-07-03
PCT/US2015/034679 WO2016003602A1 (en) 2014-07-03 2015-06-08 Method and apparatus for selective deposition

Publications (2)

Publication Number Publication Date
JP2017528923A true JP2017528923A (ja) 2017-09-28
JP6629312B2 JP6629312B2 (ja) 2020-01-15

Family

ID=55017591

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017521057A Active JP6629312B2 (ja) 2014-07-03 2015-06-08 選択的堆積のための方法及び装置

Country Status (6)

Country Link
US (1) US9385219B2 (ja)
JP (1) JP6629312B2 (ja)
KR (2) KR102342328B1 (ja)
CN (1) CN106663632B (ja)
TW (1) TWI620233B (ja)
WO (1) WO2016003602A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019229785A1 (ja) * 2018-05-28 2019-12-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2022019778A (ja) * 2018-05-28 2022-01-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法。

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9972548B2 (en) * 2015-07-27 2018-05-15 Globalfoundries Inc. FinFET electrical characterization with enhanced hall effect and probe
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US9870952B1 (en) * 2017-02-07 2018-01-16 International Business Machines Corporation Formation of VFET and finFET
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10147584B2 (en) 2017-03-20 2018-12-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for decelerated ion beam with no energy contamination
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018219509A1 (en) * 2017-06-01 2018-12-06 Asml Netherlands B.V. Particle removal apparatus and associated system
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10763104B2 (en) * 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11053580B2 (en) 2018-02-21 2021-07-06 Varian Semiconductor Equipment Associates, Inc. Techniques for selective deposition using angled ions
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102656701B1 (ko) 2018-10-04 2024-04-11 삼성전자주식회사 반도체 소자의 제조 방법
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN113242990A (zh) * 2018-12-17 2021-08-10 应用材料公司 用于封装的pvd定向沉积
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10937690B2 (en) * 2019-03-26 2021-03-02 Micron Technology, Inc. Selective dielectric deposition
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177238A (ja) * 1992-08-12 1994-06-24 Philips Electron Nv シリコントレンチ側壁を選択的に酸化する方法
JP2010518644A (ja) * 2007-02-14 2010-05-27 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
JP2013058740A (ja) * 2011-07-27 2013-03-28 Advanced Ion Beam Technology Inc 代用ソース/ドレインフィンfet加工

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001144077A (ja) * 1999-11-15 2001-05-25 Applied Materials Inc プラズマ処理装置及び方法
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
KR20060000344A (ko) * 2004-06-28 2006-01-06 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 제조 방법
CN2775070Y (zh) * 2005-04-08 2006-04-26 中国航空工业第一集团公司北京航空制造工程研究所 材料表面离子注入及沉积的复合偏压装置
KR100829922B1 (ko) * 2006-08-24 2008-05-16 세메스 주식회사 플라즈마 처리 장치 및 방법
US20080111185A1 (en) 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
US8039379B1 (en) * 2007-07-02 2011-10-18 Novellus Systems, Inc. Nanoparticle cap layer
KR101481574B1 (ko) * 2008-02-13 2015-01-14 삼성전자주식회사 반도체 소자의 제조 방법
KR101626565B1 (ko) * 2008-10-31 2016-06-01 어플라이드 머티어리얼스, 인코포레이티드 P3i 챔버에서 등각 도핑의 개선
US8110467B2 (en) * 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8202792B2 (en) 2009-04-24 2012-06-19 Varian Semiconductor Equipment Associates, Inc. Method of processing a substrate having a non-planar surface
US8679960B2 (en) * 2009-10-14 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate having a non-planar surface
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
US8263446B2 (en) 2010-09-13 2012-09-11 International Business Machines Corporation Asymmetric FinFET devices
US8598025B2 (en) 2010-11-15 2013-12-03 Varian Semiconductor Equipment Associates, Inc. Doping of planar or three-dimensional structures at elevated temperatures
US8580100B2 (en) * 2011-02-24 2013-11-12 Massachusetts Institute Of Technology Metal deposition using seed layers
US20120263887A1 (en) 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TWI636571B (zh) * 2012-05-18 2018-09-21 Novellus Systems, Inc. 透過電漿活化原子層沉積及保形膜沉積之保形摻雜
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US8846545B2 (en) * 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06177238A (ja) * 1992-08-12 1994-06-24 Philips Electron Nv シリコントレンチ側壁を選択的に酸化する方法
JP2010518644A (ja) * 2007-02-14 2010-05-27 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
JP2013058740A (ja) * 2011-07-27 2013-03-28 Advanced Ion Beam Technology Inc 代用ソース/ドレインフィンfet加工

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019229785A1 (ja) * 2018-05-28 2019-12-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2019229785A1 (ja) * 2018-05-28 2021-05-20 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2022019778A (ja) * 2018-05-28 2022-01-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法。
JP7110468B2 (ja) 2018-05-28 2022-08-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法。

Also Published As

Publication number Publication date
KR20170026599A (ko) 2017-03-08
US20160005839A1 (en) 2016-01-07
KR102342328B1 (ko) 2021-12-21
CN106663632B (zh) 2020-09-22
WO2016003602A1 (en) 2016-01-07
TW201603124A (zh) 2016-01-16
TWI620233B (zh) 2018-04-01
US9385219B2 (en) 2016-07-05
JP6629312B2 (ja) 2020-01-15
KR20220019710A (ko) 2022-02-17
CN106663632A (zh) 2017-05-10
KR102422284B1 (ko) 2022-07-15

Similar Documents

Publication Publication Date Title
JP6629312B2 (ja) 選択的堆積のための方法及び装置
US9911594B2 (en) Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
TWI675397B (zh) 利用掩模及方向性電漿處理之選擇性沉積
JP7293211B2 (ja) 高エネルギー原子層エッチング
US11031247B2 (en) Method and apparatus for depositing a monolayer on a three dimensional structure
TWI543239B (zh) 具有非平面基底表面的基底處理方法
TWI665735B (zh) 針對半導體元件應用之先進3d特徵的製造所用之轉換製程
US20150380526A1 (en) Methods for forming fin structures with desired dimensions for 3d structure semiconductor applications
JP5558480B2 (ja) P3iチャンバにおける共形ドープの改善
US8288257B2 (en) Doping profile modification in P3I process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180528

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190702

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191204

R150 Certificate of patent or registration of utility model

Ref document number: 6629312

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250