CN106663632B - 用于选择性沉积的方法与设备 - Google Patents

用于选择性沉积的方法与设备 Download PDF

Info

Publication number
CN106663632B
CN106663632B CN201580036453.2A CN201580036453A CN106663632B CN 106663632 B CN106663632 B CN 106663632B CN 201580036453 A CN201580036453 A CN 201580036453A CN 106663632 B CN106663632 B CN 106663632B
Authority
CN
China
Prior art keywords
substrate
region
layer
deposition
ions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580036453.2A
Other languages
English (en)
Other versions
CN106663632A (zh
Inventor
E·Y·叶
S·D·内马尼
L·戈代
范寅
T·马
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN106663632A publication Critical patent/CN106663632A/zh
Application granted granted Critical
Publication of CN106663632B publication Critical patent/CN106663632B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)

Abstract

提供针对鳍式场效晶体管(FinFET)的利用选择性沉积工艺形成具有多个期望材料的鳍片结构的方法,该期望材料形成在该鳍片结构的不同位置上。在一实施例中,在基板上形成具有期望材料的结构的方法包括在具有三维(3D)结构形成于上的基板上沉积第一材料,同时进行布植工艺来掺杂3D结构的第一区域。移除第一材料,并且在3D结构上沉积第二材料。第二材料可在3D结构的第二区域上选择性地生长。

Description

用于选择性沉积的方法与设备
背景
技术领域
本文所述实施例总体上涉及用于在半导体基板上形成三维结构(诸如,鳍式场效晶体管(FinFET))的方法。更具体地,实施例涉及用于通过利用选择性沉积工艺在三维结构的不同位置处用不同材料在半导体基板上形成该结构的方法。
背景技术
图1A(现有技术)图示鳍式场效晶体管(FinFET)150的示例性实施例,FinFET 150置于基板100上。基板100可以是硅基板、锗基板或由其他半导体材料形成的基板。在一个实施例中,基板100可包括p型或n型掺杂剂掺杂于内。基板100包括多个半导体鳍片102形成于上,鳍片102由浅沟槽隔离(STI)结构104隔开。浅沟槽隔离(STI)结构104可由绝缘材料形成,诸如氧化硅材料、氮化硅材料或氮化碳硅材料。
基板100可依需求包括NMOS装置区101中的部分和PMOS装置区103中的部分,半导体鳍片102的每一个相继且交替地形成于基板100中的NMOS装置区101和PMOS装置区103。半导体鳍片102形成为在浅沟槽隔离(STI)结构104的顶表面上方突出。随后,通常包括栅电极层置于栅极介电层上的栅极结构106沉积在NMOS装置区101与PMOS装置区103两者上且在半导体鳍片102上面。
栅极结构106经图案化而暴露半导体鳍片102的未被栅极结构106覆盖的部分148、168。接着利用布植工艺,可使半导体鳍片102的暴露部分148、168掺杂有掺杂剂,以形成浅掺杂源极与漏极(LDD)区。
图1B(现有技术)图示基板100的截面图,基板包括多个半导体鳍片102形成于基板100上并由浅沟槽隔离(STI)结构104隔离。形成于基板100上的多个半导体鳍片102可以是基板100的从基板100向上延伸的部分,并利用浅沟槽隔离(STI)结构104隔离各半导体鳍片102。在另一实施例中,半导体鳍片102为置于基板100上的单独形成的结构,利用此领域已知的适当技术由不同于基板100的材料制成这些半导体鳍片102。在将不同材料的半导体鳍片102形成至不同表面120(包括由顶表面110连接的第一侧壁120a和第二侧壁120b)的实施例中,可进行附加工艺步骤,以改变形成于半导体鳍片102的不同表面120上的半导体鳍片102的材料。
图2A至图2C(现有技术)图示用于进行沉积工艺的常规工艺。工艺采用自组装单层(SAM)做为表面调节层,以选择性地调节基板上暴露的不同表面材料的表面性质。例如,如图2A所示,基板202可包括由第一材料(例如氧化硅层)形成的特征204,该特征置于由第二材料(例如硅)形成的基板202上。特征204具有定义于内的开口208,从而暴露基板202的表面206。接着如图2B所示,通过溶液基前体,在基板202上形成自组装单层(SAM)210。通常,自组装单层(SAM)210形成于具有与自组装单层(SAM)210的分子的化学反应能力的表面上。在图2B所示实施例中,用于形成自组装单层(SAM)210的前体经选择以与特征204的表面212(例如氧化硅材料)、而不与基板202的表面206(例如硅材料)产生化学反应。由此,自组装单层(SAM)210可主要形成在基板202的特征204上,从而使基板202的表面206无自组装单层(SAM)210。随后,如图2C所示,进行原子层沉积(ALD)工艺,以选择性地在基板202的指定表面206上形成结构214,ALD是对表面条件高度敏感的工艺。
通过利用形成于特征204的自组装单层(SAM)210,可选择性地只在基板202的指定表面206上形成结构214。然而,在基板只含一种类型的材料的情况下,自组装单层(SAM)210可全面形成于这个基板的整个表面,由此导致选择性材料沉积难以达成。
因此,需要一种用于适于半导体芯片或其他半导体器件的三维(3D)堆叠的选择性沉积工艺的改进方法。
发明内容
在一实施例中,提供一种在基板上形成结构的方法。方法包括进行布植工艺,以掺杂形成在基板上的3D结构的第一区域,同时在该3D结构上沉积第一材料。移除第一材料,及在该3D结构的第二区域上选择性地沉积第二材料。
在另一实施例中,提供一种在基板上形成鳍片结构的方法。方法包括进行方向性等离子体工艺,以将处理层形成在基板的布植区上且将未处理层形成在基板的非布植区上。主要在基板的布植区上选择性沉积材料层。
在又一实施例中,提供一种在基板上形成鳍片结构的方法。方法包括进行方向性等离子体工艺,以将处理层形成在基板的布植区上且将未处理层形成在基板的非布植区上。主要在基板的非布植区上选择性沉积材料层。
附图说明
因此,为了可详细理解本公开的上述特征的方式,可参考实施例得出以上简要概括的本公开的更具体的描述,实施例中的一些在附图中示出。然应注意,所附附图仅描绘本公开的典型实施例,故不宜视为限定本公开的范围,因为本公开可允许其他等效实施例。
图1A(现有技术)图示基板的示例示意性立体图,基板具有鳍式场效晶体管(FinFET)结构形成于上;
图1B(现有技术)图示基板的示例截面图,基板具有部分鳍式场效晶体管(FinFET)结构形成于上;
图2A至图2C(现有技术)图示利用自组装单层(SAM)进行选择性沉积工艺的示例工艺流程;
图3A图示设备,用以在基板上的结构中布植掺杂剂;
图3B图示设备的另一实施例,用以在基板上的结构中布植掺杂剂;
图4图示设备的另一实施例,用以在基板上的结构中布植掺杂剂;
图5图示设备的另一实施例,用以在基板上的结构中布植掺杂剂;
图6图示用于进行原子层沉积(ALD)工艺的设备;
图7图示用于在基板上形成具复合材料的鳍片结构的方法的流程图;及
图8A至图8F图示根据图7所示工艺,在制造工艺期间,利用期望材料形成鳍片结构的示例顺序。
为助于理解,尽可能以相同的附图标记代表各图中共同的相同元件。构想到,一个实施例的元件和特征可有益地并入其他实施例而无需详述。然应注意,所附附图仅描绘本公开的示例性实施例,故不宜视为限定本公开范围,因为本公开可允许其他等效实施例。
具体实施方式
提供用于在形成于基板上的结构上的不同位置处选择性地沉积不同材料的方法。结构可包括鳍片结构、栅极结构、接触结构或半导体器件中的任何适合结构,特别是鳍式场效晶体管(FinFET)半导体结构的三维(3D)堆叠。在一实施例中,选择性沉积可将不同材料形成在不同表面上,例如结构的不同部分上,其中结构包含单一材料。例如,所述方法可通过利用离子辅助的方向性等离子体处理(PME),以通过利用进入具有3D结构形成于上的基板的指定区域的以一个或多个选定角度的离子布植来相继或同时处理3D结构的不同区域。离子将调节部分基板或第一沉积材料的表面性质,以能够实现后续选择性沉积工艺。PME处理后,清洗工艺可移除第一沉积材料层,从而暴露3D结构或基板的布植区和非布植区。随后,沉积工艺用于选择性形成第二沉积层至3D结构上。如后所详述,第二沉积层可选择性形成在3D结构的布植区或非布植区上。
图3A是适于布植掺杂剂至基板中的处理腔室300的一个实施例的截面图。适用本文教示的适合处理腔室例如包括取自美国加利福尼亚州圣克拉拉的应用材料公司(Applied Materials,Inc.)的VARIAN
Figure BDA0001201916140000041
TRIDENT系统。应理解取自其他制造商的其他适当配置系统也受惠于所述实施例。所述处理腔室300可用作等离子体掺杂设备。然处理腔室300也可包括蚀刻及沉积系统,但不以此为限。另外,等离子体掺杂设备可对基板进行许多不同的材料调节工艺。一个此类工艺包括用期望的掺杂剂材料掺杂基板,诸如半导体基板。
处理腔室300包括腔室主体301,腔室主体定义内部处理区309。基板支撑件334设在处理腔室300中。在方向性等离子体工艺期间,具特征344形成于上的基板338置于基板支撑件334上。基板338可包括半导体晶片、平板、太阳能面板和聚合物基板,但不以此为限。半导体晶片可依需求呈盘状且直径为200毫米(mm)、300毫米(mm)或450毫米(mm)或其他尺寸。
RF等离子体源306耦接至腔室主体301且配置以于处理腔室300中产生等离子体340。在图3A的实施例中,等离子体鞘调节器308设在内部处理区309中。等离子体鞘调节器308包括一对调节器312、314并于其间定义缝隙316。缝隙316定义水平间距(G)。在一些实施例中,等离子体鞘调节器308可包括绝缘体、导体或半导体。该对调节器312、314可以是具有薄的平坦形状的一对片材。在其他实施例中,该对调节器312、314可以是其他形状,诸如管形、楔形,和/或靠近缝隙316具有斜边。在一实施例中,调节器312、314可由石英、氧化铝、氮化硼、玻璃、多晶硅、氮化硅、碳化硅、石墨等制成。
该对调节器312、314定义的缝隙316的水平间距可以是约6.0毫米(mm)。该对调节器312、314也可定位成在平面351上方定义竖直间距(Z)。平面351由基板338的正面或基板支撑件334的表面定义。在一实施例中,竖直间距(Z)可为约3.0mm。
气源388耦接至处理腔室300,以供应可离子化气体至内部处理区309。可离子化气体的示例包括BF3、BI3N2、Ar、PH3、AsH3、B2H6、H2、Xe、Kr、Ne、He、SiH4、SiF4、SF6、C2F6、CHF3、GeH4、GeF4、CH4、CF4、AsF5、PF3和PF5,但不以此为限。等离子体源306可通过激发并离子化供给处理腔室300的气体而产生等离子体340。可通过不同机制跨等离子体鞘342吸引等离子体340中的离子。在图3A的实施例中,偏置源390耦接至基板支撑件334且配置以偏置基板338而跨等离子体鞘342吸引等离子体340中的离子302。偏置源390可以是提供DC电压偏置信号的DC电源或提供RF偏置信号的RF电源。
相信,等离子体鞘调节器308调节等离子体鞘342内的电场,以控制等离子体340与等离子体鞘342之间的边界341的形状。等离子体340与等离子体鞘342之间的边界341可相对平面351具有凸状。当偏置源390偏置基板338时,通过大范围入射角经由调节器312、314间定义的缝隙316跨等离子体鞘342吸引离子302。例如,依循轨迹路径371的离子302可以相对平面351的正θ(+θ)角度撞击基板338。依循轨迹路径370的离子可以相对同一平面351以约90度的角度垂直撞击基板338。依循轨迹路径369的离子可以相对平面351的负θ(-θ)角度撞击基板338。故入射角范围可以在约正θ(+θ)至约负θ(-θ)之间且中心约90度。此外,一些离子循轨迹路径可彼此相交,例如路径369、371。
根据若干因素(包括调节器312、314间的水平间距(G)、等离子体鞘调节器308于平面351上方的竖直间距(Z)、调节器312、314的介电常数和其他等离子体工艺参数,但不以此为限),入射角(θ)的范围可以在+60度至-60度之间且中心约0度。因此,离子302可均匀处理基板338上的小型三维结构。例如,离子302可更均匀处理特征344的侧壁347、而非只有顶表面349,特征344可用于形成FinFET装置的鳍片结构并具有夸大的尺寸以便清楚说明。
参照图3B,代替图3A所示的一对调节器312、314,使用至少三个调节器1400、1402、1404来将离子控制为相对于基板338有期望的角度分布。通过把外侧两个调节器1400、1404布置在于基板338上方形成相等距离Za的共同平面(相同的竖直平面(Za))上,以及通过保持调节器1400、1402、1404之间的相等水平间距G1、G2,可获得对称双模角离子展度且中心约±θ(+θ与-θ)度。如上所述,通过改变外调节器1400、1404与中间调节器1402间的竖直间距来改变缝隙角度,可调节离子植入基板338的入射角。通过改变调节器1400、1402、1404间的水平间距(G1、G2)来改变水平间距(G1、G2)定义的缝隙宽度,可调节角离子展度。通过使Za不同于Zb、选择不同于G2的G1、或结合上述方式,可产生非对称分布。在一实施例中,角离子展度可调节成从中心起约0度至约30度之间,从而只处理或布植离子至结构的一侧。
图4图示离子处理腔室400的另一实施例,可利用离子处理腔室400来用期望且可变的入射角布植离子至基板。处理腔室400包括具侧壁403的电弧室402,该侧壁403具有提取孔410。处理腔室400进一步包括等离子体鞘调节器420,用以控制等离子体440与邻近提取孔410的等离子体鞘442之间的边界441的形状。提取电极组件自等离子体440提取离子406并跨等离子体鞘442加速离子而形成界限分明的离子束418。提取电极组件包括做为弧沟电极的侧壁403、抑制电极414和接地电极416。抑制电极414和接地电极416各自具有对准提取孔410的孔,以提取界限分明的离子束418。为助于说明,定义笛卡儿坐标系统,其中离子束418沿Z方向行进。X-Y平面垂直于Z方向,此可根据离子束418的方向而变化。
在图4的实施例中,等离子体鞘调节器420包括定位在电弧室402中的一对调节器430、432。在其他实施例中,调节器420包括一个调节器。调节器430、432可由石英、氧化铝、氮化硼、硅、碳化硅、石墨、玻璃、瓷土、氮化硅等制成。该对调节器430、432可以是具有薄的平坦形状的一对片材。在其他实施例中,该对调节器430、432可具其他形状,例如管形、楔形,和/或具有斜边。该对调节器430、432可于其间定义具有间距(G)的缝隙450。该对调节器430、432也可定位在平面423上方的竖直间距(S)处,平面423由具有提取孔径410的侧壁403的内表面定义。
操作时,馈送气体(未示出)供应到电弧室402。馈送气体示例包括BF3、BI3N2、Ar、PH3、AsH3、B2H6、H2、Xe、SF6、C2F6、CHF3、Kr、Ne、He、SiH4、SiF4、GeH4、GeF4、CH4、CF4、AsF5、PF3和PF5,但不以此为限。取决于期望的物种,馈送气体可源自气源或由固体源汽化。馈送气体在电弧室402中离子化而产生等离子体。其他产生等离子体的离子源类型包括间接加热阴极(IHC)源、Bernas源、RF源、微波源和电子回旋共振(ECR)源。IHC源通常包括定位成紧邻阴极的细丝,且也包括相关联的电源。阴极(未图标)定位在电弧室402中。当细丝被加热时,细丝发射的电子将加速朝向阴极以提高对阴极的加热。加热的阴极进而提供电子至电弧室中,电子与馈送气体的气体分子离子化碰撞而产生等离子体。
包括侧壁403、抑制电极414和接地电极416的提取电极组件自电弧室402中的等离子体440提取离子406至界限分明离子束418。经由该对调节器430、432间的缝隙450跨边界441和等离子体鞘442加速离子406。用作弧源电极的侧壁403可由电源偏置成和电弧室402一样大的电位。抑制电极414可以适度负值偏置,以防止电子返回电弧室402。接地电极416可处于接地电位。电极组件产生的电场强度可调整成达期望的射束电流和能量。
有利地,等离子体鞘调节器420控制等离子体440与邻近提取孔径410的等离子体鞘442间的边界441的形状。为控制边界441的形状,等离子体鞘调节器420调节或影响等离子体鞘442内的电场。当等离子体鞘调节器420包括该对调节器430、432时,如图4所示,边界441可相对等离子体440呈凹状。取决于若干因素(包括调节器430、432间的水平间距(G)、调节器430、432于基板或基板支撑件平面上方的竖直间距(S)、调节器430、432的材料与厚度和其他离子源工艺参数,但不以此为限),可控制边界441的形状。
等离子体440与等离子体鞘442间边界441的形状和等离子体鞘442内的电场梯度一起控制离子束的参数。例如,离子406的角展度可控制以协助离子束聚焦。例如,利用相对等离子体呈凹状的边界441,存在跨边界加速的离子的大角展度以协助射束聚焦。此外,也可控制离子束418的离子束电流密度。例如,相较于一个常规离子源的边界441,边界441具有较大面积来提取额外离子。因此,额外提取的离子有助于提高离子束电流密度。因此,若所有其他参数相同,则边界441的形状可提供具高离子束电流密度的聚焦离子束。另外,也可通过控制边界441的形状来控制离子束发射率。从而,可就给定粒子密度和角分布明确定义提取的离子束的射束质量。
图5图示常规离子布植处理腔室500,可利用离子布植处理腔室500来掺杂离子至基板的某些区域。离子布植处理腔室500包括离子源502、提取电极504、90度磁分析仪506、第一减速(D1)平台508、磁分析仪510和第二减速(D2)平台512。减速平台D1、D2(也称作减速透镜)各自包含多个电极,该多个电极具有限定的孔让离子束通过。通过施加不同电压电位组合至多个电极,减速透镜D1、D2可操纵离子能量并促使离子束以期望的能量击中目标晶片而布植离子到基板。上述减速透镜D1、D2通常为静电三极体(或四极体)减速透镜。
图6是原子层沉积(ALD)处理腔室634的实施例截面图。ALD处理腔室634包括适于循环沉积(诸如,ALD或化学气相沉积(CVD))的气体输送设备630。在此所用“ALD”和“CVD”等用语是指相继或同时引入反应物以在基板结构上沉积薄层。相继引入反应物可反复进行,以沉积多个薄层而形成达期望厚度的共形层。腔室634也适于其他沉积技术和光刻工艺。
腔室634包含具侧壁631和底部632的腔室主体629。形成穿过腔室主体629的缝阀管道633提供机器人(未示出)进出腔室634,以传送及取回基板338,诸如200mm、300mm或450mm的半导体基板或玻璃基板。
基板支撑件692设在腔室634中并于处理期间支撑基板338。基板支撑件692安装至升降装置614,用以抬高及降低基板支撑件692和放置于上的基板338。升降板616连接至升降板致动器618,用以控制升降板616的高度。可抬高及降低升降板616,以抬高及降低销620,销620可移动地设置为穿过基板支撑件692。销620用于抬高及降低基板支撑件692的表面上的基板338。基板支撑件692可包括真空吸盘、静电夹头或夹紧环,以于处理期间将基板338固定于基板支撑件692的表面。
可加热基板支撑件692,以加热放置于上的基板338。例如,可利用嵌入的加热组件加热基板支撑件692,诸如电阻式加热器,或可利用辐射加热来加热,诸如设在基板支撑件692上方的加热灯。净化环622可设在基板支撑件692上,以定义净化通道624,此提供净化气体至基板338的周围部分,以防止沉积于上。
气体输送设备630设在腔室主体629的上部,以提供气体至腔室634,诸如工艺气体和/或净化气体。泵送系统678与泵送通道679连通,以将任何期望的气体排出腔室634并且协助在腔室634的泵送区666内维持期望压力或期望压力范围。
在一实施例中,气体输送设备630包含腔室盖632。腔室盖632包括从腔室盖632的中心部分延伸的扩张管道637和从扩张管道637延伸到腔室盖632的周围部分的底表面660。底表面660尺寸设定并且成形为基本上覆盖置于基板支撑件692上的基板338。腔室盖632在邻接基板338周边的腔室盖632的周边部分处具有扼流器662。帽部672包括扩张管道637的部分和气体入口636A、636B。扩张管道637具有气体入口636A、636B,以从两个相似的阀642A、642B提供气流。可一起和/或分开地由阀642A、642B提供气流。
在一配置下,阀642A、642B耦接至不同反应气源,但耦接至相同净化气源。例如,阀642A耦接至反应气源638,阀642B耦接至反应气源639,阀642A、642B均耦接至净化气源640。每一阀642A、642B包括具阀座组件644A、644B的输送管线643A、643B,及包括具阀座组件646A、646B的净化管线645A、645B。输送管线643A、643B与反应气源638、639连通并与扩张管道690的气体入口637A、637B连通。输送管线643A、643B的阀座组件644A、644B控制反应气体从反应气源638、639到扩张管道690的流量。净化管线645A、645B与净化气源640连通且在输送管线643A、643B的阀座组件644A、644B下游与输送管线643A、643B相交。净化管线645A、645B的阀座组件646A、646B控制净化气体从净化气源640到输送管线643A、643B的流量。若载气用于输送反应气源638、639的反应气体,则相同气体可用作载气和净化气体(即氩气可用作载气和净化气体两者)。
各阀642A、642B可以是零怠体积阀(zero dead volume valve),以于阀的阀座组件644A、644B关闭时,冲走来自输送管线643A、643B的反应气体。例如,净化管线645A、645B可定位成邻接输送管线643A、643B的阀座组件644A、644B。当阀座组件644A、644B关闭时,净化管线645A、645B可提供净化气体来冲洗输送管线643A、643B。在所示实施例中,净化管线645A、645B定位成略为远离输送管线643A、643B的阀座组件644A、644B,如此打开时,净化气体不会直接输送到阀座组件644A、644B。在此所用“零怠体积阀”定义为怠体积可忽略(即未必零怠体积)的阀。各阀642A、642B可适于提供反应气体638、639与净化气体640的结合气流和/或单独气流。通过打开及关闭净化管线645A的阀座组件646A的隔板,可提供净化气体脉冲。通过打开及关闭输送管线643A的阀座644A的隔板,可提供反应气源638的反应气体脉冲。
控制单元680可耦接至腔室634,用以控制处理条件。控制单元680包含中央处理单元(CPU)682、支持电路684和存储器686,存储器含有相关联的控制软件683。控制单元680可以是任一类型的通用计算机处理器之一,通用计算机处理器可用于工业设定来控制各种腔室和子处理器。CPU682可使用任何适合的存储器686,例如随机存取内存、只读存储器、软盘、光盘、硬盘或任何其他类型的本端或远程数字存储器。不同支持电路可耦接至CPU 682,用以支持腔室634。控制单元680可耦接至位于各腔室部件附近的另一控制器,诸如阀642A、642B的可程序逻辑控制器648A、648B。经由许多信号电缆可操纵控制单元680与腔室634的各种其他部件间的双向通信,信号电缆统称信号总线688,信号电缆中的一些在图6中示出。除了控制气源638、639、640的处理气体和净化气体及阀642A、642B的可程序逻辑控制器648A、648B,控制单元680还可配置以负责自动控制用于基板处理的其他动作,诸如基板传送、温度控制、腔室排空等其他动作,一些动作将描述于后。
图7是选择性沉积工艺的一个实施例的流程图,可执行此工艺以在形成在基板上的结构的不同位置上形成不同材料。结构可以是自基板往外延伸的三维结构,诸如鳍片结构、栅极结构、接触结构或用于半导体应用的任何其他适合结构。图8A至图8F是复合基板的部分的截面图,此对应于工艺700的各方面。工艺700可用于形成鳍片结构至基板上,基板具有形成于鳍片结构的不同位置上的期望的材料,鳍片结构后来可用于形成三维(3D)IC应用的鳍式场效晶体管(FinFET)。或者,工艺700有益于蚀刻其他类型的结构。
工艺700始于框702:提供基板,诸如图8A至图8F所示基板802,基板可以是图3A至图6所示基板338且具有多个结构形成于上,诸如鳍片结构。在一实施例中,基板802可以是诸如结晶硅(例如Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片与图案化或未图案化的晶片、绝缘层上硅晶(SOI)、碳掺杂的氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石等材料。基板802可具各种尺寸,诸如200mm、300mm、450mm或其他直径,且为矩形或方形面板。除非另行注明,否则所述实施例和示例是在具有200mm直径、300mm直径或450mm直径的基板上进行。在SOI结构用于基板802的实施例中,基板802可包括置于结晶硅基板上的内埋介电层。在所述实施例中,基板802可为结晶硅基板。再者,基板802不限于任何特定尺寸或形状。基板802可以是具有200mm直径、300mm直径或其他直径(例如450mm等)的圆形基板。基板也可以是任何多边形、方形、矩形、弯曲或其他非圆形工件,诸如用于制造平板显示器的多边形玻璃基板。
鳍片结构804可以是自基板802往外延伸突出的结构。鳍片结构804具有侧壁806(图8A至图8F中示为第一侧壁806a和第二侧壁806b),终止于顶表面808。在一实施例中,通过蚀刻基板802以在鳍片结构804间形成凹槽805,可于基板802中形成鳍片结构804。接着用绝缘材料填充部分凹槽805,以形成浅沟槽隔离(STI)结构(为便于说明并未示出),此有助于在其间形成鳍片结构804供FinFET制造工艺用。通过蚀刻基板802形成鳍片结构804;因此,鳍片结构804可以具有与基板802相同的结构,基板可能是含硅材料。在所述实施例中,基板802是硅基板,使得形成的鳍片结构804也为硅材料。
在一实施例中,用于形成浅沟槽隔离(STI)结构的绝缘材料可以是介电材料,诸如氧化硅材料。绝缘材料可依需求由等离子体增强化学气相沉积(CVD)、流式化学气相沉积(CVD)、高密度等离子体(HDP)化学气相沉积(CVD)工艺、原子层沉积(ALD)、循环层沉积(CLD)、物理气相沉积(PVD)等形成。在一实施例中,绝缘材料由流式或等离子体增强化学气相沉积(CVD)形成。
注意,形成于基板802中的鳍片结构804的轮廓可依需求具有不同形式,包括呈实质直线、喇叭状展开、往上变细或往下变细或倾斜轮廓的侧壁806、特殊侧壁特征、外伸或底切结构或其他轮廓。
继续进行工艺700,在框704中,可沉积第一沉积材料至基板802上,基板具有鳍片结构804形成于上,同时进行布植工艺,以掺杂3D结构的第一区域。在框706中,移除第一沉积材料。在框708中,沉积第二沉积材料至基板上。第二材料可在基板的第二区域选择性地生长。在某些实施例中,第一材料不均匀地沉积在基板802的处理与未处理区和鳍片结构804上。处理与未处理区可由布植工艺形成。
框704的布植工艺可用于掺杂、涂覆、处理、布植、插入或调节鳍片结构804和基板802的某些位置(例如第一区域)的某些膜/表面性质,此如图8A至图8F所示。注意,形成于基板802上的第一沉积材料810可提供有序结构,可用作待在框704中形成薄层的生长的模板。例如,布植后,第一材料810的布植区可用于加工原来基板的界面性质,以选择性地促进随后在框708中进行的沉积工艺。
布植工艺期间,第一材料810沉积至基板802上。在一实施例中,第一材料810可均匀地覆盖掺杂基板802。在另一实施例中,第一材料810在基板802的不同区域有不同厚度。例如,第一材料810可于基板802或鳍片结构804的不同区域具有变化的厚度,诸如在鳍片结构804的侧壁、鳍片结构804的顶部或相邻鳍片结构804间的基板802区域具有变化的厚度。
根据各方面,进行方向性等离子体工艺(或离子掺杂/布植工艺),以对鳍片结构804的某些位置掺杂、涂覆、处理、布植、插入或调节某些膜/表面性质,如图8A至图8F所示,掺杂剂形成于鳍片结构804内。方向性等离子体工艺采用方向性和/或具特定选定角的入射离子818来调节同时沉积至基板802上的第一材料810的期望部分的膜/表面性质。此外,方向性等离子体工艺可调节基板802的区域。
如图8A及图8B所示,布植工艺可掺杂鳍片结构804的第一侧壁812和顶部814。如图8C及图8D所示,布植工艺可掺杂鳍片结构804的第一侧壁812与顶部814以及鳍片结构的底部816。如图8E及图8F所示,布植工艺可掺杂鳍片结构804的顶部814和底部816。
虽然图8A至图8F图示掺杂区特例,但应注意,离子可依需求布植到鳍片结构804的任何期望位置,以选择性地局部改变表面性质。
方向性等离子体工艺可在方向性等离子体处理腔室中进行,例如图3A至图3B、图4或图5所示处理腔室300、400、500、或其他常规适合离子布植/掺杂处理工具。如图8A至图8F所示,方向性等离子体工艺以期望的入射角布植离子818至选定区域。选定区域的示例包括图8A及图8B所示鳍片结构804的第一侧壁812与顶部814、图8C及图8D所示鳍片结构804的第一侧壁812、顶部814与底部816、和图8E及图8F所示鳍片结构804的顶部814与底部816。
包括期望原子类型的离子可布植到如图8A至图8F所示基板802和鳍片结构804中。布植结果将使部分基板802和/或鳍片结构804保持不变或未处理。如上所述,在某些实施例中,在第一材料沉积期间,离子可植入第一材料。
植入基板802的离子818会调节基板802的膜/表面性质,从而影响、减弱或改变在后续沉积工艺期间待吸附或与的反应的分子化学反应和/或吸着性。故在框708中,选择性沉积工艺可只主要沉积至某些区域,包括3D结构的布植(例如处理)或非布植(例如未处理)区。
在一实施例中,方向性等离子体工艺或离子布植/掺杂工艺产生的离子818配置成具有约0度至约60度的入射角。利用期望的预定入射与方向角,可将离子818主要布植到上述图8A至图8F的指定区域。通过控制入射角,可处理鳍片结构804的选定部分。由此,可使不拟于方向性等离子体工艺期间掺杂、等离子体处理或沉积的一些指定鳍片结构区域选择性和/或故意略过方向性等离子体工艺(即不经处理)。
方向性等离子体工艺可改变鳍片结构804、形成处理或布植层以形成期望的掺杂分布。如此,可形成具改变膜性质的处理或布植层,而于后续沉积工艺期间得到不同的工艺结果,此将参考框708详细描述。
在一实施例中,方向性等离子体工艺可进行约1秒至约600秒之间的时间段,直到沉积的第一材料形成于基板802上,从而形成期望处理区。或者,方向性等离子体工艺可采用约1×1015个离子/平方厘米(cm2)至约5×1017个离子/cm2的掺杂浓度(即剂量)进行一段时间。
或者,方向性等离子体工艺可依需求使离子818以第一角度撞击鳍片结构804的第一位置,接着使离子818以第二角度撞击同一鳍片结构804的第二位置。如此可在基板802上的第一沉积材料底下形成期望图案,此后来可用作模板以利用期望的图案选择性地沉积材料层于其上。在形成于基板802上的鳍片结构804具有不同深宽比、几何形状、关键尺寸、宽度、长度或图案密度的情况下,可使用不同入射角的且在不同位置处的撞击离子。由此,所得结构可形成具有特性不同于另一面的面,例如具有不同表面形貌或表面性质。
在一实施例中,可进行方向性等离子体工艺,其利用移动平台支撑并移动基板802,而以相对于入射离子818的不同角度暴露鳍片结构804。移动平台和放置于上的基板802相对于离子束成角度允许交互式离子扫描/处理工艺,从而能够以预定模式连续或反复线性、环状或规律处理基板802的某些区域。
方向性等离子体工艺期间可控制数个工艺参数。可通过供应气体混合物至处理腔室来进行方向性等离子体工艺。可以约10sccm至约200sccm的流率供应掺杂剂气体混合物至处理腔室。用于供入离子掺杂气体混合物的适合气体包括AsH3、GaH3、SiH4、SiF4、GeH4、GeF4、CH4、CF4、AsF5、PF3、PF5、B2H6、BH3等。惰性气体(诸如Ar、He、Kr、Ne等)或载气(诸如H2、N2、N2O、NO2等)也可供入气体混合物。腔室压力通常维持在约0.1毫托耳(mTorr)至约100毫托耳之间,例如约10毫托耳。诸如电容或感应RF功率的RF功率、DC功率、电磁能或磁控溅镀可供应至处理腔室200,以协助气体混合物于处理时解离。
可利用施加DC或RF电偏置至基板支撑件或基板支撑件上方的气体入口或两者产生的电场朝向基板加速解离能量产生的离子。在一些实施例中,离子可经历质量选择或质量过滤工艺,此工艺包含使离子通过与期望的移动方向正交地对准的磁场。RF功率提供的电场可电容或感应耦合而离子化原子,且可以是DC放电场或交流场,诸如RF场。或者,微波能量可施加至含任一这些元素的离子布植气体混合物,以产生离子。在一些实施例中,含高能离子的气体为等离子体。约50伏特(V)至约10000V(诸如约4000V)之间的电偏置(峰-峰电压)施加至基板支撑件、气体分配器或两者,利用期望的能量使离子朝向基板表面加速。在一些实施例中,电偏置也用于离子化处理气体。在其他实施例中,第二电场用于离子化处理气体。在一实施例中,提供频率约2MHz的RF场来离子化处理气体,并且以约100瓦(W)至约10000W的功率水平偏置基板支撑件。如上所述,通过偏置基板或气体分配器,将通常朝向基板加速所产生的离子。
在一些实施例中,用于产生离子的功率可以是脉冲的。功率可施加至等离子体源一段期望的时间,接着中断一段指定时间。可以期望的频率和占空比使功率循环反复期望的循环次数。在一些实施例中,可以约1赫兹至约50000赫兹的频率使等离子体脉动,诸如约5000赫兹至约10000赫兹之间的频率。在其他实施例中,可以约10%至约90%之间的占空比(每循环供电时间与未供电时间的比率)产生等离子体脉冲,诸如在约30%至约70%之间的占空比。在一实施例中,供应约100瓦至约5000瓦之间的RF源功率,及供应约50瓦至约11000瓦的偏置功率。工艺温度可控制在约5℃至约650℃之间。
在框706中,可移除第一材料810,由此暴露鳍片结构804和基板802的处理或布植区以及未处理或非布植区。在某些实施例中,通过移除第一材料810也可暴露结构和/或基板802的非布植区。根据各方面,如图8A至图8F所示,利用湿式清洗工艺移除第一材料810。构想到,干式等离子体工艺也可用于移除第一材料810。选定清洗工艺的化学品可允许第一材料810的等向移除。
在框708中,在方向性等离子体工艺或离子布植工艺及移除第一材料后,沉积第二材料至3D结构上。第二材料可在结构的第二区域选择性地生长。根据图8A、图8C及图8E所示示例,可进行原子层沉积工艺,以主要在基板802的布植或处理区选择性沉积材料层820至基板802上。如图8B、图8D及图8F所示,可进行原子层沉积工艺,以主要在基板802的非布植或未处理区选择性沉积材料层820至基板802上。
如上所述,根据各方面,结构的布植或处理区会吸附并且与ALD工艺期间供应的分子反应而并入ALD工艺的每个脉冲的原子,以实现材料层820的生长和连续沉积。布植的第一沉积层可当作模板以允许材料层820选择性形成于拟定区域。根据各方面,拟定区域可包括鳍片结构804的布植或非布植区,从而可就不同装置要求形成鳍片结构且不同材料形成于鳍片结构的不同区域上。
由于ALD工艺易受表面条件影响,故工艺700是选择性沉积材料层820至第一沉积材料上的理想方法。或者,材料层820可选择性沉积在基板802和/或鳍片结构804上。ALD工艺是具有自终止/限制生长的CVD工艺。ALD工艺产出仅几埃或单层等级的厚度。通过把化学反应分成两个重复循环的单独半反应,可控制ALD工艺。ALD工艺形成材料层820的厚度取决于反应循环次数。第一反应提供待吸附于基板的分子层的第一原子层,第二反应提供待吸附于第一原子层的分子层的第二原子层。如此,第一沉积材料810的有序结构可用作模板供材料层820生长。或者,基板802和鳍片结构804的处理表面可用作模板供材料层820生长。
离子布植形成的处理层可当作防止生长的掩模,用以阻止ALD材料沉积至布植区,而3D结构的非布植/未改变区则可用作初始晶种/成核层,使ALD材料在布植提供的成核位置上成核及生长。或者,离子布植形成的处理层可作为初始晶种,使ALD材料在第一沉积层及后续布植提供的成核位置上成核及生长,非布植、未处理区则可当作防止生长的掩模,用以阻止ALD材料沉积至非布植区。以此方式,选择性沉积工艺可于半导体基板上形成3D结构且结构的不同位置有不同材料。
ALD沉积工艺期间,第一反应气体混合物的脉冲供应至处理腔室,诸如图6所示处理腔室634,以形成第二沉积材料层820至鳍片结构804上。由于方向性离子布植形成的3D结构区域(即顶部814)可具有不同于3D结构的未处理/未改变区的化学性,处理层814的分子可能无法黏附材料层820的第一单层的原子。故第一单层的原子可能主要吸附3D结构的未处理/未改变区的原子。如此,如图8B、图8D及图8F所示,第二沉积可选择性地形成在未处理/未改变区上。或者,处理层814的分子可黏附材料层820的第一单层的原子。故如图8A、图8C及图8E所示,第二沉积可选择性地形成在处理/改变区上。
第一反应气体混合物的脉动期间,在热ALD工艺或等离子体ALD工艺期间,第一反应气体混合物可依需求同时与、相继与或不与还原气体混合物(试剂)(例如氢气(H2)或NH3气体)一起供应至处理腔室634。或者,诸如水、氧、臭氧、过氧化氢等氧化气体混合物(试剂)可与第一反应气体混合物一起供应。可供入处理腔室634的适合的第一反应气体混合物包括含硅气体(例如SiH4、Si2H6或其他适合的含硅化合物)和一或更多含钽气体、含钛气体、含钴气体、含钨气体、含铝气体、含镍气体、含铜气体、含铂气体、含铪气体、含锌气体,含钌气体、含硼气体、含磷气体、含氮气体或其他适于沉积单层至适用半导体器件的基板表面的气体。替代试剂(即沉积工艺期间配合反应前体使用以形成单层的还原剂)的示例包括氢(例如H2或原子H)、氮(例如N2或原子N)、氨(NH3)、联胺(N2H4)、氢与氨混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、甲基硅烷(SiCH6)、二甲基硅烷(SiC2H8)、膦(PH3)、上述物质的衍生物、上述物质的等离子体或上述物质的组合物。
第一反应气体混合物脉冲可持续供应一段预定时间间隔。在此所用“脉冲”一词是指注入处理腔室的材料剂量。在第一反应气体混合物或第一与第二反应气体混合物的各脉冲之间,此将进一步说明于后,可在第一和/或第二反应前驱气体混合物的各个或多个脉冲之间,可使净化气体混合物脉动至处理腔室中,以移除杂质或未反应/未吸附于基板表面的残余前驱气体混合物(例如反应气体混合物的未反应杂质等),从而它们可被抽出处理腔室。
脉动到处理腔室634中的第一反应前驱气体混合物的每个脉冲可沉积厚度约
Figure BDA0001201916140000183
至约
Figure BDA0001201916140000182
之间的材料层820的第一单层。
在第一反应前驱气体混合物的脉动期间,也控制数个工艺参数。在一实施例中,工艺压力控制在约7托耳至约30托耳之间。处理温度为约125℃至约450℃之间。RF功率可控制在约100瓦至约2000瓦之间。供入第一反应气体混合物的反应气体可控制在约5sccm至约10sccm之间。可以以约100sccm至约700sccm之间供应还原气体。
终止第一反应气体的脉冲后,供应第二反应气体混合物的脉冲至处理腔室634,以主要在鳍片结构804的选定部分上形成材料层820的第二单层。在热ALD工艺或等离子体ALD工艺期间,第二反应气体混合物可依需求同时与、相继与或不与还原气体混合物(或试剂)(例如氢气(H2)或NH3气体)一起供应至处理腔室634。相信可通过化学反应使第二单层吸附在第一单层上,以让第二单层的原子牢固地黏附在第一单层的原子上。
在一实施例中,可供入处理腔室634的适合的第二反应气体混合物包括含硅气体(诸如SiH4、Si2H6或其他适合的含硅化合物)和一或更多含氧气体(诸如H2O、O2或O3)、含钽气体、含钛气体、含钴气体、含钨气体、含铝气体、含镍气体、含铜气体、含铂气体、含铪气体、含锌气体,含钌气体、含硼气体、含磷气体、含氮气体或其他适于沉积单层至适用半导体器件的基板表面的气体。替代试剂(即沉积工艺期间配合反应前体使用以形成单层的还原剂或氧化剂)的示例包括水(H2O)、臭氧(O3)、氢(例如H2或原子H)、氧(例如O2或原子O)、过氧化氢(H2O2)、氮(例如N2或原子N)、氨(NH3)、联胺(N2H4)、氢与氨混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、甲基硅烷(SiCH6)、二甲基硅烷(SiC2H8)、膦(PH3)、上述物质的衍生物、上述物质的等离子体或上述物质的组合物。
第二反应气体混合物的脉冲可持续一段预定的时间间隔。在第二反应气体混合物或第一与第二反应气体混合物的各脉冲或一些脉冲之间,可使净化气体混合物脉动至处理腔室中,以移除杂质或未反应/未吸着于基板表面的残余前驱气体混合物(例如反应气体混合物的未反应杂质等)。
脉动到处理腔室634中的第二反应前驱气体混合物的每个脉冲可沉积厚度约
Figure BDA0001201916140000191
至约
Figure BDA0001201916140000192
之间的材料层820的第二单层。
在第二反应前驱气体混合物的脉动期间,也控制数个工艺参数。在一实施例中,工艺压力控制在约5托耳至约30托耳之间。处理温度为约125℃至约450℃之间。RF功率控制在约100瓦至约800瓦之间。供入第二反应气体混合物的反应气体控制在约5sccm至约20sccm之间。可以以约100sccm至约700sccm供应还原气体。
在反应前驱气体混合物的各脉冲之间或数个脉冲之后,接着供应净化气体混合物至处理腔室634,以清除处理腔室的残余物和杂质。在净化气体混合物的脉动期间,还控制数个工艺参数。在一实施例中,工艺压力控制在约1托耳至约100托耳之间。处理温度为约125℃至约450℃之间。RF功率可控制在约100瓦至约800瓦之间。可以按约200sccm至约1000sccm供应Ar或N2气体。
继净化气体混合物的脉冲之后,接着可反复进行始于第一和/或第二反应气体混合物的脉动、接着是净化气体混合物的脉冲的附加循环,直到获得期望厚度的材料层820为止。当使第一反应气体混合物脉动的后续循环开始时,工艺压力和其他工艺参数可调整成预定大小,以助于沉积材料层820的后续单层。
各方面通过以下步骤提供形成选择性沉积的方法:进行布植工艺来掺杂3D结构的第一区域,同时形成第一沉积材料;移除第一材料;及沉积第二材料至3D结构上。第二材料可在3D结构的第二区域上选择性地生长。因此,可获得具有形成于结构的不同位置上的期望的不同类型的材料的鳍片结构,特别对在三维(3D)IC形成方案中的应用。
虽然以上内容针对本公开的实施例,但可在不背离本公开的基本范围的情况下,设计本公开的其他和进一步的实施例,并且本公开的范围由所附权利要求书确定。

Claims (20)

1.一种用于在基板上形成结构的方法,所述方法包含下列步骤:
进行布植工艺,以掺杂形成在基板上的3D结构的第一区域,同时在所述3D结构上沉积第一材料;
移除所述第一材料;及
在所述3D结构上沉积第二材料,其中所述第二材料在所述3D结构的第二区域上选择性地生长,
其中所述布植工艺调节所述第一区域的表面性质以能够实现所述第二材料的选择性生长。
2.如权利要求1所述的方法,其中所述第一区域和所述第二区域是相同的。
3.如权利要求1所述的方法,其中在所述第一区域上进行布植的步骤包含以下步骤:
以选定的离子入射角利用离子掺杂所述第一材料。
4.如权利要求1所述的方法,其中进行所述布植工艺以掺杂所述第一区域的步骤包含以下步骤:
在所述基板上进行方向性等离子体工艺。
5.如权利要求4所述的方法,其中所述方向性等离子体工艺进一步包含以下步骤:
将多个离子主要掺杂到所述3D结构的第一侧壁和所述3D结构的顶部中,以形成掺杂的第一区域;及
在所述基板的处理区和未处理区上不均匀地沉积所述第一材料。
6.如权利要求5所述的方法,其中掺杂离子的步骤进一步包含以下步骤:
防止在所述第一材料下方的所述3D结构的第二侧壁和底部中布植离子。
7.如权利要求5所述的方法,其中移除所述第一材料的步骤进一步包含以下步骤:
执行湿式清洗工艺以暴露所述基板的处理区和未处理区。
8.如权利要求1所述的方法,其中使用原子层沉积、物理气相沉积和化学气相沉积中的至少一个来将所述第二材料沉积在所述结构上。
9.如权利要求8所述的方法,其中在所述3D结构上沉积所述第二材料的步骤进一步包含以下步骤:
使一或更多种反应气体脉动,以在所述3D结构上选择性地沉积所述第二材料。
10.如权利要求1所述的方法,其中所述基板包含单一材料。
11.如权利要求1所述的方法,其中所述结构是鳍式场效晶体管的鳍片结构。
12.一种在基板上形成鳍片结构的方法,所述方法包含下列步骤:
进行方向性等离子体工艺,以将处理层形成在基板的布植区上并且将未处理层形成在所述基板的非布植区上;及
在所述基板的所述布植区上选择性地沉积材料层,
其中所述方向性等离子体工艺调节所述布植区的表面性质以能够实现所述材料层的选择性沉积。
13.如权利要求12所述的方法,进一步包含以下步骤:
进行清洗工艺,以移除所述处理层并且暴露所述基板的所述布植区和所述非布植区。
14.如权利要求12所述的方法,其中选择性地沉积所述材料层的步骤包含以下步骤:
进行原子层沉积工艺,以将所述材料层形成在所述基板的所述布植区上。
15.如权利要求12所述的方法,其中进行所述方向性等离子体工艺的步骤进一步包含以下步骤:
在所述基板的第一区域和第二区域上沉积第一沉积材料;及
进行所述方向性等离子体工艺,以将离子布植到所述基板的所述第一区域中。
16.如权利要求15所述的方法,其中所述第一区域包括所述基板的第一侧壁,且进一步包含:
以0度与60度之间的离子入射角利用离子掺杂所述基板的所述第一侧壁。
17.如权利要求12所述的方法,其中所述结构是鳍式场效晶体管的鳍片结构。
18.一种在基板上形成鳍片结构的方法,所述方法包含下列步骤:
进行方向性等离子体工艺,以将处理层形成在基板的布植区上并且将未处理层形成在所述基板的非布植区上;及
在所述基板的所述非布植区上选择性地沉积材料层,
其中所述方向性等离子体工艺调节所述布植区的表面性质以能够实现所述材料层的选择性沉积。
19.如权利要求18所述的方法,进一步包含以下步骤:
进行清洗工艺,以暴露所述基板的所述布植区。
20.如权利要求18所述的方法,其中选择性地沉积所述材料层的步骤包含以下步骤:
进行原子层沉积工艺,以在所述基板的所述非布植区上形成所述材料层。
CN201580036453.2A 2014-07-03 2015-06-08 用于选择性沉积的方法与设备 Active CN106663632B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462020651P 2014-07-03 2014-07-03
US62/020,651 2014-07-03
PCT/US2015/034679 WO2016003602A1 (en) 2014-07-03 2015-06-08 Method and apparatus for selective deposition

Publications (2)

Publication Number Publication Date
CN106663632A CN106663632A (zh) 2017-05-10
CN106663632B true CN106663632B (zh) 2020-09-22

Family

ID=55017591

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580036453.2A Active CN106663632B (zh) 2014-07-03 2015-06-08 用于选择性沉积的方法与设备

Country Status (6)

Country Link
US (1) US9385219B2 (zh)
JP (1) JP6629312B2 (zh)
KR (2) KR102422284B1 (zh)
CN (1) CN106663632B (zh)
TW (1) TWI620233B (zh)
WO (1) WO2016003602A1 (zh)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9972548B2 (en) * 2015-07-27 2018-05-15 Globalfoundries Inc. FinFET electrical characterization with enhanced hall effect and probe
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US9870952B1 (en) * 2017-02-07 2018-01-16 International Business Machines Corporation Formation of VFET and finFET
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10147584B2 (en) 2017-03-20 2018-12-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for decelerated ion beam with no energy contamination
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7001715B2 (ja) * 2017-06-01 2022-01-20 エーエスエムエル ネザーランズ ビー.ブイ. パーティクル除去装置および関連システム
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10763104B2 (en) * 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11053580B2 (en) 2018-02-21 2021-07-06 Varian Semiconductor Equipment Associates, Inc. Techniques for selective deposition using angled ions
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP7110468B2 (ja) * 2018-05-28 2022-08-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法。
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
SG11202011847TA (en) * 2018-05-28 2020-12-30 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102656701B1 (ko) 2018-10-04 2024-04-11 삼성전자주식회사 반도체 소자의 제조 방법
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20210094111A (ko) * 2018-12-17 2021-07-28 어플라이드 머티어리얼스, 인코포레이티드 캡슐화를 위한 pvd 방향성 증착
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10937690B2 (en) * 2019-03-26 2021-03-02 Micron Technology, Inc. Selective dielectric deposition
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001144077A (ja) * 1999-11-15 2001-05-25 Applied Materials Inc プラズマ処理装置及び方法
CN2775070Y (zh) * 2005-04-08 2006-04-26 中国航空工业第一集团公司北京航空制造工程研究所 材料表面离子注入及沉积的复合偏压装置
CN102834545A (zh) * 2010-02-25 2012-12-19 荷兰应用自然科学研究组织Tno 用于层沉积的方法及装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240875A (en) * 1992-08-12 1993-08-31 North American Philips Corporation Selective oxidation of silicon trench sidewall
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
KR20060000344A (ko) * 2004-06-28 2006-01-06 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 제조 방법
KR100829922B1 (ko) * 2006-08-24 2008-05-16 세메스 주식회사 플라즈마 처리 장치 및 방법
US20080111185A1 (en) 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
WO2008136882A2 (en) * 2007-02-14 2008-11-13 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US8039379B1 (en) * 2007-07-02 2011-10-18 Novellus Systems, Inc. Nanoparticle cap layer
KR101481574B1 (ko) * 2008-02-13 2015-01-14 삼성전자주식회사 반도체 소자의 제조 방법
KR101626565B1 (ko) * 2008-10-31 2016-06-01 어플라이드 머티어리얼스, 인코포레이티드 P3i 챔버에서 등각 도핑의 개선
US8110467B2 (en) * 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8202792B2 (en) 2009-04-24 2012-06-19 Varian Semiconductor Equipment Associates, Inc. Method of processing a substrate having a non-planar surface
US8679960B2 (en) * 2009-10-14 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate having a non-planar surface
US8263446B2 (en) 2010-09-13 2012-09-11 International Business Machines Corporation Asymmetric FinFET devices
US8598025B2 (en) 2010-11-15 2013-12-03 Varian Semiconductor Equipment Associates, Inc. Doping of planar or three-dimensional structures at elevated temperatures
US8580100B2 (en) * 2011-02-24 2013-11-12 Massachusetts Institute Of Technology Metal deposition using seed layers
US20120263887A1 (en) 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
US8871584B2 (en) * 2011-07-27 2014-10-28 Advanced Ion Beam Technology, Inc. Replacement source/drain finFET fabrication
SG195501A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US10211310B2 (en) * 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US8846545B2 (en) * 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001144077A (ja) * 1999-11-15 2001-05-25 Applied Materials Inc プラズマ処理装置及び方法
CN2775070Y (zh) * 2005-04-08 2006-04-26 中国航空工业第一集团公司北京航空制造工程研究所 材料表面离子注入及沉积的复合偏压装置
CN102834545A (zh) * 2010-02-25 2012-12-19 荷兰应用自然科学研究组织Tno 用于层沉积的方法及装置

Also Published As

Publication number Publication date
TW201603124A (zh) 2016-01-16
KR20170026599A (ko) 2017-03-08
JP6629312B2 (ja) 2020-01-15
CN106663632A (zh) 2017-05-10
KR102422284B1 (ko) 2022-07-15
JP2017528923A (ja) 2017-09-28
WO2016003602A1 (en) 2016-01-07
US20160005839A1 (en) 2016-01-07
KR20220019710A (ko) 2022-02-17
KR102342328B1 (ko) 2021-12-21
US9385219B2 (en) 2016-07-05
TWI620233B (zh) 2018-04-01

Similar Documents

Publication Publication Date Title
CN106663632B (zh) 用于选择性沉积的方法与设备
US9911594B2 (en) Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
TWI675397B (zh) 利用掩模及方向性電漿處理之選擇性沉積
US11031247B2 (en) Method and apparatus for depositing a monolayer on a three dimensional structure
JP7293211B2 (ja) 高エネルギー原子層エッチング
US9754779B1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI665735B (zh) 針對半導體元件應用之先進3d特徵的製造所用之轉換製程
US8975603B2 (en) Systems and methods for plasma doping microfeature workpieces
US8288257B2 (en) Doping profile modification in P3I process
US8129261B2 (en) Conformal doping in P3I chamber

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant