JP2022544104A - シリコン含有膜の熱原子層堆積 - Google Patents

シリコン含有膜の熱原子層堆積 Download PDF

Info

Publication number
JP2022544104A
JP2022544104A JP2022507323A JP2022507323A JP2022544104A JP 2022544104 A JP2022544104 A JP 2022544104A JP 2022507323 A JP2022507323 A JP 2022507323A JP 2022507323 A JP2022507323 A JP 2022507323A JP 2022544104 A JP2022544104 A JP 2022544104A
Authority
JP
Japan
Prior art keywords
substrate
plasma
silicon
oxygen
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022507323A
Other languages
English (en)
Inventor
グプタ・オウニッシュ
ミアオ・テンフェイ
ラボア・エイドリアン
アグニュー・ダグラス・ウォルター
カーティン・イアン・ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022544104A publication Critical patent/JP2022544104A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】【解決手段】シリコン酸化物膜、シリコン窒化物膜、およびシリコン酸窒化膜を、枚葉式プラズマ反応器における熱原子層堆積(熱ALD)によって堆積してもよい。枚葉式プラズマ反応器は、熱ALDおよびプラズマ励起原子層堆積(PEALD)を実行できる。熱ALDを用いて、基板を損傷することなく、または基板の損傷を最小限にしつつ、高堆積速度でコンフォーマル性の高い膜を堆積してもよい。酸化および/または窒化中に、基板を昇温温度に加熱してもよい。いくつかの実装形態において、昇温温度は約500℃~約750℃である。いくつかの実装形態において、酸化時に水素と酸素を反応ガスとして流してもよい。水素と酸素は反応して発熱反応を行い、酸化物の形成を促進してもよい。【選択図】図5

Description

<参照による援用>
本出願の一部として、PCT願書様式を本明細書と同時に提出する。同時に提出したPCT願書様式において特定される、本出願が利益または優先権を主張する各出願は、その内容全体が参照により、すべての目的のために本明細書に組み込まれる。
半導体装置の製造には、マイクロプロセッサ、ロジック装置、およびメモリ装置の製造が含まれる。半導体装置の製造において、酸化物膜および/または窒化物膜の堆積を行う場合がある。半導体分野では装置やフィーチャの小型化が進むとともに、集積回路(IC)の設計においても3次元デバイス構造の普及が進んでいるため、コンフォーマルな膜を堆積できる能力がその重要性を増していくと考えられる。半導体装置の製造において、窒化物膜の堆積を行う場合がある。原子層堆積(ALD:Atomic Layer Deposition)は、コンフォーマルな膜の堆積によく適した成膜技術である。ALDプロセスは、熱ALDおよびプラズマ励起ALDを含んでもよい。
ここに提供される背景技術は、本開示の背景を概略的に提示することを目的としている。この背景技術に記載されている範囲内における、現時点で名前を挙げられている発明者らによる研究、およびその他の点で出願時に先行技術と認められない可能性がある記載の態様は、明示的にも暗示的にも、本開示に対する先行技術とは認められない。
本開示の一態様は、シリコン酸化物膜を堆積する方法に関する。方法は、プラズマ処理チャンバ内に基板を設けることと、前記プラズマ処理チャンバ内で、熱原子層堆積(熱ALD)によって、基板の上に第1のシリコン酸化物層を堆積することと、前記プラズマ処理チャンバ内で、プラズマ励起原子層堆積(PEALD)によって、前記基板の上に第2のシリコン酸化物層を堆積することと、を含む。
いくつかの実装形態において、熱ALDによって前記第1のシリコン酸化物層を堆積することは、前記基板を昇温温度に加熱することと、前記基板をシリコン含有前駆体に曝露し、前記シリコン含有前駆体を前記基板の表面に吸着させることと、前記基板を前記昇温温度に加熱している間に前記基板を酸素含有反応物に曝露し、前記酸素含有反応物と前記シリコン含有前駆体との反応を促進して、前記第1のシリコン酸化物層を形成することと、を含む。いくつかの実装形態において、前記昇温温度は、約500℃~約750℃である。いくつかの実装形態において、前記酸素含有反応物は、酸素(O2)、オゾン(O3)、過酸化水素(H2O2)、水(H2O)、またはこれらの組み合わせを含む。いくつかの実装形態において、前記シリコン含有前駆体は、アミノシランを含む。いくつかの実装形態において、前記プラズマ処理チャンバのチャンバ圧力は、約7Torr(約933.257Pa)以上である。いくつかの実装形態において、熱ALDによって前記第1のシリコン酸化物層を堆積することは、前記基板を昇温温度に加熱することと、前記基板をシリコン含有前駆体に曝露し、前記シリコン含有前駆体を前記基板の表面に吸着させることと、前記基板を前記昇温温度に加熱している間に水素(H2)および酸素(O2)を前記プラズマ処理チャンバ内の前記基板に向かって流すことと、を含み、前記水素と前記酸素とが前記プラズマ処理チャンバ内で反応し、前記第1のシリコン酸化物層が前記基板の上に形成される。いくつかの実装形態において、PEALDによって前記第2のシリコン酸化物層を堆積することは、前記基板を第2のシリコン含有前駆体に曝露し、前記第2のシリコン含有前駆体を前記基板の表面に吸着させることと、前記基板を第2の酸素含有反応物から生成されるプラズマに曝露することと、を含み、前記プラズマが、前記第2の酸素含有反応物の反応種と前記第2のシリコン含有前駆体との反応を促進することにより、前記第2のシリコン酸化物層を形成する。
本開示の別の態様は、シリコン酸化物膜を堆積する方法に関する。方法は、基板を昇温温度に加熱することと、プラズマ処理チャンバ内で前記基板をシリコン含有前駆体に曝露し、前記シリコン含有前駆体を前記基板の表面に吸着させることと、水素(H2)と酸素含有反応物とを前記プラズマ処理チャンバ内の前記基板に向かって流すことと、を含み、前記水素と前記酸素含有反応物とが前記プラズマ処理チャンバ内で反応し、シリコン酸化物膜の層が前記基板の上に形成される。
いくつかの実装形態において、前記水素と前記酸素含有反応物とは、前記プラズマ処理チャンバ内でインサイチュで互いに反応して発熱反応を行い、前記シリコン酸化物膜の層の形成を促進する。いくつかの実装形態において、前記昇温温度は、約500℃~約650℃である。いくつかの実装形態において、前記プラズマ処理チャンバのチャンバ圧力は、約7Torr(約933.257Pa)以上である。いくつかの実装形態において、前記酸素含有反応物は、酸素(O2)またはオゾン(O3)を含む。いくつかの実装形態において、方法は、前記プラズマ処理チャンバにプラズマ電力を印加して、前記プラズマ処理チャンバ内で前記水素と前記酸素含有反応物とから生成されるプラズマを点火することをさらに含む。いくつかの実装形態において、前記水素と前記酸素含有反応物とを流すことは、前記酸素含有反応物を連続的に前記プラズマ処理チャンバ内に流すことと、前記水素を一定の間隔でパルス状に前記プラズマ処理チャンバ内に流すことと、を含む。いくつかの実装形態において、(i)前記基板を前記シリコン含有前駆体に曝露することと、(ii)前記水素と前記酸素含有反応物とを流すこととは、熱原子層堆積(熱ALD)プロセスにおいて周期的に実行される。いくつかの実装形態において、(i)前記基板を前記シリコン含有前駆体に曝露することと、(ii)前記水素と前記酸素含有反応物とを流すこととは、熱化学気相堆積(熱CVD)プロセスにおいて連続的に実行される。いくつかの実装形態において、方法は、前記プラズマ処理チャンバ内で、PEALDによって、前記シリコン酸化物膜の1つ以上の追加の層を前記基板の上に堆積することをさらに含む。
本開示の別の態様は、シリコン酸化物膜を堆積するためのプラズマ装置に関する。プラズマ装置は、プラズマ処理チャンバと、前記プラズマ処理チャンバ内に設けられた、基板を支持するための基板支持台であって、昇温温度に加熱されるように構成された基板支持台と、前駆体および反応物を前記プラズマ処理チャンバ内に供給するために前記プラズマ処理チャンバに流体結合されたシャワーヘッドと、前記プラズマ処理チャンバ内のプラズマに給電するように構成されたRF電源と、コントローラと、を含む。コントローラは、前記基板を昇温温度に加熱する工程と、前記プラズマ処理チャンバ内で前記基板をシリコン含有前駆体に曝露し、前記シリコン含有前駆体を前記基板の表面に吸着させる工程と、水素(H2)と酸素含有反応物とを前記プラズマ処理チャンバ内の前記基板に向かって流す工程であって、前記水素と前記酸素含有反応物とが前記プラズマ処理チャンバ内で反応し、シリコン酸化物膜の層が前記基板の上に形成される、工程とを実行するための命令を備えて構成される。
いくつかの実装形態において、前記コントローラは、前記プラズマ処理チャンバにプラズマ電力を印加して、前記プラズマ処理チャンバ内で前記水素と前記酸素含有反応物とから生成されるプラズマを点火する工程を実行するための命令をさらに備えて構成される。いくつかの実装形態において、前記コントローラは、前記プラズマ処理チャンバ内で、PEALDによって、前記シリコン酸化物膜の1つ以上の追加の層を前記基板の上に堆積する工程を実行するための命令をさらに備えて構成される。
上記および他の態様を、図面を参照しながら以下に説明する。
図1は、種々の膜を堆積するための例示的な熱原子層堆積(熱ALD)炉反応器の概略図である。
図2は、種々の膜を堆積するためにプラズマ励起原子層堆積(PEALD:Plasma-Enhanced ALD)を行うように構成された例示的なプラズマ反応器の概略図である。
図3Aは、いくつかの実装形態に係る、熱ALDおよびPEALDによってシリコン酸化物膜を堆積するための例示的なプロセスのフローチャートである。
図3Bは、いくつかの実装形態に係る、熱ALDによってシリコン酸化物膜を堆積するための例示的なプロセスのフローチャートである。
図4は、いくつかの実装形態に係る、シリコン酸化物膜を堆積するための熱ALDサイクルおよびPEALDサイクルを示す例示的なタイミングチャートである。
図5は、いくつかの実装形態に係る、水素と酸素含有反応物との同時フローを用いてシリコン酸化物膜を堆積するための熱ALDサイクルを示す例示的なタイミングチャートである。
図6は、いくつかの実装形態に係る、低RFプラズマ電力を用いてシリコン酸化物膜を堆積するための熱ALDサイクルを示す例示的なタイミングチャートである。
図7は、いくつかの実装形態に係る、パルス状に供給される水素フローを酸化時に用いてシリコン酸化物膜を堆積するための熱ALDサイクルを示す例示的なタイミングチャートである。
図8は、いくつかの実装形態に係る、遠隔プラズマ源から生成される酸素ラジカルを酸化時に用いてシリコン酸化物膜を堆積するための熱ALDサイクルを示す例示的なタイミングチャートである。
図9は、いくつかの実装形態に係る、シリコン酸化物膜を堆積するための、水素と酸素との同時フローを用いた熱ALDサイクルと、それに続くプラズマ酸化/窒化を用いたPEALDサイクルとを示す例示的なタイミングチャートである。
図10は、いくつかの実装形態に係る、シリコン含有前駆体、水素、および酸素含有反応物の同時フローを用いてシリコン酸化物膜を堆積するための熱CVDを示す例示的なタイミングチャートである。
図11は、いくつかの実装形態に係る、熱ALDによってシリコン酸化物膜を堆積するための例示的なプラズマ処理装置の概略図である。
図12は、本開示の実装形態を実施するための例示的なプロセスツールの概略図である。
図13は、複数のALDサイクルを用いた熱ALDによってフィン構造の上に堆積されたシリコン酸化物膜の画像である。
本開示において、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」および「部分的に製造された集積回路」という用語は、互換的に用いられる。「部分的に製造された集積回路」という用語が、集積回路の多数の製造工程のいずれかにおけるシリコンウエハを意味し得ることは、当業者であれば理解できる。半導体装置の分野で用いられるウエハまたは基板の直径は典型的には、200mm、300mmまたは450mmである。以下の詳細な説明は、本開示がウエハで実装されることを前提としている。しかしながら、本開示は、これに限定されるものではない。ワークピースは、種々の形状、サイズおよび素材で構成されてもよい。半導体ウエハの他に、本開示を利用できるワークピースには、プリント回路基板など各種の物品がある。
[はじめに]
シリコン含有膜は、種々の物理的、化学的、機械的特性を有し、半導体製造プロセスにおいてよく用いられる。例えば、シリコン窒化物膜、シリコン酸化物膜、またはシリコン酸窒化物膜が、拡散バリア、ゲート絶縁体、側壁スペーサ、エッチング停止層、誘電体膜、および封止層として用いられてもよい。例えば、シリコン酸化物膜が、半導体装置の低誘電率誘電体膜として用いられてもよい。各種の用途において、シリコン含有膜は、化学気相堆積(CVD:Chemical Vapor Deposition)または原子層堆積(ALD)によって堆積される。種々の実装形態において、シリコン含有膜は、基板のフィーチャにコンフォーマルに堆積される。
ALDは、連続的な自己制限反応を用いて材料の薄層を堆積する技術である。ALDサイクルは、典型的には少なくとも1つの前駆体を基板の表面に供給して吸着させ、その後この吸着した前駆体を1つ以上の反応物と反応させて膜の部分層を形成する工程を含む。前駆体の供給と1つ以上の反応物の供給との間で、パージ工程が通常行われる。
熱ALDは、熱を用いて、吸着した前駆体と1つ以上の反応物との反応を引き起こす。熱ALDは、一部の種類の材料の堆積にはうまく機能する場合がある一方で、熱ALDは、反応が完了するまでの時間が長いため、堆積速度が遅いことが多い。熱ALDは非常に高い温度で行われることが多いが、多くの化学前駆体または反応物は、そのような昇温温度において分解(例えば、熱分解)してしまう可能性がある。
PEALDは、プラズマを用いて、吸着した前駆体と反応物ラジカルとのプラズマ中での反応を促進する。反応物プラズマが、堆積チャンバにパルス状に供給されて、吸着した前駆体と反応し、堆積材料を形成する。PEALDは、熱ALDよりも堆積速度が速く、かつより低温で動作できる場合がある。PEALDプロセスにより、熱ALDが持つ欠点の一部を克服できる可能性があるが、PEALDプロセスにはいくつかの制約がある場合がある。例えば、PEALDは、基板にプラズマ損傷(例えば、エッチング、酸化)を引き起こす場合があり、このようなプラズマ損傷は、シリコン、ゲルマニウム、シリコン-ゲルマニウム、炭素、ならびにモリブデン、タングステン、銅、コバルト、ルテニウム、ロジウム、およびイリジウムなどの金属などの敏感な基板材料において発生する場合がある。さらに、PEALDは、特定の化学前駆体には適合しない場合がある。
従来の熱ALDによる膜堆積は、炉反応器やバッチ反応器を用いて行われてきた。一部の炉反応器は、ホットウォール式であってもよい。ホットウォール式炉反応器は、より均一な温度分布の実現と対流効果の低減という利点を有する。
図1は、種々の膜を堆積するための例示的な熱原子層堆積炉反応器の概略図である。なお、熱ALD炉反応器100は、熱CVD反応器としても代用できることが理解される。熱ALD炉反応器100は、熱ALD炉反応器100の壁102を取り囲む複数のヒータ110を備えることができる。複数のヒータ110によって、熱ALD炉反応器100に沿った軸方向温度をある程度制御できる複数の加熱ゾーンを実現できる。いくつかの実装形態において、熱ALD炉反応器100の温度範囲は、約650℃~約1150℃となるように制御される。図1の熱ALD炉反応器100の実装形態は、ホットウォール式である。
熱ALD炉反応器100は、互いに積層された複数のウエハ106を含むことができる。各ウエハ106は、ウエハ支持台104によって支持され、重力によって保持されてもよい。熱ALD炉反応器100の垂直方向に沿ったウエハ間の間隔は、均一であってもよい。これにより、熱ALD炉反応器100を1回通過させることで、数十枚または数百枚のウエハ106をバッチ処理できる。なお、図示の熱ALD炉反応器100はウエハ106を垂直方向に離間して保持しているが、熱ALD炉反応器100はウエハ106を水平方向に離間して保持できることが理解される。
反応ガス130が、ガス入口122を通って熱ALD炉反応器100に流入する。反応ガス130は、吸着のための前駆体と、それに続く、吸着した前駆体と反応するための反応物種とを含むことができる。反応ガス130の流入タイミングおよび流量は、本技術分野で公知のように、例えばバルブおよびマスフローコントローラによって制御できる。反応ガス130は、対流によって熱ALD炉反応器100内を循環し、拡散によってウエハ106に向かって流れる。各ウエハ106の上に薄膜を堆積するために、熱ALD炉反応器100を低圧にし、所望の堆積温度(約700℃超、約700℃~約850℃、約700℃~約800℃など)に加熱できる。この高温により、反応ガス130間の化学反応を促進して、各ウエハ106の上に薄膜を形成する。ここで、反応ガス130は、パルス状に順次供給されてもよい。反応ガス130は、ガス入口122から供給され、各ウエハ106に向かって拡散する。過剰な反応ガス130は、ガス出口124から熱ALD炉反応器100の外部に排出されてもよい。十分なスループットを得るべく十分な堆積速度を実現するために、堆積温度は高温に維持する必要がある。
図1の熱ALD炉反応器100のような熱ALD反応器を用いて、シリコン酸化物、シリコン窒化物、窒化アルミニウム、酸化アルミニウム、酸化チタンなどの種々の酸化物および窒化物を堆積してもよい。しかしながら、熱ALD反応器においてこれらの酸化物および窒化物を堆積するには、高いサーマルバジェットを必要とする場合がある。例えば、熱ALDのための処理温度は700℃超となる場合がある。さらに、熱ALD反応器は、化学的枯渇効果の悪影響を受ける場合があり、その結果、熱ALD反応器の上部から底部にわたって、各ウエハ表面の膜厚にばらつきが生じる場合がある。さらに、プラズマ反応器による後続のウエハ処理を行うために異なるツールおよびプラットフォーム間での搬送が必要となる場合があり、これにより、処理時間、処理工程、コスト、および不要な材料または粒子がウエハに接触する可能性が増加する場合がある。
図2は、種々の膜を堆積するためにプラズマ励起原子層堆積を行うように構成された例示的なプラズマ反応器の概略図である。プラズマ反応器200は、基板232を支持するように構成された基板支持台230を有するプラズマ処理チャンバ210を含む。第1のガス242が、プラズマ処理チャンバ210に結合された第1のガス入口252からプラズマ処理チャンバ210に供給されてもよい。第1のガス242は、基板232の表面に吸着するための前駆体を含んでもよい。第2のガス244が、プラズマ処理チャンバ210に結合された第2のガス入口またはシャワーヘッド254からプラズマ処理チャンバ210に供給されてもよい。第2のガス244は、プラズマ生成のためのガス反応物を含んでもよい。なお、いくつかの実装形態において、第1のガス242はシャワーヘッド254からプラズマ処理チャンバ210に供給されてもよいことが理解される。未反応のガスまたは副生成物246は、ガス出口またはポンプ256からプラズマ処理チャンバ210の外部に排出されてもよい。
プラズマ反応器200は、プラズマ処理チャンバ210に結合され、プラズマ処理チャンバ210内にプラズマ250を発生させるように構成された電源240を含む。例えば、電源240は、シャワーヘッド254または基板支持台230のいずれかに結合されてもよい。RF電圧がシャワーヘッド254の電極に印加されてもよい。ここで、プラズマ250が、離間した2つの電極間で生成されてもよい。プラズマ250は、比較的低圧で生成されてもよい。プラズマ250を用いる場合、プラズマ250中のラジカルが高い反応性を有するため、基板232上における膜の成長/形成のための温度が低下する。
図2のプラズマ反応器200のようなプラズマ反応器を用いて、シリコン酸化物、シリコン窒化物、窒化アルミニウム、酸化アルミニウム、酸化チタンなどの種々の酸化物および窒化物を堆積してもよい。PEALDプロセスにおける低い堆積温度および高いラジカル反応性により、熱ALDプロセスでは困難または不可能な多くの化学反応スキームが実現できる可能性がある。しかしながら、PEALDプロセスは、敏感な基板に対してプラズマ損傷またはプラズマ酸化などの基板損傷を引き起こす可能性がある。
[プラズマ処理チャンバでの熱ALD]
本開示は、枚葉式プラズマ反応器での熱ALDによる、基板への酸化物膜および/または窒化物膜の堆積に関する。酸化物膜および/または窒化物膜は、シリコン含有膜であってもよい。ここで、シリコン含有膜は、シリコン酸化物(SiOx)、シリコン窒化物(Sixy)、またはシリコン酸窒化物(SiOxy)であってもよい。このようなシリコン含有膜は、通常はPEALDプロセスによって堆積可能であるが、PEALDプロセスに用いられるのと同じプラズマ反応器内で熱ALDによって堆積されてもよい。いくつかの実装形態において、PEALDプロセスと同じプラズマ反応器内で行われる熱ALDは、従来の熱ALD炉反応器にて用いられる高温よりも低い昇温温度にて熱酸化/窒化を促進してもよい。例えば、昇温温度は、約500℃~約750℃、または約500℃~約650℃であってもよい。PEALDプロセスと同じプラズマ反応器内で行われる熱ALDは、特に、高コンフォーマル性、高堆積速度、表面酸化の抑制、基板フィーチャ(例えば、ピラーやフィン)の屈曲の抑制、および構造の深さに沿った均一なウェットエッチング速度を実現したシリコン含有膜の堆積が可能になるという利点がある。言い換えれば、プラズマ処理チャンバでの熱ALDにより、基板の損傷/酸化をほとんど、またはまったく発生させずに高コンフォーマル膜を堆積することが可能になる。
本開示のいくつかの実装形態において、プラズマ処理チャンバにおけるシリコン含有膜の熱ALDは、シリコン含有前駆体および互いにインサイチュで反応する複数のガス反応物を用いて達成されてもよい。例えば、プラズマ処理チャンバにおけるシリコン酸化物膜の熱ALDは、シリコン含有前駆体と、基板上でインサイチュで反応して発熱反応を起こす水素(H2)および酸素(O2)とを用いて達成されてもよい。発熱反応により、酸化物の形成を促進するエネルギーを供給して、堆積速度を改善してもよい。本開示のいくつかの実装形態において、プラズマ処理チャンバに低RF電力を印加して、熱ALD中に水素および酸素を流しながらプラズマを点火してもよい。本開示のいくつかの実装形態において、シリコン含有膜は、熱ALDの代わりに熱CVDを用いてプラズマ処理チャンバ内で堆積されてもよい。本開示のいくつかの実装形態において、シリコン含有膜は、熱ALDの後にPEALDを行うことによってプラズマ処理チャンバ内で堆積されてもよい。本開示のいくつかの実装形態において、シリコン含有膜は、PEALDの後に熱ALDを行うことによってプラズマ処理チャンバ内で堆積されてもよい。
熱ALDによりプラズマ処理チャンバ内でシリコン含有膜を堆積する場合、PEALDによるシリコン含有膜の堆積で起こり得る損傷が低減される。これは部分的には、熱ALDではラジカルおよびイオン種があまり存在しないことに起因し得る。さらに、本開示のプラズマ処理チャンバにおける熱ALDでは、高サーマルバジェットにて動作する従来の熱ALD反応器を用いたシリコン含有膜の堆積で起こり得る基板の損傷が低減される。本開示のプラズマ処理チャンバにおける熱ALDによって堆積されるシリコン含有膜は、PEALDと同等の堆積速度で堆積可能であるとともに、PEALDによって堆積される膜と同等の高品質を実現可能である。
なお、本開示は主に熱ALDを参照して説明されるが、本開示における「熱ALD」は、周期的に起こる熱ALD反応の反応メカニズム、および連続的に起こる熱CVD反応の反応メカニズムを意味し得ることが理解される。さらに、本開示は主にシリコン酸化物膜の堆積を参照して説明されるが、本開示は熱ALDを用いたいずれの酸化物膜または窒化物膜の堆積も包含し得ることが理解される。
図3Aは、いくつかの実装形態に係る、熱ALDおよびPEALDによってシリコン酸化物膜を堆積するための例示的なプロセスのフローチャートである。本明細書において、「シリコン酸化物膜」という用語は、非ドープのシリコン酸化物(例えば、SiOx)膜、およびドープされたシリコン酸化物(例えば、SiOxy)膜を意味してもよい。図3Aのプロセス300aにおける工程は、異なる順序で実行されてもよいし、かつ/または、異なる工程、より少ない工程、もしくは追加の工程を伴って実行されてもよい。プロセス300aにおける工程は、図11に示すプラズマ処理装置、および/または図12に示すプロセスツールによって実行されてもよい。いくつかの実装形態において、プロセス300aの工程は、少なくとも部分的に、1つ以上の非一時的なコンピュータ可読媒体に記憶されたソフトウェアに従って実行されてもよい。以下、図3Aおよび図4をまとめて説明する場合がある。
プロセス300aのブロック310にて、基板がプラズマ処理チャンバ内に設けられる。プラズマ処理チャンバは、熱ALDプロセス、PEALDプロセス、またはこれらの組み合わせを実行するように構成された枚葉式プラズマ反応器であってもよい。基板は、200mm、300mm、または450mm基板などのシリコン基板であってもよく、誘電体材料、導電性材料、または半導電性材料などの材料からなる1つ以上の層を有する基板を含む。いくつかの実装形態において、シリコン酸化物膜が堆積される基板は、PEALDによるプラズマ損傷/酸化に敏感な材料を含んでもよい。例えば、材料は、シリコン(Si)、ゲルマニウム(Ge)、シリコン-ゲルマニウム(Si-Ge)、炭素(C)、および金属を含んでもよい(ただし、これらに限定されない)。金属の例としては、モリブデン(Mo)、タングステン(W)、銅(Cu)、コバルト(Co)、ルテニウム(Ru)、ロジウム(Rh)、およびイリジウム(Ir)が挙げられる。シリコン酸化物膜が堆積される基板は、1つ以上のフィーチャを含んでもよい。フィーチャとは、基板における非平面構造を意味してもよい。例えば、1つ以上のフィーチャは、フィンまたはピラーなどの垂直構造を含んでもよい。いくつかの実装形態において、1つ以上のフィーチャは、バリア層、ライナ層、または接着層などの下層を含んでもよい。
プロセス300aのブロック320にて、プラズマ処理チャンバ内で熱ALDによって、第1のシリコン酸化物層が堆積される。PEALDを実行する前に、ブロック320にて、熱ALDサイクルを任意の適切な回数実行してもよい。各熱ALDサイクルは、投与フェーズ、第1のパージフェーズ、熱酸化フェーズ、および第2のパージフェーズを含む一連のフェーズに分解されてもよい。なお、第1のパージフェーズおよび第2のパージフェーズの一方または両方は、各熱ALDサイクルにおいて任意で行ってもよいことが理解される。熱ALDによって薄膜を堆積することは、基板を昇温温度に加熱することと、基板を前駆体に曝露して基板の表面に前駆体を吸着させることと、基板を1つ以上のガス反応物に曝露してこれら1つ以上のガス反応物と前駆体との表面反応を促進し、これにより、熱ALDによって薄膜を形成することとを含む。具体的には、熱ALDによって第1のシリコン酸化物層を堆積することは、基板を昇温温度に加熱することと、基板をシリコン含有前駆体に曝露して基板の表面にシリコン含有前駆体を吸着させることと、基板を酸素含有反応物に曝露してこの酸素含有反応物とシリコン含有前駆体との反応を促進し、これにより、熱ALDによって第1のシリコン酸化物層を形成することとを含む。
図4は、いくつかの実装形態に係る、シリコン酸化物膜を堆積するための熱ALDサイクルおよびPEALDサイクルを示す例示的なタイミングチャートである。図4は、熱ALDサイクル410Aにおけるフェーズと、それに続くPEALDサイクル410Bにおけるフェーズとを示している。ただし、PEALDサイクル410Bにおけるフェーズの後に、熱ALDサイクル410Aにおけるフェーズが続いていてもよいことが理解される。図4には、キャリアガスまたはパージガスフロー、プラズマ、シリコン含有前駆体フロー、および酸素含有反応物フローなどの各種のプロセスパラメータが示されている。図中の各線は、フローのオン/オフのタイミング、またはプラズマのオン/オフのタイミングを示している。図4に示すように、熱ALDサイクル410Aにおいて、基板は投与フェーズ457A中にシリコン含有前駆体に曝露される。いくつかの実装形態において、シリコン含有前駆体は、アミノシランなどのシランを含む。アミノシランは、シリコン原子に結合した少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および炭素を含んでもよい。アミノシランの例としては、ビス(tert-ブチルアミノ)シラン(BTBAS)、N-(ジエチルアミノシリル)-N-エチルエタンアミン(SAM-24)、トリス(ジメチルアミノ)シラン(3DMAS)、テトラキス(ジメチルアミノ)シラン(4DMAS)などが挙げられる。投与フェーズ457A中、プラズマはオフにされ、酸素含有反応物のフローはオフにされ、キャリアガスは基板に向かって流されてもよい。ただし、投与フェーズ457A中に、基板が昇温温度に加熱されてもよいことが理解される。いくつかの実装形態において、基板は投与フェーズ457A中に、流量および基板の表面積に応じて、約0.1秒~約60秒、約0.2秒~約6秒、または約0.3秒~約2秒(約0.75秒など)の時間、シリコン含有前駆体に曝露されてもよい。いくつかの実装形態において、シリコン含有前駆体は、活性部位がシリコン含有前駆体によって占有されると、それ以上のシリコン含有前駆体は基板表面にほとんど、またはまったく吸着しないような自己制限態様で基板表面に吸着する。シリコン含有前駆体が基板表面の活性部位に吸着すると、この表面にシリコン含有前駆体の薄層が形成される。CVDまたはCVDに類似したプロセスとは異なり、シリコン含有前駆体は分解してシリコン層を形成することはない。
いくつかの実装形態において、プラズマ処理チャンバは、基板をシリコン含有前駆体に曝露する工程と、基板を酸素含有反応物に曝露する工程との間でパージされてもよい。さらに、プラズマ処理チャンバは、基板を酸素含有反応物に曝露した後にパージされてもよい。パージには、スイープガスを用いてもよい。スイープガスは、他の工程/フェーズで用いられるキャリアガスでもよいし、異なるガスでもよい。パージによって、基板表面に吸着または反応しなかった気相状態の過剰な種を除去してもよい。図4に示すように、プラズマ処理チャンバは、パージフェーズ459Aおよび463Aにおいてパージが行われる。シリコン含有前駆体のフローはオフにされ、プラズマはオフにされ、酸素含有反応物のフローはオフにされる。ただし、キャリアガスは基板に向かって流れ続けてもよい。いくつかの実装形態において、パージフェーズ459Aおよび463Aはそれぞれ、プラズマ処理チャンバを真空排気するための1つ以上の真空排気サブフェーズを含んでもよい。なお、代替例として、パージフェーズ459Aおよび463Aの各々を、いくつかの実装形態では省略してもよいことが認識される。各パージフェーズ459Aおよび463Aは、約0秒~約60秒、または約0.01秒~約6秒など、適切な時間継続してもよい。
図4に示すように、熱ALDサイクル410Aにおいて、基板は熱酸化フェーズ461A中に、酸素含有反応物および昇温温度に曝露されてもよい。熱酸化フェーズ461A中のプロセス条件は、熱ALDによって十分または適切な堆積速度で第1のシリコン酸化物層が堆積されるのを促進するように調整されてもよい。例えば、熱ALDによる第1のシリコン酸化物層の堆積速度は、約0.2Å/サイクル以上、約0.3Å/サイクル以上、約0.5Å/サイクル以上、または約0.75Å/サイクル以上であってよい。これは、第1のシリコン酸化物層を用いて表面を酸化/損傷から保護する場合に、十分な堆積速度になり得る。
いくつかの実装形態において、酸素含有反応物は、酸素(O2)、オゾン(O3)、過酸化水素(H22)、水(H2O)、またはこれらの組み合わせなどの酸化剤ガスを含むことができる。いくつかの実装形態において、基板を酸素含有反応物に曝露することは、基板に水素と酸素を流して、プラズマ処理チャンバ内でインサイチュで反応させて発熱反応を起こさせることを含む。いくつかの実装形態において、水素と酸素との反応によって水がインサイチュで形成可能であると考えられる。水蒸気は、出発反応物としてはプラズマ処理チャンバに流されないが、プラズマ処理チャンバ内でインサイチュで形成されてもよいし、形成されなくてもよい。本明細書において、「水素」を流すとは、水素分子を流すことを意味し、「酸素」を流すとは、酸素分子を流すことを意味する。水素および酸素は、プラズマ処理チャンバ内の基板に向かって、同時に流されてもよい。水素と酸素との発熱反応によって、吸着したシリコン含有前駆体との表面反応を促進して、第1のシリコン酸化物層を形成するためのエネルギーが放出されてもよい。熱酸化フェーズ461A中の水素の流量は、約0slm~約20slm、約1slm~約10slm、約2slm~約6slm、または約3slm超(約4slmなど)であってもよい。熱酸化フェーズ461A中の酸素の流量は、約0.5slm~約20slm、約1slm~約10slm、または約2slm~約8slm(約5slmなど)であってもよい。水素と酸素との流量比は、約1.2:1以下(約0.5:1~約1.2:1など)であってもよい。
基板は、熱酸化フェーズ461A中に適切な時間、酸素含有反応物に曝露され、昇温温度に曝露されてもよい。熱ALD/CVDチャンバの炉反応器またはバッチ反応器における熱酸化は通常、特にシリコン酸化物膜については、十分な堆積速度を得るために少なくとも10秒間継続する場合がある。しかしながら、本開示のプラズマ処理チャンバにおける熱酸化の時間は、約10秒未満であってもよい。いくつかの実装形態において、熱酸化フェーズ361Aにおける熱酸化の時間は、約0.1秒~約6秒、約0.2秒~約4秒、または約0.5秒~約3秒であってもよい。
基板は、熱酸化フェーズ461A中、および/または熱酸化フェーズ461Aの前に、昇温温度に曝露されてもよい。基板を酸素含有反応物に曝露するのと同時に、基板を昇温温度にて動作させてもよい。いくつかの実装形態において、昇温温度は、約500℃~約750℃、約500℃~約700℃、約500℃~約650℃、または約550℃~約650℃であってもよい。熱ALD/CVDチャンバの炉反応器またはバッチ反応器における温度は通常、シリコン酸化物膜を堆積するための表面反応を促進する際に700℃超になる場合がある。しかしながら、本開示のプラズマ処理チャンバにおいて熱ALDによってシリコン酸化物膜を堆積するために表面反応を促進する際の温度は、約700℃以下であってもよい。さらに、従来のPEALD用プラズマ処理チャンバの多くは、約400℃以上の温度では動作しない。プラズマ処理チャンバにおける昇温温度での熱ALDによる堆積は、約0.2Å/サイクル以上であってもよい。
基板は、熱酸化フェーズ461A中、上昇したチャンバ圧力に曝露されてもよい。上昇したチャンバ圧力により、堆積速度を高め、シリコン含有前駆体と酸素含有反応物との表面反応を促進してもよい。いくつかの実装形態において、プラズマ処理チャンバのチャンバ圧力は、約7Torr以上(約933.257Pa)、約10Torr以上(約1333.22Pa)、約12Torr以上(約1599.87Pa)、または約10Torr~約20Torr(約1333.22Pa~約2666.45Pa)であってもよい。熱ALD/CVDチャンバの炉反応器またはバッチ反応器内の圧力は通常、約5Torr(約666.612Pa)未満の場合がある。しかしながら、本開示のプラズマ処理チャンバにおける熱ALDによる堆積の際のチャンバ圧力は約5Torr(約666.612Pa)以上であってもよい。さらに、従来のPEALD用プラズマ処理チャンバの一部は、一般的に、5Torr(約666.612Pa)以上の圧力では動作しない。
十分な堆積速度を達成するためのプロセス条件は、選択されたガス反応物に応じて、熱酸化フェーズ461Aにおいて異なってもよい。ガス反応物が酸素からなるいくつかの実装形態において、約0.2Å/サイクル超の堆積速度は、約550℃~約700℃の温度および約12Torr(約1599.87Pa)以上のチャンバ圧力にて達成し得る。このような実装形態を、酸素のみのフローと呼ぶ場合がある。ガス反応物が水素および酸素からなるいくつかの実装形態において、約0.7Å/サイクル以上の堆積速度は、約500℃~約700℃の温度および約7Torr(約933.257Pa)以上のチャンバ圧力にて達成し得る。このような実装形態を、水素と酸素(H2/O2)との同時フローと呼ぶ場合がある。水素と酸素との同時フローを用いることで、プラズマ処理チャンバ内の温度および圧力がより低い場合でも、より高い堆積速度が達成可能な場合がある。具体的には、酸素のみのフローと比較して、水素と酸素との同時フローを用いることで、熱酸化がより高速に発生する場合がある。
熱ALDサイクル410Aを複数回実行して、基板上に第1のシリコン酸化物層を形成してもよい。いくつかの実装形態において、熱ALDによって堆積された第1のシリコン酸化物層が、PEALDによる堆積の前にライナ層として機能してもよい。ライナ層は、基板損傷から下層を保護し、かつ/または高アスペクト比構造において高品質のライナを提供してもよい。いくつかの実装形態において、第1のシリコン酸化物層は、比較的薄く、約1Å~約100Å(約10Å~約100Åなど)であってもよい。酸素のみのフローを用いてこのような膜厚を得るには、熱ALDサイクルの回数は、約5サイクル~約50サイクル、約5サイクル~約20サイクル、または約5サイクル~約10サイクルであってもよい。
複数回の熱ALDサイクル410Aによって堆積された第1のシリコン酸化物層は、基板にほとんどまたはまったく損傷を与えず、かつ基板をほとんどまたはまったく酸化させないことが可能である。例えば、シリコン基板の酸化量は、熱ALDプロセスにおいて酸素のみのフローを用いる場合、約1Å~約3Åであってもよい。一方、一般的なPEALDプロセスでは、約15Å~約35Åのシリコン基板酸化が発生する。第1のシリコン酸化物層が基板における垂直構造に堆積される場合、垂直構造の屈曲がほとんどまたはまったく発生しない。垂直構造の深さに沿った第1のシリコン酸化物層のウェットエッチング速度は均一である。さらに、基板が1つ以上のフィーチャを含む場合、第1のシリコン酸化物層のステップカバレッジは、高いコンフォーマル性を有する。例えば、第1のシリコン酸化物層のステップカバレッジは、約85%以上、約90%以上、または約95%以上であってもよい。図13は、熱ALDによって650℃でフィン構造上に堆積されたシリコン酸化物膜の画像である。堆積されたシリコン酸化物膜は高いコンフォーマル性を示し、フィン構造の屈曲が制限されている。
プロセス300のブロック330にて、プラズマ処理チャンバ内でPEALDによって、第2のシリコン酸化物層が基板の上に堆積される。ブロック320における熱ALD工程およびブロック330におけるPEALD工程は、同じプラズマ処理チャンバ内で行われる。熱ALDを実行後、ブロック330にて、PEALDサイクルを任意の適切な回数、行ってもよい。各PEALDサイクルは、投与フェーズ、第1のパージフェーズ、プラズマ曝露フェーズ、および第2のパージフェーズを含む一連のフェーズに分割されてもよい。なお、第1のパージフェーズおよび第2のパージフェーズの一方または両方は、各PEALDサイクルにおいて任意で行ってもよいことが理解される。PEALDによって薄膜を堆積することは、基板を前駆体に曝露して基板の表面に前駆体を吸着させることと、基板を1つ以上のガス反応物から生成されるプラズマに曝露することにより、PEALDによって薄膜を形成することとを含む。ここで、プラズマは、これら1つ以上のガス反応物の反応種と前駆体との反応を促進する。具体的には、PEALDによって第2のシリコン酸化物層を堆積することは、基板をシリコン含有前駆体に曝露して基板の表面にシリコン含有前駆体を吸着させることと、基板を酸素含有反応物から生成されるプラズマに曝露し、これにより、PEALDによって第2のシリコン酸化物層を形成することを含む。ここで、プラズマは、酸素含有反応物の反応種とシリコン含有前駆体との反応を促進する。PEALDサイクルにおけるシリコン含有前駆体は、熱ALDサイクルにおけるシリコン含有前駆体と同じであってもよいし、同じでなくてもよい。さらに、PEALDサイクルにおける酸素含有反応物は、熱ALDサイクルにおける酸素含有反応物と同じであってもよいし、同じでなくてもよい。例えば、酸素含有反応物は、酸素、オゾン、またはこれらの組み合わせを含んでもよい。
図4に示すように、PEALDサイクル410Bにおいて、基板は投与フェーズ457B中に、シリコン含有前駆体に曝露される。いくつかの実装形態において、シリコン含有前駆体は、アミノシランなどのシランを含む。投与フェーズ457B中、プラズマはオフにされ、酸素含有反応物のフローはオフにされ、キャリアガスは基板に向かって流れていてもよい。いくつかの実装形態において、シリコン含有前駆体は、活性部位がシリコン含有前駆体によって占有されると、それ以上のシリコン含有前駆体は基板表面にほとんど、またはまったく吸着しないような自己制限態様で基板表面に吸着する。
いくつかの実装形態において、プラズマ処理チャンバは、基板をシリコン含有前駆体に曝露する工程と、基板を酸素含有反応物に曝露する工程との間でパージされてもよい。さらに、プラズマ処理チャンバは、基板を酸素含有反応物に曝露した後にパージされてもよい。パージには、スイープガスを用いてもよい。スイープガスは、他の工程/フェーズで用いられるキャリアガスでもよいし、異なるガスでもよい。パージによって、基板表面に吸着または反応しなかった気相状態の過剰な種を除去してもよい。図4に示すように、プラズマ処理チャンバは、パージフェーズ459Bおよび463Bにおいてパージが行われる。シリコン含有前駆体のフローはオフにされ、プラズマはオフにされ、酸素含有反応物のフローはオフにされる。ただし、キャリアガスは基板に向かって流れ続けてもよい。いくつかの実装形態において、パージフェーズ459Bおよび463Bはそれぞれ、プラズマ処理チャンバを真空排気するための1つ以上の真空排気サブフェーズを含んでもよい。なお、代替例として、パージフェーズ459Bおよび463Bの各々を、いくつかの実装形態では省略してもよいことが認識される。
図4に示すように、PEALDサイクル410Bにおいて、基板はプラズマ曝露フェーズ461B中に、酸素含有反応物から生成されるプラズマに曝露されてもよい。プラズマ曝露フェーズ461B中に酸素プラズマが点火されてもよい。プラズマは、酸素含有反応物から生成されるイオン、ラジカル、荷電中性子、および他の反応種を含んでもよい。酸素含有反応物からの反応種が、吸着したシリコン含有前駆体と反応して、第1のシリコン酸化物層の上に第2のシリコン酸化物層を形成してもよい。プラズマは、インサイチュで生成されてもよいし、遠隔生成されてもよい。プラズマ曝露フェーズ461B中、シリコン含有前駆体のフローはオフにされ、酸素含有反応物のフローはオンにされる。
プラズマ曝露フェーズ461B中、プラズマ処理チャンバ内のプロセス条件は、酸素プラズマに関して異なってもよい。いくつかの実装形態において、基板温度は、約0℃~約750℃、または約20℃~約200℃で維持されてもよい。いくつかの実装形態において、プラズマ処理チャンバ内のチャンバ圧力は、比較的低い約10mTorr~約200mTorr(約1333.22mPa~約26664.5mPa)であってもよいし、比較的高い約1Torr~約7Torr(約133.322Pa~約933.257Pa)であってもよい。RFフィールドがプラズマ処理チャンバに印加されて、酸素含有反応物のイオンおよびラジカルが生成される。種々の実装形態において、プラズマを生成するためのRF周波数は、少なくとも約13.56MHz、少なくとも約27MHz、少なくとも約40MHz、または少なくとも約60MHzであってもよいが、他の周波数を用いてもよい。いくつかの実装形態において、RF電力は数百ワット(例えば、約500W以下、約400W以下、または約300W以下)であってもよい。ただし、基板面積に応じて他のRF電力を適用してもよいことが理解される。いくつかの実装形態において、プラズマ曝露フェーズ461Bの時間は、約0.1秒~約120秒、または約1秒~約60秒であってもよい。
PEALDサイクル410Bを複数回実行して、第1のシリコン酸化物層の上に第2のシリコン酸化物層を形成してもよい。熱ALDサイクル410Aによって堆積された第1のシリコン酸化物層によって、下層を保護するためのシリコン酸化物膜からなるライナ層を実現してもよい。いくつかの実装形態において、ライナ層は、比較的薄い約10Å~約100Åの厚さであってもよい。いくつかの実装形態において、ライナ層が軟層に対する保護ライナとして機能し、基板損傷を防止あるいは低減してもよい。いくつかの実装形態において、ライナ層は、高アスペクト比構造に対する高品質ライナとして機能してもよい。このような高アスペクト比構造は、フィンおよびピラーを含んでもよい。高アスペクト比構造は、PEALD工程のみに曝露された場合、屈曲/損傷が生じやすい場合がある。しかしながら、第2のシリコン酸化物層の前に熱ALDによって第1のシリコン酸化物層を堆積することにより、高いコンフォーマル性、高堆積速度、表面酸化の抑制、基板フィーチャ(例えば、ピラーやフィン)の屈曲の抑制、および側壁の均一なウェットエッチング速度が実現される。PEALDサイクル410Bによって堆積される第2のシリコン酸化物層は、ライナ層上へのシリコン酸化物膜のバルク堆積として続いてもよい。したがって、種々の実装形態において、シリコン酸化物膜の核形成が熱ALDによって行われ、バルク堆積が、同じプラズマ処理チャンバ内でのPEALDによって行われてもよい。
いくつかの実装形態において、プロセス300aは、プラズマ処理チャンバ内で窒素含有反応物から生成されるプラズマに基板を曝露することをさらに含む。ここで、プラズマは、窒素含有反応物の反応種と、少なくとも第2のシリコン酸化物層との反応を促進し、これにより、少なくとも第2のシリコン酸化物層をシリコン酸窒化物層へと変換する。いくつかの実装形態において、窒素含有反応物は、窒素(N2)、アンモニア(NH3)、またはこれらの組み合わせを含んでもよい。窒素プラズマによって、第1および第2のシリコン酸化物層の一方または両方を窒化させて、シリコン酸窒化物層を形成してもよい。
上述したシリコン酸化物の窒化処理に加えて、またはこれに代えて、プロセス300aは、プラズマ処理チャンバ内で熱ALDまたはPEALDによって、第1および第2のシリコン酸化物層の上にシリコン窒化物層を堆積することを含んでもよい。このように、第1のシリコン酸化物層、第2のシリコン酸化物層、およびシリコン窒化物層の組み合わせによって、全体としてシリコン酸窒化物膜を形成する。種々の実装形態において、シリコン酸化物層およびシリコン窒化物層を交互に堆積して、シリコン酸化物/シリコン窒化物のナノ積層体を形成してもよい。いくつかの実装形態において、プロセス300aは、基板をアニーリングして、第1のシリコン酸化物層、第2のシリコン酸化物層、およびシリコン窒化物層からシリコン酸窒化物膜を形成することをさらに含む。
図3Bは、いくつかの実装形態に係る、熱ALDによってシリコン酸化物膜を堆積するための例示的なプロセスのフローチャートである。図3Bのプロセス300bにおける工程は、異なる順序で実行されてもよいし、かつ/または、異なる工程、より少ない工程、もしくは追加の工程を伴って実行されてもよい。プロセス300bにおける工程は、図11に示すプラズマ処理装置および/または図12に示すプロセスツールによって実行されてもよい。いくつかの実装形態において、プロセス300bの工程は、少なくとも部分的に、1つ以上の非一時的なコンピュータ可読媒体に記憶されたソフトウェアに従って実行されてもよい。以下、図3Bおよび図5~10をまとめて説明する場合がある。
プロセス300bのブロック350にて、基板が昇温温度に加熱される。基板は、熱ALDの前および熱ALD中に、昇温温度に加熱されてもよい。これにより、基板が昇温温度に加熱され、熱ALDにおいて前駆体と反応物との表面反応を促進できる。いくつかの実装形態において、基板に適用される昇温温度は、約500℃~約750℃、約500℃~約700℃、約500℃~約650℃、または約550℃~約650℃であってもよい。いくつかの実装形態において、プラズマ処理チャンバの圧力は、約7Torr以上(約933.257Pa)、約10Torr以上(約1333.22Pa)、または約12Torr以上(約1599.87Pa)であってもよい。チャンバ圧力を、シリコン酸化物膜の層の堆積速度を制御するためのさらなる手段として用いてもよい。
いくつかの実装形態において、基板を昇温温度に加熱する前に、基板をプラズマ処理チャンバ内に設けてもよい。プラズマ処理チャンバは、熱ALDプロセス、PEALDプロセス、またはこれらの組み合わせを実行するように構成された枚葉式プラズマ反応器であってもよい。基板は、200mm、300mm、または450mm基板などのシリコン基板であってもよく、誘電体材料、導電性材料、または半導電性材料などの材料からなる1つ以上の層を有する基板を含む。いくつかの実装形態において、シリコン酸化物膜が堆積される基板は、PEALDによるプラズマ損傷に敏感な材料を含んでもよい。例えば、材料は、シリコン、ゲルマニウム、シリコン-ゲルマニウム、炭素、および金属を含んでもよい(ただし、これらに限定されない)。金属の例としては、モリブデン、タングステン、銅、コバルト、ルテニウム、ロジウム、およびイリジウムが挙げられる。シリコン酸化物膜が堆積される基板は、フィンまたはピラーなどの1つ以上のフィーチャを含んでもよい。いくつかの実装形態において、1つ以上のフィーチャは、バリア層、ライナ層、または接着層などの下層を含んでもよい。
プロセス300bのブロック360にて、基板がプラズマ処理チャンバ内でシリコン含有前駆体に曝露され、シリコン含有前駆体が基板の表面に吸着する。いくつかの実装形態において、シリコン含有前駆体は、アミノシランなどのシランを含む。アミノシランは、シリコン原子に結合した少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および炭素を含んでもよい。アミノシランの例としては、BTBAS、N-SAM-24、3DMAS、および4DMASが挙げられる。いくつかの実装形態において、基板は、昇温温度に加熱されながら、シリコン含有前駆体に曝露される。
図5は、いくつかの実装形態に係る、水素と酸素含有反応物との同時フローを用いてシリコン酸化物膜を堆積するための熱ALDサイクルを示す例示的なタイミングチャートである。第1の熱ALDサイクル510Aは、投与フェーズ557Aと、それに続く第1のパージフェーズ559Aと、それに続く熱酸化フェーズ561Aと、それに続く第2のパージフェーズ563Aとを含んでもよい。第2の熱ALDサイクル510Bは、投与フェーズ557Bと、それに続く第1のパージフェーズ559Bと、それに続く熱酸化フェーズ561Bと、それに続く第2のパージフェーズ563Bとを含んでもよい。図5に示すように、基板は、熱ALDサイクル510A/510Bにおける投与フェーズ557A/557B中にシリコン含有前駆体に曝露されてもよく、投与フェーズ557A/557Bの時間は、流量および基板の表面積に応じて、約0.1秒~約60秒、約0.2秒~約6秒、または約0.3秒~約2秒(約0.75秒など)であってもよい。シリコン含有前駆体は、活性部位がシリコン含有前駆体によって占有されると、それ以上のシリコン含有前駆体は基板表面にほとんど、またはまったく吸着しないような自己制限態様で基板表面に吸着する。投与フェーズ557A/557B中、プラズマはオフにされ、酸素含有反応物は基板に向かって流れず、キャリアガスは基板に向かって流れていてもよい。
いくつかの実装形態において、プラズマ処理チャンバは、基板をシリコン含有前駆体に曝露する工程と、水素と酸素含有反応物とをプラズマ処理チャンバに流す工程との間でパージされてもよい。さらに、プラズマ処理チャンバは、水素と酸素含有反応物とのフローを停止した後にパージされてもよい。パージには、スイープガスを用いてもよい。スイープガスは、他の工程/フェーズで用いられるキャリアガスでもよいし、異なるガスでもよい。パージによって、基板表面に吸着または反応しなかった気相状態の過剰な種を除去してもよい。図5に示すように、プラズマ処理チャンバは、パージフェーズ559A、563A、559B、および563Bにおいてパージが行われる。シリコン含有前駆体のフローはオフにされ、プラズマはオフにされ、水素のフローはオフにされ、酸素含有反応物のフローはオフにされる。ただし、キャリアガスは基板に向かって流れ続けてもよい。いくつかの実装形態において、パージフェーズ559A、563A、559B、および563Bはそれぞれ、プラズマ処理チャンバを真空排気するための1つ以上の真空排気サブフェーズを含んでもよい。なお、代替例として、パージフェーズ559A、563A、559B、および563Bの各々を、いくつかの実装形態では省略してもよいことが認識される。各パージフェーズ559A、563A、559B、および563Bは、約0秒~約60秒、または約0.01秒~約6秒など適切な時間、継続してもよい。
図3Bに戻り、プロセス300bのブロック370にて、水素と酸素含有反応物とがプラズマ処理チャンバ内の基板に向かって流される。水素と酸素含有反応物は、プラズマ処理チャンバ内で反応する。そして、プラズマ処理チャンバ内で、シリコン酸化物膜の層が基板の上に形成される。水素と酸素含有反応物は、同時にプラズマ処理チャンバ内に流されてもよい。いくつかの実装形態において、酸素含有反応物は、酸素またはオゾンを含む。例えば、酸素含有反応物は酸素を含み、それによって水素と酸素(H/O)との同時フローを実現する。いかなる理論にも限定されるものではないが、水素と酸素含有反応物は、プラズマ処理チャンバ内でインサイチュで、互いに反応して発熱反応を起こす。水素と酸素含有反応物との反応により、発熱反応において水が形成される可能性がある。この発熱反応によって、吸着したシリコン含有前駆体の熱酸化を促進して、シリコン酸化物膜を形成可能なエネルギーが放出される。水素の流量および酸素含有反応物の流量は、熱酸化を促進するための所望の流量比に従って制御されてもよい。いくつかの実装形態において、水素と酸素含有反応物との流量比は、約1.2:1以下(約0.5:1~約1.2:1など)であってもよい。いくつかの実装形態において、水素の流量は、約0slm~約20slm、約1slm~約10slm、約2slm~約6slm、約3slm超(約4slmなど)であってもよい。酸素含有反応物の流量は、約0.5slm~約20slm、約1slm~約10slm、または約2slm~約8slm(約5slmなど)であってもよい。
水素と酸素含有反応物とのフロー中、基板は昇温温度に維持される。基板を昇温温度にしながら、水素と酸素含有反応物とを基板に向かって流して、プラズマ処理チャンバ内で吸着したシリコン含有前駆体との反応を促進し、それにより、シリコン酸化物膜の層を形成する。昇温温度により、十分な堆積速度でのシリコン酸化物膜の層形成を促進してもよい。いかなる理論にも限定されるものではないが、基板を昇温温度に加熱しつつ、水素と酸素含有反応物とをインサイチュで発熱反応させることにより、十分な堆積速度でのシリコン酸化物膜の層形成を促進するのに十分なエネルギーを供給してもよい。いくつかの実装形態において、水素と酸素含有反応物との同時フローを用いたシリコン酸化物膜の層の堆積速度は、約0.7Å/サイクル以上であってもよい。
図5に示すように、熱ALDサイクル510A/510Bにおける熱酸化フェーズ561A/561B中、基板を昇温温度に加熱しつつ、水素と酸素含有反応物とを基板に向かって流してもよい。水素と酸素含有反応物とのインサイチュでの発熱反応と、昇温温度に加熱された基板との組み合わせにより、熱酸化フェーズ561A/561B中に酸化を促進するためのエネルギーを供給してもよい。さらに、プラズマ処理チャンバ内の温度および圧力は、熱酸化フェーズ561A/561B中、約0.7Å/サイクル以上の堆積速度でのシリコン酸化物膜の層堆積を可能にするように制御されてもよい。熱酸化フェーズ561A/561Bの時間は、約0.1秒~約6秒、約0.2秒~約4秒、または約0.5秒~約3秒であってもよい。例えば、水素と酸素含有反応物との同時フローを用いた熱酸化フェーズ561A/561Bの時間は、約0.5秒~約1秒(約0.8秒など)であってもよい。熱酸化フェーズ561A/561B中、プラズマはオフにされ、シリコン含有前駆体のフローはオフにされる。ただし、キャリアガス、水素、および酸素含有反応物のフローはオンにされてもよい。
熱ALDにおける水素と酸素との同時フローに関するプロセス時間およびプロセス条件の例を、表1に示す。
Figure 2022544104000002
いくつかの実装形態において、プロセス300bは、プラズマ処理チャンバにプラズマ電力を印加して、プラズマ処理チャンバ内で水素と酸素含有反応物とから生成されるプラズマを点火することをさらに含む。いくつかの実装形態において、プラズマは、水素と酸素のイオン、ラジカル、および他の反応種(例えば、H*およびO*)を含んでもよい。いくつかの実装形態において、プラズマは、キャリアガスのイオン、ラジカル、および他の反応種(例えば、Ar+)をさらに含んでもよい。プラズマ処理チャンバに印加されるプラズマ電力は、比較的小さくてもよい。いくつかの実装形態において、プラズマ処理チャンバに印加されるプラズマ電力は、約300W以下、約200W以下、または約10W~約200Wである。このようにして、プラズマは、ラジカルの数の方が多く、イオンの数の方が少なくてもよい。種々の実装形態において、プラズマを生成するためのRF周波数は、少なくとも約13.56MHz、少なくとも約27MHz、少なくとも約40MHz、または少なくとも約60MHzであってもよい。ただし、他の周波数を用いてもよい。
いかなる理論にも限定されるものではないが、水素と酸素含有反応物との発熱反応によるエネルギーを用いて、低RF電力によって低RFプラズマを点火してもよい。水素と酸素含有反応物とのインサイチュでの発熱反応がない場合、プラズマは比較的低いRF電力では点火しない可能性がある。すなわち、水素と酸素含有反応物との燃焼反応は、プラズマ処理チャンバ内での低RFプラズマの生成に寄与する場合がある。比較的低いRF出力にて、安定したプラズマが維持されてもよい。低RFプラズマによって、基板への損傷、特に敏感な基板への損傷を制限してもよい。低RFプラズマによって、シリコン酸化膜の層の堆積および特性を向上させるか、または少なくとも調節してもよい。いくつかの実装形態において、低RFプラズマによって、堆積速度を調節し、より高いウェットエッチング速度を実現してもよい。いくつかの実装形態において、低RFプラズマによって、膜のコンフォーマル性の向上、動作温度の低減、および/または堆積速度の高速化を実現してもよい。
図6は、いくつかの実装形態に係る、低RFプラズマ電力を用いてシリコン酸化物膜を堆積するための熱ALDサイクルを示す例示的なタイミングチャートである。図6には、投与フェーズ657A、第1のパージフェーズ659A、熱酸化フェーズ661A、および第2のパージフェーズ663Aを含む第1の熱ALDサイクル610Aが示されている。また、図6には、投与フェーズ657B、第1のパージフェーズ659B、熱酸化フェーズ661B、および第2のパージフェーズ663Bを含む第2の熱ALDサイクル610Bが示されている。図6の熱ALDサイクル610A/610Bの各々に関する各フェーズの態様は、図5の熱ALDサイクル510A/510Bにて説明できる。
熱酸化フェーズ661A/661Bにおいて、プラズマはオフではなくオンにされる。プラズマ電力は、約300W以下、約200W以下、または約10W~約200Wの低RFプラズマ電力であってよい。低RFプラズマ電力の印加は、水素と酸素含有反応物とが基板に向かって流れており、かつ基板が昇温温度に加熱されている間に行われる。酸素ラジカルなどのプラズマ中の反応種が、吸着したシリコン含有前駆体と反応して、シリコン酸化物を形成してもよい。
いくつかの実装形態において、プロセス300bのブロック370にて、水素と酸素含有反応物とを基板に向かって流すことは、酸素含有反応物をプラズマ処理チャンバ内に連続的に流すとともに、水素をプラズマ処理チャンバ内に一定の間隔でパルス状に流すことを含んでもよい。水素は、酸素含有反応物が同時かつ連続的に基板に向かって流れている間、一定の間隔でパルス状に流れてもよい。例えば、一定の酸素フローを、パルス状に供給された水素フローと組み合わせてプラズマ処理チャンバに流してもよい。いくつかの実装形態において、水素はプラズマ処理チャンバに、約0.1秒~約1秒、約0.1秒~約0.8秒、または約0.2秒~約0.6秒続く一定の間隔でパルス状に導入されてもよい。水素をパルス状に流すことにより、連続的ではなくパルス状に発生する水素と酸素含有反応物との燃焼反応を促進してもよい。水素をパルス状に流すことにより、シリコン酸化物膜の層の堆積および特性に影響を与える場合がある。水素をパルス状に流す場合、熱酸化フェーズの時間が長くなる場合がある。いかなる理論にも限定されるものではないが、これにより、膜特性を促進するために所望の時間、パルス状の発熱反応を進行させることができる。
図7は、いくつかの実装形態に係る、パルス状に供給される水素フローを酸化時に用いてシリコン酸化物膜を堆積するための熱ALDサイクルを示す例示的なタイミングチャートである。図7には、投与フェーズ757A、第1のパージフェーズ759A、熱酸化フェーズ761A、および第2のパージフェーズ763Aを含む第1の熱ALDサイクル710Aが示されている。また、図7には、投与フェーズ757B、第1のパージフェーズ759B、熱酸化フェーズ761B、および第2のパージフェーズ763Bを含む第2の熱ALDサイクル710Bが示されている。図7の熱ALDサイクル710A/710Bの各々に関する各フェーズの態様は、図5の熱ALDサイクル510A/510Bにて説明できる。
熱酸化フェーズ761A/761Bにおいて、水素フローは連続的ではなく、パルス状である。酸素含有反応物のフローは連続的であり、パルス状の水素フローと同時に供給される。パルス状の水素フローのパルスは、典型的には矩形波状であってよい。パルス状の水素フローのパルスは、一定の間隔で発生可能である。ここで、一定の間隔の各々は、約0.1秒~約1秒、約0.1秒~約0.8秒、または約0.2秒~約0.6秒続いてもよい。いくつかの実装形態において、熱酸化フェーズ761A/761Bのトータル時間は、0.5秒以上、1秒以上、または約1秒~約30秒であってもよい。なお、熱酸化フェーズ761A/761Bのトータル時間は、パルス状の発熱反応を可能にして膜特性を促進するために、より長くてもよいことが理解される。デューティサイクルとは、オン時間およびオフ時間の合計に対するフローのオン時間(Ton)の割合を意味し得る。ここで、熱酸化フェーズ761A/761Bにおいて、T=Ton+Toffである。いくつかの実装形態において、パルス状の水素フローのデューティサイクルは、約1%~約99%、約5%~約95%、約15%~約90%、または約25%~約75%であってよい。
いくつかの実装形態において、プロセス300bのブロック370にて、水素と酸素含有反応物とを基板に向かって流すことは、遠隔プラズマ源にて酸素含有反応物から酸素ラジカルを生成することと、酸素ラジカルをプラズマ処理チャンバに導入することと、水素をプラズマ処理チャンバに流すこととを含んでもよい。純酸素ガスの代わりに、酸素ラジカルによって、水素および吸着したシリコン含有前駆体と反応する、より反応性の高い種を供給してもよい。いかなる理論にも限定されるものではないが、酸素ラジカルは、水素と反応してヒドロキシルラジカルまたは水を形成してもよい。ここで、ヒドロキシルラジカルまたは水は、吸着したシリコン含有前駆体の酸化を促進してもよい。いくつかの実装形態において、酸素ラジカルは、酸素ガスまたはオゾンから生成される。いくつかの実装形態において、遠隔プラズマ源は、プラズマ処理チャンバの上流に位置する。遠隔プラズマ源は、誘導結合プラズマ発生器または容量結合プラズマ発生器などの、任意の適切なプラズマ発生器であってよい。
図8は、いくつかの実装形態に係る、遠隔プラズマ源から生成される酸素ラジカルを酸化時に用いてシリコン酸化物膜を堆積するための熱ALDサイクルを示す例示的なタイミングチャートである。図8には、投与フェーズ857A、第1のパージフェーズ859A、熱酸化フェーズ861A、および第2のパージフェーズ863Aを含む第1の熱ALDサイクル810Aが示されている。また、図8には、投与フェーズ857B、第1のパージフェーズ859B、熱酸化フェーズ861B、および第2のパージフェーズ863Bを含む第2熱ALDサイクル810Bが示されている。図8の熱ALDサイクル810A/810Bの各々に関する各フェーズの態様は、図5の熱ALDサイクル510A/510Bにて説明できる。
熱酸化フェーズ861A/861Bにおいて、純酸素ガスの代わりに酸素ラジカルがプラズマ処理チャンバ内に導入される。水素フローは、連続的にかつ酸素ラジカルのフローと同時にプラズマ処理チャンバに供給されてもよい。ただし、いくつかの実装形態において、水素フローはパルス状に供給されてもよいことが理解される。熱酸化フェーズ861A/861Bにおいて、遠隔プラズマ電力は、オフではなくオンにされる。プラズマ処理チャンバの上流で酸素ラジカルを生成するために、RF電力が遠隔プラズマ源に印加されてもよい。
いくつかの実装形態において、プロセス300bのブロック380にて、プロセス300bは、プラズマ処理チャンバ内でPEALDを実行することをさらに含む。例えば、プロセス300bは、プラズマ処理チャンバ内でPEALDによって、シリコン酸化物膜の1つ以上の追加の層を基板に堆積することを含み得る。これに加えて、またはこれに代えて、プロセス300bは、プラズマ処理チャンバ内で熱ALDまたはPEALDによって、シリコン窒化物膜の1つ以上の層をシリコン酸化物膜の層の上に堆積し、最終的にシリコン酸窒化物膜を形成することを含み得る。いくつかの実装形態において、プロセス300bのブロック380にて、PEALDサイクルは、プラズマ曝露フェーズ中に基板を窒素含有反応物のプラズマに曝露し、シリコン酸化物膜の層をシリコン酸窒化物膜に変換することを含むことができる。熱ALDによって堆積されたシリコン酸化物膜の層は、基板の下層を保護するライナ層として機能してもよく、シリコン酸化物および/またはシリコン窒化物からなる後続の層は、ライナ層の上にバルク堆積されてもよい。熱ALDによって堆積されたシリコン酸化物膜の層は、高コンフォーマル性、高堆積速度、表面酸化の抑制、基板フィーチャ(例えば、ピラーやフィン)の屈曲の抑制、および側壁に対する均一なウェットエッチング速度を示してもよい。ただし、いくつかの実装形態において、プラズマ処理チャンバにおけるPEALDは、プラズマ処理チャンバにおける熱ALDの前に行われてもよい。すなわち、PEALDによってシリコン酸化物膜の層を堆積し、その後、熱ALDによってシリコン酸化物膜のさらなる層を堆積してもよい。
図9は、いくつかの実装形態に係る、シリコン含有膜を堆積するための、水素と酸素との同時フローを用いた熱ALDサイクルと、それに続くプラズマ酸化/窒化を用いたPEALDサイクルとを示す例示的なタイミングチャートである。ただし、PEALDサイクルは、いくつかの実装形態では熱ALDサイクルの前に行ってもよいことが理解される。図9には、投与フェーズ957A、第1のパージフェーズ959A、熱酸化フェーズ961A、および第2のパージフェーズ963Aを含む熱ALDサイクル910Aが示されている。また、図9には、投与フェーズ957B、第1のパージフェーズ959B、プラズマ酸化/窒化フェーズ961B、および第2のパージフェーズ963Bを含むPEALDサイクル910Bが示されている。図9の熱ALDサイクル910Aに関する各フェーズの態様は、図5の熱ALDサイクル510A/510Bにて説明できる。図9のPEALDサイクル910Bに関する各フェーズの態様は、図4のPEALDサイクル410Bにて説明できる。
プラズマ酸化/窒化フェーズ961Bにおいて、基板が酸素プラズマまたは窒素プラズマに曝露されてもよい。プラズマ窒化が行われる場合、1つ以上の窒素含有反応物が基板に向かって流されるとともに、プラズマがオンにされてもよい。例えば、1つ以上の窒素含有反応物は、N2/NH3を含んでもよい。プラズマ窒化によって、シリコン酸化物膜の層の上にシリコン窒化物膜の層を堆積してもよい。いくつかの実装形態において、プラズマ窒化によって、シリコン酸化物をシリコン酸窒化物に変換してもよい。プラズマ酸化が行われる場合、1つ以上の酸素含有反応物が基板に向かって流されるとともに、プラズマがオンにされてもよい。例えば、1つ以上の酸素含有反応物は、O2を含んでもよい。プラズマ酸化によって、シリコン酸化物膜の層の上にさらなるシリコン酸化物膜の層を堆積してもよい。
いくつかの実装形態において、プロセス300bのブロック360および370にて、基板をシリコン含有前駆体に曝露し、水素と酸素含有反応物とを流すことは、周期的ではなく連続的に行われてもよい。具体的には、基板をシリコン含有前駆体に曝露し、水素と酸素含有反応物とを流すことは、熱ALDプロセスの代わりに熱CVDプロセスによって行われる。簡単に説明すると、熱ALD反応では、(a)前駆体を供給して吸着前駆体層を形成することと、(b)任意でのパージ工程と、(c)加熱した基板の上に反応物を供給することと、(d)任意でのパージ工程と、(e)膜が所望の膜厚になるまで(a)~(d)の工程を繰り返すこととが周期的に行われる。しかしながら、熱CVD反応では、基板を加熱しながら前駆体および反応物を連続的に供給する。CVD反応は気相反応であり、反応生成物が基板表面に堆積する。したがって、本開示の反応メカニズムにおいては、シリコン含有前駆体、水素、および酸素含有反応物が周期的に供給される熱ALDではなく、これらが連続的に供給される熱CVDを行ってもよい。
図10は、いくつかの実施態様に係る、シリコン含有前駆体、水素、および酸素含有反応物の同時フローを用いてシリコン含有膜を堆積するため熱CVDを示す例示的なタイミングチャートである。熱CVDプロセス1010は、周期的に実行される一連のフェーズに分割されない。キャリアガスは基板に連続的に流され、シリコン含有前駆体は基板に連続的に流され、水素ガスは基板に連続的に流され、酸素含有反応物は基板に連続的に流される。シリコン含有前駆体の供給、水素の供給、および酸素含有反応物の供給は逐次的には行われず、別個のフェーズとしては行われない。熱CVDプロセス1010中、プラズマはオフにされる。
なお、図4~図10にて説明した上記の技術のいずれかを、一連のALDサイクルおよび/またはCVD反応において混合してもよいことが理解される。言い換えれば、熱ALDによるシリコン含有膜の堆積において、パルス状に水素フローを供給する1つ以上のサイクルと、水素と酸素含有反応物との同時フローを行う1つ以上のサイクルと、低RF電力を印加する1つ以上のサイクルと、酸素ラジカルを用いた1つ以上のサイクルと、プラズマ酸化/窒化のための1つ以上のPEALDサイクルと、シリコン含有前駆体、水素、および酸素含有反応物を用いた熱CVD反応を行う1つ以上の期間とを含んでもよい。このような技術は、シリコン含有膜を堆積する際に任意の順序で適用してもよい。
[装置]
本明細書に記載の方法は、任意の適切な装置または装置の組み合わせによって実行されてもよい。好適な装置は、プロセス工程を達成するためのハードウェアと、本開示に従ってプロセス工程を制御するための命令を含むシステムコントローラとを含む。例えば、いくつかの実装形態において、ハードウェアは、プロセスツールに含まれる1つ以上のプロセスステーションを含んでもよい。本開示において、熱ALD/CVDおよびPEALD/PECVDは、単一のステーション/チャンバ内で実行されてもよい。
図11は、いくつかの実装形態に係る、熱ALDによってシリコン含有膜を堆積するための例示的なプラズマ処理装置の概略図である。プラズマ装置またはプロセスステーション1100aは、低圧環境を維持するためのプラズマ処理チャンバ1102を含む。複数のプラズマ装置またはプロセスステーション1100aが、共通の低圧プロセスツール環境に含まれてもよい。例えば、図12は、マルチステーション処理ツール1200の実装形態を示している。いくつかの実装形態において、以下で詳述するパラメータを含む、プラズマ装置またはプロセスステーション1100aにおける1つ以上のハードウェアパラメータが、1つ以上のシステムコントローラ1150によってプログラム的に調整されてもよい。プラズマ装置またはプロセスステーション1100aは、熱ALDおよびPEALD、熱CVDおよびPEALD、熱ALDおよびPECVD、または熱CVDおよびPECVDを実行するように構成できる。いくつかの実装形態において、プラズマ装置またはプロセスステーション1100aは、1つ以上のPEALDサイクルおよび1つ以上の熱ALDサイクルを実行して、基板1112の上にシリコン酸化物膜を堆積するように構成できる。
装置またはプロセスステーション1100aは、プロセスガスを分配シャワーヘッド1106に供給するための反応物供給システム1101aと流体連通している。反応物供給システム1101aは、気相のシリコン含有前駆体などのプロセスガスを、シャワーヘッド1106への供給用に配合および/または調整するための混合容器1104を含む。いくつかの実装形態において、反応物供給システム1101aは、シャワーヘッド1106への供給用に酸素含有反応物(例えば、酸素)を配合および/または調整するための混合容器1104を含む。いくつかの実装形態において、反応物供給システム1101aは、シャワーヘッド1106への供給用に水素と酸素含有反応物(例えば、酸素)とを配合および/または調整するための混合容器1104を含む。1つ以上の混合容器入口弁1120によって、混合容器1104へのプロセスガスの導入を制御してもよい。酸素含有反応物のプラズマがさらにシャワーヘッド1106に供給されてもよいし、プラズマ装置またはプロセスステーション1100a内で生成されてもよい。シャワーヘッド1106は、シリコン含有前駆体および反応物をプラズマ処理チャンバ1102に供給するために、プラズマ処理チャンバ1102に流体結合されてもよい。
一例として、図11の実装形態は、混合容器1104に供給される液体反応物を気化させるための気化ポイント1103を含む。いくつかの実装形態において、気化ポイント1103は、加熱式蒸発器であってもよい。いくつかの実装形態において、気化ポイント1103の下流の供給配管は、ヒートトレースされてもよい。いくつかの例では、混合容器1104もヒートトレースされてよい。非限定的な一例として、気化ポイント1103の下流の配管は、約100℃から混合容器1104にて約150℃まで増加する昇温プロファイルを有する。いくつかの実装形態において、液体前駆体または液体反応物が液体注入器において気化されもよい。例えば、液体注入器は、液体反応物を、混合容器1104の上流のキャリアガス流にパルス状に注入してもよい。一実装形態において、液体注入器は、液体を高い圧力から低い圧力にフラッシングすることによって、反応物を気化させてもよい。別の例として、液体注入器が、液体を分散状の微小液滴に霧化してもよく、これらの液滴がその後、加熱された供給配管内で気化される。小さな液滴は大きな液滴よりも速く気化するので、液体注入から気化完了までの遅延を短縮できる。より速く気化させることで、気化ポイント1103の下流の配管長を短くできる。一例として、液体注入器は混合容器1104に直接取り付けられてもよい。別の例として、液体注入器はシャワーヘッド1106に直接取り付けられてもよい。
いくつかの実装形態において、気化ポイント1103の上流に、気化されてプラズマ装置またはプロセスステーション1100aへ供給される液体の質量流量を制御するための液体流量コントローラ(LFC:Liquid Flow Controller)が設けられてもよい。例えば、LFCは、LFCの下流に位置する熱式質量流量計(MFM:Thermal Mass Flow Meter)を含んでもよい。そして、MFMと電気通信する比例積分微分(PID:proportional-integral-derivative)コントローラによって提供されるフィードバック制御信号に応じて、LFCのプランジャバルブが調整されてもよい。ただし、フィードバック制御を用いて液体の流れを安定させるには、1秒以上かかる場合がある。このため、液体反応物の投与時間が延びる場合がある。したがって、いくつかの実装形態では、LFCを、フィードバック制御モードと直接制御モードとの間で動的に切り替えてもよい。いくつかの実装形態において、この切り替えを、LFCおよびPIDコントローラのセンスチューブを無効にすることによって行ってもよい。
シャワーヘッド1106は、基板1112に向かってプロセスガスを分配する。図11に示す実装形態では、基板1112がシャワーヘッド1106の下に位置し、基板支持台1108に載置されている。基板支持台1108は、基板1112を支持するように構成される。基板支持台1108は、堆積工程中および堆積工程間で基板1112を保持および搬送するためのチャック、フォーク、またはリフトピン(図示しない)を含んでもよい。チャックは、静電チャック、機械式チャック、または産業用および/もしくは研究用に使用可能な他の各種チャックであってもよい。シャワーヘッド1106は、任意の適切な形状を有してもよく、基板1112にプロセスガスを分配するために任意の適切な数のポートを任意の適切な配置で有してもよい。
いくつかの実装形態において、基板1112とシャワーヘッド1106との間の体積に基板1112を露出させるために、基板支持台1108を昇降させてもよい。いくつかの実装形態において、基板支持台の高さは、適切なシステムコントローラ1150によってプログラム的に調整してもよいことが認識される。
別の例として、基板支持台1108の高さを調整することにより、プロセスに含まれるプラズマ活性化サイクル中にプラズマ密度を変動させてもよい。処理フェーズの終了時に、基板支持台1108を別の基板搬送フェーズにおいて下降させ、基板支持台1108から基板1112を取り外せるようにしてもよい。
いくつかの実装形態において、基板支持台1108は、ヒータ1110によって昇温温度に加熱されるように構成されてもよい。いくつかの実装形態において、基板支持台1108は、本開示の実装形態において説明したようなシリコン酸化物膜の堆積中に、約700℃未満(約500℃~約750℃、または約500℃~約650℃など)の温度まで加熱されてもよい。さらに、いくつかの実装形態において、装置またはプロセスステーション700aの圧力制御を、バタフライバルブ1118によって実現してもよい。図11の実装形態に示すように、バタフライバルブ1118は、下流の真空ポンプ(図示しない)により提供される真空度を絞る。ただし、いくつかの実装形態において、プラズマ処理チャンバ1102の圧力制御は、プラズマ処理チャンバ1102に導入される1つ以上のガスの流量を変動させることによって調整されてもよい。いくつかの実装形態において、プラズマ処理チャンバ1102内の圧力は、本開示の実装形態において説明したようなシリコン酸化物膜の堆積中に、約7Torr(約933.257Pa)以上、約10Torr(約1333.22Pa)以上、または約12Torr(約1599.87Pa)以上となるように制御されてもよい。
いくつかの実装形態において、シャワーヘッド1106の位置を基板支持台1108に対して調整して、基板1112とシャワーヘッド1106との間の体積を変動させてもよい。さらに、基板支持台1108および/またはシャワーヘッド1106の垂直位置を、本開示の範囲内の任意の適切な機構によって変動させてもよいことが認識される。いくつかの実装形態において、基板支持台1108は、基板1112の向きを回転させるための回転軸を含んでもよい。なお、いくつかの実装形態において、これらの調整例のうちの1つ以上を、1つ以上の好適なシステムコントローラ1150によってプログラム的に実行してもよいことが認識される。
上述したようにプラズマが使用可能ないくつかの実装形態において、シャワーヘッド1106および基板支持台1108は、プラズマ処理チャンバ1102内のプラズマに給電するための無線周波数(RF)電源1114および整合ネットワーク1116と電気通信を行う。いくつかの実装形態において、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングのうちの1つ以上を制御することによって制御されてもよい。例えば、RF電源1114および整合ネットワーク1116は、所望のラジカル種組成を有するプラズマを生成するために、任意の適切な出力で動作してもよい。いくつかの実装形態において、RF電源1114および整合ネットワーク1116は、プラズマ処理チャンバ1102にプラズマ電力を印加して、プラズマ処理チャンバ1102内で水素と酸素含有反応物とから生成されるプラズマを点火するように動作してもよい。RF電源1114によって印加されるプラズマ電力の例としては、約300W以下、約200W以下、または約10W~約200Wであってもよい。同様に、RF電源1114は、任意の適切な周波数のRF電力を供給してもよい。いくつかの実装形態において、RF電源1114は、高周波数RF電源と低周波数RF電源とを互いに独立して制御するように構成されてもよい。低RF周波数の例としては、0kHz~500kHzの周波数が挙げられる(ただし、これらに限定されない)。高RF周波数の例としては、1.8MHz~2.45GHz、または少なくとも約13.56MHz、または少なくとも約27MHz、または少なくとも約40MHz、または少なくとも約60MHzの周波数が挙げられる(ただし、これらに限定されない)。なお、表面反応のためのプラズマエネルギーを供給するために、任意の適切なパラメータを離散的または連続的に調整してもよいことが認識される。
いくつかの実装形態において、1つ以上のプラズマモニタによってプラズマをインサイチュで監視してもよい。一例として、1つ以上の電圧・電流センサ(例えば、VIプローブ)によってプラズマ電力を監視してもよい。別の例として、1つ以上の発光分光法(OES:Optical Emission Spectroscopy)センサによってプラズマ密度および/またはプロセスガス濃度を測定してもよい。いくつかの実装形態において、このようなインサイチュのプラズマモニタからの測定値に基づいて、1つ以上のプラズマパラメータをプログラム的に調整してもよい。例えば、OESセンサを、プラズマ電力のプログラム制御を実現するためのフィードバックループに用いてもよい。なお、いくつかの実装形態において、他のモニタを用いてプラズマおよび他のプロセス特性を監視してもよいことが認識される。このようなモニタの例としては、赤外線(IR)モニタ、音響モニタ、および圧力変換器が含まれる(ただし、これらに限定されない)。
いくつかの実装形態において、コントローラ1150に対する命令は、入力/出力制御(IOC:Input/Output Control)シーケンス命令によって提供されてもよい。一例として、あるプロセスフェーズの条件を設定するための命令は、プロセスレシピにおける対応するレシピフェーズに含まれてもよい。いくつかの場合、プロセスのレシピフェーズは、逐次的に配置されてもよい。この場合、あるプロセスフェーズに対するすべての命令が、そのプロセスフェーズと同時に実行される。いくつかの実装形態において、1つ以上の反応器パラメータを設定するための命令が、レシピフェーズに含まれてもよい。例えば、第1のレシピフェーズは、不活性ガスおよび/または前駆体ガス(例えば、シリコン含有前駆体)の流量を設定するための命令と、キャリアガス(アルゴンなど)の流量を設定するための命令と、第1のレシピフェーズに対する時間遅延命令とを含んでもよい。これに続く第2のレシピフェーズは、不活性ガスおよび/または前駆体ガスの流量を調節または停止するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、第2のレシピフェーズに対する時間遅延命令とを含んでもよい。第3のレシピフェーズは、酸素などの酸素含有反応物ガスの流量を調節するための命令と、水素ガスの流量を調節するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、第3のレシピフェーズに対する時間遅延命令とを含んでもよい。これに続く第4のレシピフェーズは、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、第4のレシピフェーズに対する時間遅延命令とを含んでもよい。いくつかの実装形態において、第4のレシピは、酸素含有反応物のプラズマを点火するための命令を含んでもよい。なお、これらのレシピフェーズは、本開示の実装形態の範囲内で、任意の適切な態様でさらに細分化および/または反復実行されてもよいことが認識される。
特定の実装形態において、コントローラ1150は、本開示に記載の工程を実行するための命令を有する。例えば、コントローラ1150は、基板1112をシリコン含有前駆体に曝露してプラズマ処理チャンバ1102内で基板1112の表面にシリコン含有前駆体を吸着させる工程と、プラズマ処理チャンバ1102内で基板1112に向かって水素と酸素含有反応物とを流す工程と、基板1112を昇温温度に加熱する工程とを実行するための命令を備えて構成されてもよい。ここで、水素と酸素含有反応物とがプラズマ処理チャンバ1102内で互いに反応し、シリコン酸化物膜の層が基板1112の上に形成される。いくつかの実装形態において、昇温温度は約500℃~約650℃であり、酸素含有反応物は酸素である。いくつかの実装形態において、コントローラ1150は、プラズマ処理チャンバ1102内でPEALDによって、シリコン酸化物膜の1つ以上の追加の層を基板1112の上に堆積する工程を実行するための命令をさらに備えて構成される。いくつかの実装形態において、水素と酸素含有反応物とを流すための命令を備えて構成されたコントローラ1150は、酸素含有反応物をプラズマ処理チャンバ1102に連続的に流す工程と、水素をプラズマ処理チャンバ1102に一定の間隔でパルス状に流す工程とを実行するための命令を備えて構成される。いくつかの実装形態において、コントローラ1150は、図12のシステムコントローラ1250に関して以下に説明する特徴のいずれかを含んでもよい。
図12は、本開示の実装形態を実施するための例示的なプロセスツールの概略図である。マルチステーション処理ツール1200は、搬送モジュール1203を含んでもよい。搬送モジュール1203が清浄な加圧環境を提供し、処理中の基板が各種の反応器モジュール間を移動する際の汚染リスクを最小化する。搬送モジュール1203には、マルチステーション反応器1207、1208、および1209が取り付けられている。ここでは、これらを処理チャンバ、反応器、ツールモジュール、またはモジュールと呼ぶ。各反応器は、PEALD、熱ALD、PECVD、または熱CVDなどの堆積プロセスを実行可能である。反応器1207、1208、および1209のうちの1つ以上は、浸漬/洗浄、プラズマ処理、エッチング、アニーリング、または他の工程を実行可能であってもよい。反応器1207、1208、および1209は、複数のステーション1211、1213、1215、および1217を含んでもよい。複数のステーション1211、1213、1215、および1217は、本開示の実装形態に従って各工程を逐次的または非逐次的に実行してもよい。図示の反応器1207、1208、または1209は4つのステーションを有しているが、本開示に係る反応器は任意の適切な数のステーションを有してよいことが理解される。例えば、いくつかの実装形態において、反応器は5つ以上のステーションを有してもよく、他の実装形態において、反応器は3つ以下のステーションを有してもよい。各ステーションは、PEALD、熱ALD、PECVD、または熱CVDによる堆積を実行するために構成されてもよいし、ある堆積プロセスにおける異なるフェーズを実行するために構成されてもよい。各ステーションは、昇温温度に加熱されるように構成された基板支持台、およびガス供給用のシャワーヘッドまたはガス入口を含んでもよい。
また、マルチステーション処理ツール1200は、処理の前後に基板が格納される1つ以上の基板ソースモジュール1201を含む。まず、大気圧搬送チャンバ1219内の大気圧ロボット1204が、1つ以上の基板ソースモジュール1201から基板をロードロック1221へと取り出す。なお、図示の実装形態ではロードロック1221を含んでいるが、いくつかの実装形態では、基板をプロセスステーションに直接進入させる構成であってもよいことが認識される。搬送モジュール1203内のロボットアームユニットなどの基板搬送装置1205が、基板をロードロック1221から反応器1207、1208、および1209へ、そして反応器1207、1208、および1209間で移動させる。これは、加圧(例えば、真空)環境下で行うことができる。マルチステーション処理ツール1200は、本開示に記載のプロセスのうちの1つ以上と、浸漬/洗浄、プラズマ処理、アニーリングなどの他の工程とを実行してもよい。このようなプロセスは、マルチステーション処理ツール1200において真空破壊することなく実行されてもよい。
また、図12において、マルチステーション処理ツール1200のプロセス条件およびハードウェア状態を制御するためのシステムコントローラ1250が含まれてもよい。システムコントローラ1250は、1つ以上のメモリ装置、1つ以上の大容量記憶装置、および1つ以上のプロセッサを含んでもよい。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータ制御ボードなどを含んでもよい。
いくつかの実装形態において、コントローラはシステムの一部であり、システムは上述した例の一部であってもよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、1つ以上の処理用プラットフォーム、および/または特定の処理用コンポーネント(ウエハ台座やガス流量システムなど)を含む半導体処理装置を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、処理後におけるシステムの動作を制御するための電子機器と一体化されてもよい。電子機器は「コントローラ」と呼ぶこともでき、1つ以上のシステムの様々なコンポーネントまたはサブ部品を制御してもよい。コントローラは、処理要件および/またはシステムの種類に応じて、本明細書に開示したいずれのプロセスも制御するようにプログラムされてもよい。これらのプロセスには、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対するウエハの搬入出、ならびに、特定のシステムと接続または連携されたその他の搬送ツールおよび/またはロードロックに対するウエハの搬入出が含まれる。
広義には、コントローラは、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよく、命令の受信、命令の送出、動作の制御、洗浄動作の有効化、エンドポイント測定の有効化などを行う。集積回路は、プログラム命令を記憶するファームウェアとしてのチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または1つ以上のマイクロプロセッサ、もしくはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個別の設定(またはプログラムファイル)としてコントローラに通信される命令であってもよく、半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して、特定のプロセスを実施するための動作パラメータを定義する。いくつかの実装形態において、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハダイの製造において1つ以上の処理工程を達成するためにプロセスエンジニアによって定義されるレシピの一部であってもよい。
いくつかの実装形態において、コントローラは、コンピュータの一部であってもよいし、コンピュータに結合されていてもよい。ここで、コンピュータは、システムと一体化しているか、システムに結合されているか、その他の形でシステムとネットワーク接続されているか、これらを組み合わせた形態をとる。例えば、コントローラは、「クラウド」上に存在してもよいし、工場ホストコンピュータシステムのすべてまたは一部に存在してもよい。これにより、ウエハ処理のリモートアクセスが可能になる。コンピュータは、システムへのリモートアクセスを有効化して、製造工程の進捗状況の監視、過去の製造工程履歴の調査、または複数の製造工程から傾向もしくは性能指標の調査を行うことができ、現在の処理のパラメータを変更したり、現在の処理に続く処理工程を設定したり、新たなプロセスを開始したりできる。いくつかの例において、リモートコンピュータ(例えば、サーバ)からシステムに対して、ネットワークを介してプロセスレシピを提供できる。ここで、ネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定の入力やプログラミングを可能にするユーザインタフェースを含んでもよい。これらのパラメータおよび/または設定はその後、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データとして命令を受信する。このデータは、1つ以上の動作において実行される各処理工程のパラメータを指定する。なお、これらのパラメータは、実行するプロセスの種類、およびコントローラが連携または制御するように構成されているツールの種類に対して固有のパラメータであってもよいことを理解されたい。したがって、上述したように、コントローラは、1つ以上の個別のコントローラを備えることなどによって分散されてもよい。これらの個別のコントローラはネットワーク化され、本明細書に記載のプロセスおよび制御といった共通の目的に向けて動作する。このような目的のための分散コントローラの一例としては、(例えばプラットフォームレベルで、またはリモートコンピュータの一部として)遠隔設置された1つ以上の集積回路と通信するチャンバに搭載された1つ以上の集積回路が挙げられる。これらの集積回路は協働してチャンバにおけるプロセスを制御する。
システムの非限定的な例としては、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD:Physical Vapor Deposition)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE:Atomic Layer Etch)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウエハの製造および/または生産に関連してもよいもしくは使用可能なその他のあらゆる半導体処理システムが挙げられる。
上述した通り、ツールによって実行される1つ以上のプロセス工程に応じて、コントローラは、他のツール回路またはツールモジュール、他のツールコンポーネント、クラスタツール、他のツールインタフェース、隣接するツール、付近のツール、工場内の各所に設置されたツール、メインコンピュータ、別のコントローラ、または半導体生産工場内のツール位置および/もしくはロードポートに対してウエハコンテナの受け渡しを行う材料輸送で使用されるツールのうち、1つ以上と通信してもよい。
図12の実装形態に戻り、いくつかの実装形態において、システムコントローラ1250は、マルチステーション処理ツール1200のすべての動作を制御する。システムコントローラ1250は、システム制御ソフトウェアを実行する。システム制御ソフトウェアは、大容量記憶装置に記憶され、メモリ装置にロードされ、プロセッサ上で実行される。あるいは、制御ロジックが、コントローラ1250にハードコーディングされてもよい。このために、特定用途向け集積回路やプログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ(FPGA))などを用いてもよい。以下の説明において、「ソフトウェア」または「コード」が用いられる場合、いずれも、機能的に同等のハードコーディングされたロジックで代用されてもよい。システム制御ソフトウェア1258は、タイミング、ガスの混合、チャンバおよび/またはステーション圧力、チャンバおよび/またはステーション温度、ウエハ温度、目標電力レベル、RF電力レベル、RF曝露時間、基板台座、チャックおよび/またはサセプタ位置、ならびにマルチステーション処理ツール1200によって実行される特定のプロセスの他のパラメータを制御するための命令を含んでもよい。システム制御ソフトウェアは、任意の適切な態様で構成されてもよい。例えば、各種のプロセスツールによるプロセスを実行するために必要なプロセスツールコンポーネントの動作を制御するために、各種のプロセスツールコンポーネントサブルーチンまたは制御オブジェクトが記述されてもよい。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコーディングされてもよい。
いくつかの実装形態において、システム制御ソフトウェアは、上記の各種パラメータを制御するための入力/出力制御(IOC)シーケンス命令を含んでもよい。例えば、熱ALDサイクルの各フェーズまたはPEALDサイクルの各フェーズは、システムコントローラ1250によって実行される1つ以上の命令を含んでもよい。ALDプロセスフェーズのプロセス条件を設定するための命令は、対応するALDレシピフェーズに含まれてもよい。いくつかの実装形態において、ALDレシピフェーズは、逐次的に配置されてもよい。この場合、あるALDプロセスフェーズに対するすべての命令が、そのプロセスフェーズと同時に実行される。
いくつかの実装形態において、システムコントローラ1250に関連付けられた大容量記憶装置および/またはメモリ装置に記憶される他のコンピュータソフトウェアおよび/またはプログラムを用いてもよい。このためのプログラムまたはプログラムの一部の例としては、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座上にロードするとともに、基板とマルチステーション処理ツール1200の他の部品との間の間隔を制御するために用いられるプロセスツールコンポーネント用のプログラムコードを含んでもよい。
プロセスガス制御プログラムは、ガス組成および流量を制御するためのコードを含んでもよく、さらに任意で、堆積前にプロセスステーション内の圧力を安定させるために、1つ以上のプロセスステーションにガスを流すためのコードを含んでもよい。いくつかの実装形態において、コントローラは、プラズマ処理チャンバ内で熱ALDによって第1のシリコン酸化物層を堆積するための命令と、同じプラズマ処理チャンバ内でPEALDによって第2のシリコン酸化物層を堆積するための命令とを含む。いくつかの実装形態において、コントローラは、投与フェーズにおいてシリコン含有前駆体を基板に供給するとともに、熱酸化フェーズにおいて基板に向かって水素と酸素の同時フローを行うことによって、シリコン酸化物層を堆積するための命令を含む。
圧力制御プログラムは、例えば、プロセスステーションの排気システムにおけるスロットルバルブや、プロセスステーションへのガス流などを調節することによってプロセスステーション内の圧力を制御するためのコードを含んでもよい。いくつかの実装形態において、コントローラは、シリコン酸化物層の熱ALDを実行する前に、プラズマ処理チャンバ内のチャンバ圧力を少なくとも約7Torr(約933.257Pa)にするための命令を含む。
ヒータ制御プログラムは、基板の加熱に用いられる加熱ユニットへの電流を制御するためのコードを含んでもよい。あるいは、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の供給を制御してもよい。特定の実装形態において、コントローラは、熱ALDサイクルにおける熱酸化フェーズ中に基板を昇温温度に加熱するための命令を含む。ここで、昇温温度は、約500℃~約650℃である。
プラズマ制御プログラムは、本明細書の実装形態に従って1つ以上のプロセスステーションにおけるRF電力レベルおよび曝露時間を設定するためのコードを含んでもよい。いくつかの実装形態において、コントローラは、水素と酸素との同時フローを行う場合、熱ALDサイクルにおける熱酸化フェーズ中に約10W~約200WのRF電力レベルでプラズマを点火するための命令を含む。
いくつかの実装形態において、システムコントローラ1250に関連付けられたユーザインタフェースが設けられてもよい。ユーザインタフェースは、表示画面、装置および/またはプロセス条件のグラフィックソフトウェア表示、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力装置を含んでもよい。
いくつかの実装形態において、システムコントローラ1250が調整するパラメータは、プロセス条件に関連していてもよい。非限定的な例としては、プロセスガスの組成および流量、温度、圧力、プラズマ条件(RF電力レベルや曝露時間など)などが挙げられる。これらのパラメータは、レシピとしてユーザに提供されてもよい。レシピは、ユーザインタフェースを利用して入力されてもよい。
プロセスを監視するための信号は、各種のプロセスツールセンサから、システムコントローラ1250のアナログおよび/またはデジタル入力接続を介して供給されてもよい。プロセスを制御するための信号は、マルチステーション処理ツール1200のアナログおよびデジタル出力接続に載せて出力されてもよい。監視可能なプロセスツールセンサの非限定的な例としては、マスフローコントローラ、圧力センサ(マノメータなど)、熱電対などが挙げられる。これらのセンサからのデータとともに、適切にプログラムされたフィードバックおよび制御アルゴリズムを用いて、プロセス条件を維持してもよい。
システムコントローラ1250は、上記の堆積プロセスを実行するためのプログラム命令を提供してもよい。これらのプログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度、ガスフロー組成、流量などの種々のプロセスパラメータを制御してもよい。これらの命令は、本明細書に記載の種々の実装形態に従って、シリコン酸化物膜の熱ALDおよび熱CVDを操作するためのパラメータを制御してもよい。
システムコントローラ1250は、典型的には、1つ以上のメモリ装置と、本開示の実装形態に従った方法を装置に実行させるための命令を実行するように構成された1つ以上のプロセッサとを含む。本開示の実装形態に従ってプロセス工程を制御するための命令を含む非一時的な機械可読媒体を、システムコントローラに結合してもよい。
上述した種々のハードウェアおよび方法の実装形態を、例えば、半導体装置、ディスプレイ、LED、太陽電池パネルなどを製造もしくは生産するためのリソグラフィパターニングツールまたはプロセスと組み合わせて用いてもよい。(必ずしもそうではないが)典型的には、このようなツール/プロセスは、共通の製造施設において一緒に用いられるかまたは実行される。
[結び]
以上の説明において、提示される実施形態の完全な理解に供するため、数々の具体的詳細を示した。本開示の実施形態は、これらの具体的詳細の一部またはすべてを除いて実施されてもよい。他の例においては、本開示の実施形態が不必要に曖昧になることを避けるため、周知のプロセス工程については、詳細な説明を省いた。なお、本開示の実施形態を具体的な実施形態に関連付けて説明したが、本開示の実施形態の範囲を限定する意図ではないことが理解される。
明確な理解に資する目的で上記の実施形態をある程度詳細に説明してきたが、添付の特許請求の範囲内で、一部変更や変形を行ってもよいことは明らかである。なお、本実施形態のプロセス、システムおよび装置を実現する方法として多くの代替方法が存在する。したがって、本実施形態は、あくまでも例示であって本開示を限定しないと考えられるべきであり、実施形態は、本明細書に記載の詳細に限定されない。

Claims (29)

  1. シリコン酸化物膜を堆積する方法であって、
    プラズマ処理チャンバ内に基板を設けることと、
    前記プラズマ処理チャンバ内で、熱原子層堆積(熱ALD)によって、基板の上に第1のシリコン酸化物層を堆積することと、
    前記プラズマ処理チャンバ内で、プラズマ励起原子層堆積(PEALD)によって、前記基板の上に第2のシリコン酸化物層を堆積することと、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    熱ALDによって前記第1のシリコン酸化物層を堆積することは、
    前記基板を昇温温度に加熱することと、
    前記基板をシリコン含有前駆体に曝露し、前記シリコン含有前駆体を前記基板の表面に吸着させることと、
    前記基板を前記昇温温度に加熱している間に前記基板を酸素含有反応物に曝露し、前記酸素含有反応物と前記シリコン含有前駆体との反応を促進して、前記第1のシリコン酸化物層を形成することと、を含む、
    方法。
  3. 請求項2に記載の方法であって、
    前記昇温温度は、約500℃~約750℃である、
    方法。
  4. 請求項2に記載の方法であって、
    前記酸素含有反応物は、酸素(O2)、オゾン(O3)、過酸化水素(H2O2)、水(H2O)、またはこれらの組み合わせを含む、
    方法。
  5. 請求項2に記載の方法であって、
    前記シリコン含有前駆体は、アミノシランを含む、
    方法。
  6. 請求項1に記載の方法であって、
    前記プラズマ処理チャンバのチャンバ圧力は、約7Torr(約933.257Pa)以上である、
    方法。
  7. 請求項1に記載の方法であって、
    熱ALDによって前記第1のシリコン酸化物層を堆積することは、
    前記基板を昇温温度に加熱することと、
    前記基板をシリコン含有前駆体に曝露し、前記シリコン含有前駆体を前記基板の表面に吸着させることと、
    前記基板を前記昇温温度に加熱している間に水素(H2)および酸素(O2)を前記プラズマ処理チャンバ内の前記基板に向かって流すことと、を含み、前記水素と前記酸素とが前記プラズマ処理チャンバ内で反応し、前記第1のシリコン酸化物層が前記基板の上に形成される、
    方法。
  8. 請求項1に記載の方法であって、
    PEALDによって前記第2のシリコン酸化物層を堆積することは、
    前記基板を第2のシリコン含有前駆体に曝露し、前記第2のシリコン含有前駆体を前記基板の表面に吸着させることと、
    前記基板を第2の酸素含有反応物から生成されるプラズマに曝露することと、を含み、前記プラズマが、前記第2の酸素含有反応物の反応種と前記第2のシリコン含有前駆体との反応を促進することにより、前記第2のシリコン酸化物層を形成する、
    方法。
  9. 請求項8に記載の方法であって、
    前記基板を窒素含有反応物から生成されるプラズマに曝露することをさらに含み、
    前記プラズマが、前記窒素含有反応物の反応種と少なくとも前記第2のシリコン酸化物層との反応を促進することにより、少なくとも前記第2のシリコン酸化物層がシリコン酸窒化物層に変換される、
    方法。
  10. 請求項1~9のいずれか1項に記載の方法であって、
    前記プラズマ処理チャンバ内で、熱ALDまたはPEALDによってシリコン窒化物層を前記第1のシリコン酸化物層および前記第2のシリコン酸化物層の上に堆積することをさらに含み、
    前記第1のシリコン酸化物層、前記第2のシリコン酸化物層、および前記シリコン窒化物層は、全体としてシリコン酸窒化物膜を形成する、
    方法。
  11. シリコン酸化物膜を堆積する方法であって、
    基板を昇温温度に加熱することと、
    プラズマ処理チャンバ内で前記基板をシリコン含有前駆体に曝露し、前記シリコン含有前駆体を前記基板の表面に吸着させることと、
    水素(H2)と酸素含有反応物とを前記プラズマ処理チャンバ内の前記基板に向かって流すことと、を含み、前記水素と前記酸素含有反応物とが前記プラズマ処理チャンバ内で反応し、シリコン酸化物膜の層が前記基板の上に形成される、
    方法。
  12. 請求項11に記載の方法であって、
    前記水素と前記酸素含有反応物とは、前記プラズマ処理チャンバ内でインサイチュで互いに反応して発熱反応を行い、前記シリコン酸化物膜の層の形成を促進する、
    方法。
  13. 請求項11に記載の方法であって、
    前記昇温温度は、約500℃~約650℃である、
    方法。
  14. 請求項11に記載の方法であって、
    前記プラズマ処理チャンバのチャンバ圧力は、約7Torr(約933.257Pa)以上である、
    方法。
  15. 請求項11に記載の方法であって、
    前記酸素含有反応物は、酸素(O2)またはオゾン(O3)を含む、
    方法。
  16. 請求項11に記載の方法であって、
    前記プラズマ処理チャンバにプラズマ電力を印加して、前記プラズマ処理チャンバ内で前記水素と前記酸素含有反応物とから生成されるプラズマを点火することをさらに含む、
    方法。
  17. 請求項16に記載の方法であって、
    前記プラズマ処理チャンバに印加される前記プラズマ電力は、約10W~約200Wである、
    方法。
  18. 請求項11~17のいずれか1項に記載の方法であって、
    前記水素と前記酸素含有反応物とを流すことは、
    前記酸素含有反応物を連続的に前記プラズマ処理チャンバ内に流すことと、
    前記水素を一定の間隔でパルス状に前記プラズマ処理チャンバ内に流すことと、を含む、
    方法。
  19. 請求項11~17のいずれか1項に記載の方法であって、
    (i)前記基板を前記シリコン含有前駆体に曝露することと、(ii)前記水素と前記酸素含有反応物とを流すこととは、熱原子層堆積(熱ALD)プロセスにおいて周期的に実行される、
    方法。
  20. 請求項11~17のいずれか1項に記載の方法であって、
    前記基板を前記シリコン含有前駆体に曝露した後であって前記水素と前記酸素含有反応物とを流す前に、前記プラズマ処理チャンバをパージすることと、
    前記水素と前記酸素含有反応物とを流した後に、前記プラズマ処理チャンバをパージすることと、をさらに含む、
    方法。
  21. 請求項11~17のいずれか1項に記載の方法であって、
    (i)前記基板を前記シリコン含有前駆体に曝露することと、(ii)前記水素と前記酸素含有反応物とを流すこととは、熱化学気相堆積(熱CVD)プロセスにおいて連続的に実行される、
    方法。
  22. 請求項11~17のいずれか1項に記載の方法であって、
    前記プラズマ処理チャンバ内で、PEALDによって、前記シリコン酸化物膜の1つ以上の追加の層を前記基板の上に堆積することをさらに含む、
    方法。
  23. 請求項11~17のいずれか1項に記載の方法であって、
    前記プラズマ処理チャンバ内で、熱ALDまたはPEALDによって、シリコン窒化物膜の1つ以上の層を前記シリコン酸化物膜の層の上に堆積して、シリコン酸窒化物膜を形成することをさらに含む、
    方法。
  24. 請求項11~17のいずれか1項に記載の方法であって、
    前記基板を窒素含有反応物のプラズマに曝露して、前記シリコン酸化物膜の層をシリコン酸窒化物膜に変換することをさらに含む、
    方法。
  25. 請求項11~17のいずれか1項に記載の方法であって、
    前記水素と前記酸素含有反応物とを流すことは、
    遠隔プラズマ源にて前記酸素含有反応物から酸素ラジカルを生成することと、
    前記酸素ラジカルを前記プラズマ処理チャンバ内に導入することと、
    前記水素を前記プラズマ処理チャンバ内に流すことと、を含む、
    方法。
  26. シリコン酸化物膜を堆積するためのプラズマ装置であって、
    プラズマ処理チャンバと、
    前記プラズマ処理チャンバ内に設けられた、基板を支持するための基板支持台であって、昇温温度に加熱されるように構成された基板支持台と、
    前駆体および反応物を前記プラズマ処理チャンバ内に供給するために前記プラズマ処理チャンバに流体結合されたシャワーヘッドと、
    前記プラズマ処理チャンバ内のプラズマに給電するように構成されたRF電源と、
    命令を備えて構成されたコントローラであって、前記命令が、
    前記基板を昇温温度に加熱する工程と、
    前記プラズマ処理チャンバ内で前記基板をシリコン含有前駆体に曝露し、前記シリコン含有前駆体を前記基板の表面に吸着させる工程と、
    水素(H2)と酸素含有反応物とを前記プラズマ処理チャンバ内の前記基板に向かって流す工程であって、前記水素と前記酸素含有反応物とが前記プラズマ処理チャンバ内で反応し、シリコン酸化物膜の層が前記基板の上に形成される、工程とを実行するための命令である、コントローラと、
    を含む、プラズマ装置。
  27. 請求項26に記載のプラズマ装置であって、
    前記コントローラは、
    前記プラズマ処理チャンバにプラズマ電力を印加して、前記プラズマ処理チャンバ内で前記水素と前記酸素含有反応物とから生成されるプラズマを点火する工程を実行するための命令をさらに備えて構成される、
    プラズマ装置。
  28. 請求項26に記載のプラズマ装置であって、
    前記コントローラは、
    前記プラズマ処理チャンバ内で、PEALDによって、前記シリコン酸化物膜の1つ以上の追加の層を前記基板の上に堆積する工程を実行するための命令をさらに備えて構成される、
    プラズマ装置。
  29. 請求項26に記載のプラズマ装置であって、
    前記水素と前記酸素含有反応物とを流す命令を備えて構成された前記コントローラは、
    前記酸素含有反応物を連続的に前記プラズマ処理チャンバ内に流す工程と、
    前記水素を一定の間隔でパルス状に前記プラズマ処理チャンバ内に流す工程と、を実行するための命令を備えて構成される、
    プラズマ装置。
JP2022507323A 2019-08-06 2020-07-24 シリコン含有膜の熱原子層堆積 Pending JP2022544104A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962883556P 2019-08-06 2019-08-06
US62/883,556 2019-08-06
PCT/US2020/043459 WO2021025874A1 (en) 2019-08-06 2020-07-24 Thermal atomic layer deposition of silicon-containing films

Publications (1)

Publication Number Publication Date
JP2022544104A true JP2022544104A (ja) 2022-10-17

Family

ID=74503958

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022507323A Pending JP2022544104A (ja) 2019-08-06 2020-07-24 シリコン含有膜の熱原子層堆積

Country Status (5)

Country Link
US (1) US20220275510A1 (ja)
JP (1) JP2022544104A (ja)
KR (1) KR20220042442A (ja)
CN (1) CN114207184A (ja)
WO (1) WO2021025874A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113363405B (zh) * 2021-06-29 2022-06-03 昆山梦显电子科技有限公司 一种显示面板的制备方法、显示面板及显示装置
JP2024524553A (ja) * 2021-07-09 2024-07-05 ラム リサーチ コーポレーション ケイ素含有膜のプラズマ強化原子層堆積
TW202340510A (zh) * 2021-12-17 2023-10-16 美商蘭姆研究公司 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
TW202348830A (zh) * 2022-02-22 2023-12-16 美商蘭姆研究公司 熱膜沉積
CN115976492A (zh) * 2022-11-30 2023-04-18 拓荆科技股份有限公司 一种薄膜沉积方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8440571B2 (en) * 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
CN102479672B (zh) * 2010-11-22 2013-10-23 中芯国际集成电路制造(上海)有限公司 形成氮氧化硅层的方法
EP2694700B1 (en) * 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
TWI661076B (zh) * 2016-10-06 2019-06-01 南韓商圓益Ips股份有限公司 複合膜製造方法
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD

Also Published As

Publication number Publication date
CN114207184A (zh) 2022-03-18
KR20220042442A (ko) 2022-04-05
WO2021025874A1 (en) 2021-02-11
US20220275510A1 (en) 2022-09-01

Similar Documents

Publication Publication Date Title
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
JP6538300B2 (ja) 感受性基材上にフィルムを蒸着するための方法
JP2022544104A (ja) シリコン含有膜の熱原子層堆積
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
TWI595112B (zh) 次飽和之原子層沉積及保形膜沉積
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW202113142A (zh) 原子層沉積期間之膜特性的原位控制
US20230154754A1 (en) Loss prevention during atomic layer deposition
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
US20230220544A1 (en) In-feature wet etch rate ratio reduction
US20220384186A1 (en) Methods to enable seamless high quality gapfill