TW202348830A - 熱膜沉積 - Google Patents

熱膜沉積 Download PDF

Info

Publication number
TW202348830A
TW202348830A TW112106094A TW112106094A TW202348830A TW 202348830 A TW202348830 A TW 202348830A TW 112106094 A TW112106094 A TW 112106094A TW 112106094 A TW112106094 A TW 112106094A TW 202348830 A TW202348830 A TW 202348830A
Authority
TW
Taiwan
Prior art keywords
plasma
group
optionally substituted
deposition
substrate
Prior art date
Application number
TW112106094A
Other languages
English (en)
Inventor
傑生 亞歷山大 瓦內爾
達斯廷 查克里 奧斯汀
帕拉内斯 拉瑪莎卡蘭
史貴凡迪 巴頓 J 凡
珍妮佛 莉 派翠利亞
道格拉斯 華特 阿格紐
奧文尼斯 古普塔
劉培基
普爾基特 艾嘉沃
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202348830A publication Critical patent/TW202348830A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供方法和設備用於使用熱化學氣相沉積增強的原子層沉積而沉積超保形介電材料。用於沉積材料的方法和設備使用修改的原子層沉積,其結合:在用劑期間將諸如胺基矽烷的沉積前驅物熱解以形成一熱解層,用於緻密化的選用性惰性氣體電漿,以及含氧或含氮電漿來將熱解層轉化成含氧或含氮材料。

Description

熱膜沉積
本案係關於基板處理,更特別是關於熱膜沉積。
半導體製造製程涉及含矽材料的沉積,包括矽氧化物材料。使用某些技術在保持高產出率和保形性的同時將矽氧化物沉積到大特徵部中係具有挑戰性。
半導體處理涉及使用某些原子層沉積前驅物的薄膜沉積。在某些製程中,間隙填充應用可能是相關的。然而,一些間隙填充製程可能會導致接縫的形成,或者可能導致緻密化、彎曲、不良交聯、或通常較低品質的膜。
此處提供的先前技術章節是為了一般性地呈現本揭露內容的背景。目前列名的發明人的作品,就此先前技術章節中描述的程度,以及在申請時可能不適格為先前技術的說明的態樣,既不明示也不隱含地承認為對抗本揭露內容的先前技術。
一個實施態樣涉及一種處理基板的方法,該方法包含:(a) 將一沉積前驅物導入至容納基板的一製程腔室;(b) 將電漿導入該製程腔室,該電漿係藉由點燃一反應物而產生,以在該製程腔室中形成一反應物電漿氛圍;及(c) 當該反應物電漿氛圍係在該製程腔室之中的同時,將該沉積前驅物導入至該製程腔室以在該基板上形成至少部份膜。
在各種實施例中,該電漿係藉由將該反應物導入至該製程腔室並原位點燃該反應物而加以產生。
在各種實施例中,該方法更包含(d)當將該沉積前驅物導入至該製程腔室之時,將該反應物導入至該製程腔室。
在各種實施例中,該方法更包含循環地重複(a)及(b)。
另一個實施態樣涉及處理基板的方法,該方法包含:(a) 將一沉積前驅物導入至容納基板的一製程腔室;(b) 將電漿導入該製程腔室,該電漿係藉由點燃一反應物而產生,以在該製程腔室中形成一反應物電漿氛圍;及(c) 在該沉積前驅物向該製程腔室的導入期間,將該反應物導入至該製程腔室以在該基板上形成至少部份膜。
在各種實施例中,該沉積前驅物係在(a)和(b)其中至少一者之中加以熱導入。
在各種實施例中,該方法更包含在(b)之後且在(c)之前部分地驅淨該製程腔室。
在各種實施例中,該方法更包含在(a)之後且在(b)之前驅淨該製程腔室。
在各種實施例中,該電漿係遠程地加以產生。
在各種實施例中,該電漿係原位地產生。
另一個實施態樣涉及處理基板的方法,該方法包含:(a) 將一沉積前驅物導入至容納基板的一製程腔室;(b) 選用性驅淨該製程腔室;(c)將從點燃一反應物所產生的電漿導入以形成一反應物電漿氛圍以及在該基板上形成部分膜;(d) 選用性部分地驅淨該製程腔室;(e) 在該製程腔室中維持該反應物電漿氛圍的同時將該沉積前驅物導入至該製程腔室,以在該基板上形成額外的膜;及(f) 選用性驅淨該製程腔室。
另一個實施態樣涉及處理基板的方法,該方法包含:(a) 以第一流率將一反應物導入至容納基板的一製程腔室;(b)藉由點燃該反應物而產生電漿;及(c) 改變該反應物的流率且將一沉積前驅物導入該製程腔室,以使該反應物與該沉積前驅物反應以在該基板的表面上形成一膜。
另一個實施態樣涉及處理基板的方法,該方法包含:(a) 將一反應物導入至容納基板的一製程腔室;(b) 藉由點燃該反應物而產生電漿;及(c) 在將該反應物持續導入的同時,將一沉積前驅物導入該製程腔室,以使該沉積前驅物與該反應物反應以在該基板的表面上形成一膜。
另一個實施態樣涉及處理基板的方法,該方法包含:(a) 將一反應物導入至容納基板的一製程腔室;(b) 藉由點燃該反應物而產生電漿;(c)停止該電漿的產生;及(d) 在停止該電漿的產生之後,將該反應物與一沉積前驅物導入該製程腔室,以使該沉積前驅物與該反應物反應以在該基板的表面上形成一膜。
在各種實施例中,該沉積前驅物係含矽前驅物。舉例來說,在一些實施例中,該含矽前驅物係胺基矽烷。
在各種實施例中,該製程腔室包含固持該基板的台座,且該台座係加熱至至少約500℃的溫度。
在各種實施例中,該沉積前驅物係在不點燃電漿的情況下在至少一操作中加以導入。
在各種實施例中,該反應物係氧化劑。
一種實施態樣涉及一種處理基板的方法,該方法包含:熱解在一基板的一表面上的一沉積前驅物,以形成一熱解膜;及將該熱解膜暴露於第一電漿,以形成一沉積膜。在各種實施例中,執行該熱解步驟係藉由加熱該基板至足以致使該沉積前驅物的熱解之一溫度;及將該基板的該表面暴露於該沉積前驅物。
在各種實施例中,該熱解步驟及將該熱解膜暴露的步驟係在具有約10托至約60托的腔室壓力的一製程腔室中加以執行。
在各種實施例中,將該熱解膜暴露於電漿的步驟包含將該熱解膜暴露於惰性氣體電漿以及接著將該熱解膜暴露於含氧或含氮電漿。
在各種實施例中,該方法亦包含重複進行熱解該沉積前驅物的步驟以及暴露該熱解膜於電漿的步驟。
另一實施態樣涉及一種處理基板的方法,該方法包含:將固持一基板的一可加熱台座的溫度設定至一第一溫度;在無電漿環境中,在該台座係加熱至該第一溫度的同時,將該基板暴露於具有低於該基板的溫度的一熱解溫度的一沉積前驅物;停止該沉積前驅物的暴露;及在停止該沉積前驅物的暴露之後,將該基板暴露於第一電漿,以形成一沉積膜。
在各種實施例中,該沉積前驅物係二(異丙基胺基)矽烷。
在各種實施例中,該沉積前驅物係雙(叔丁基胺基)矽烷。
在各種實施例中,該方法也包含將熱解的膜暴露於第二電漿。
在各種實施例中,該第一電漿係藉由點燃一惰性氣體而加以產生,且該沉積膜係加以緻密化。在一些實施例中,該惰性氣體係氫、氦、氬、氮、及氙其中一者以上。
在各種實施例中,該第一電漿係藉由點燃含氧或含氮氣體而加以產生,且該沉積膜係氧化物或氮化物。在一些實施例中,該第二電漿係藉由點燃含氧或含氮氣體而產生且該沉積膜係氧化物或氮化物。
在各種實施例中,該含氧氣體係氧、一氧化二氮、二氧化碳、臭氧、及過氧化物其中一者以上。
在各種實施例中,該含氮氣體係氮氣及氨其中一者以上。
另一實施態樣涉及一種處理基板的設備,該設備包含:一個以上製程腔室,各個製程腔室包含一加熱的台座;進入該等製程腔室的一個以上氣體入口以及相關聯的流量控制硬體;一電漿產生器;及一控制器,具有至少一處理器及一記憶體,其中:該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係與該流量控制硬體至少操作性連接,及該記憶體儲存電腦可執行指令,用於控制該至少一處理器以至少控制該流量控制硬體俾以:導致該台座的加熱;導致將一沉積前驅物導入該一個以上製程腔室達一持續時間,足以在不點燃電漿的情況下造成受加熱時的該台座上的一基板上的該沉積前驅物的熱解;導致惰性氣體電漿的產生;及導致使用含氧或含氮氣體之電漿的產生。
在各種實施例中,該等指令更導致該台座加熱至至少400℃的溫度。
在各種實施例中,該等指令更導致以時間分離的脈衝重複進行該沉積前驅物的導入以及使用含氧或含氮氣體之電漿的產生。
在各種實施例中,該等指令更導致重複進行該沉積前驅物的導入以及惰性氣體電漿的產生。
在各種實施例中,該電漿產生器在該一個以上製程腔室其中一者之內產生電漿。
下面參考圖式進一步描述這些和其他實施態樣。
在下面的說明中,闡述了許多具體細節以提供對所呈現的實施例的透徹理解。可以在沒有這些具體細節其中一些或全部的情況下實現所揭露的實施例。在其他情況下,沒有詳細描述週知的製程操作以避免不必要地模糊所揭露的實施例。儘管將結合具體實施例來描述所揭露的實施例,但是應當理解,其不旨在限制所揭露的實施例。
半導體製造製程通常涉及各種沉積製程。
半導體處理涉及將膜沉積進不同形貌的特徵部,這可能包括高縱橫比特徵部和水平特徵部。由於特徵部開口的小尺寸,一些沉積技術可能導致特徵部中接縫的形成。與沉積材料的其餘部分中的膜密度相比,接縫的形成可導致在那個區域中的膜材料的密度降低。
例如,有時,原子層沉積(ALD)用於將材料沉積進具有小特徵部開口的特徵部。
在一些實施方式中,半導體製造製程可以涉及將材料沉積進大間隙,這可以藉由化學氣相沉積(CVD)或電漿增強CVD(PECVD)來執行。大間隙可定義為大於約0.5 µm至約 1 µm寬並具有低縱橫比,例如小於約 15:1 或小於約 10:1 或小於約 5:1 或小於約 3:1。在一些應用中,例如3D-NAND結構的形成,縮放這種較低的縱橫比的情況可能會增加,並且在大間隙中進行無空洞沉積變得具有挑戰性。以這種方式沉積膜以避免形成空洞的一種方法是藉由執行原子層沉積(ALD)。
ALD 是一種使用順序自限性反應沉積材料薄層的技術。 ALD 製程使用表面介導的沉積反應以循環方式將膜逐層沉積。 例如,ALD循環可能包括以下操作:(i)前驅物的輸送/吸附,(ii) 前驅物從腔室的驅淨,(iii)第二反應物的輸送以及選用性點燃電漿,以及 (iv) 副產物從腔室的驅淨。在基板表面上形成一膜的在第二反應物與吸附的前驅物之間的反應會影響膜成分和性質,例如不均勻性、應力、濕式蝕刻速率、乾式蝕刻速率、電性質(例如擊穿電壓和漏電流)等等。在矽氧化物膜的ALD沉積中,此反應涉及使氧電漿與碳和氮反應以形成氣態物種;將矽氧化成矽氧化物;消除微量碳、氮、及氫雜質;及提高膜的黏合和緻密化。
與化學氣相沉積 (CVD) 技術不同,ALD製程使用表面介導的沉積反應將膜逐層沉積。在ALD製程的一個示例中,包括一群表面活性位點的基板表面暴露於在提供給容納基板的腔室的一劑量之第一前驅物(例如含矽前驅物)的氣相分佈中。此第一前驅物的分子係吸附到基板表面上,包括化學吸附物種和/或第一前驅物的物理吸附分子。應當理解,當化合物如此處所述吸附到基板表面上時,吸附層可包括該化合物以及該化合物的衍生物。例如,含矽前驅物的吸附層可包括含矽前驅物以及含矽前驅物的衍生物。在第一前驅物劑量之後,腔室係接著加以排空以去除以氣相留存的第一前驅物的大部分或全部,俾使主要是或只有吸附的物種留下。在某些實施方式中,腔室可能沒有完全排空。例如,反應器可以加以排空,使得氣相的第一前驅物的分壓足夠低以減輕一反應。將第二反應物(例如含氧氣體)引入腔室,使得這些分子其中一些與吸附在表面上的第一前驅物發生反應。在某些製程中,第二前驅物立即與吸附的第一前驅物發生反應。在其他實施例中,第二反應物僅在暫時施加活化源之後發生反應。然後,腔室可以再次排空以去除未結合的第二反應物分子。如上所述,在一些實施例中,腔室可能未完全排空。額外的ALD循環可用於增加膜厚度。
在一些實施方式中,ALD方法包括電漿活化。如此處所述,此處描述的ALD方法和設備可以是保形膜沉積(CFD)方法,其一般性描述在西元2011年4月11日提申的發明名稱為” PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美國專利申請案第13/084,399號(現為美國專利第8,728,956號)以及西元2011年4月11日提申的發明名稱為“SILICON NITRIDE FILMS AND METHODS“的美國專利申請案第13/084,305號,其在此處藉由參照全文納入。
然而,雖然可以使用ALD或電漿增強ALD(PEALD),但整個製程可能比實際實施所需的要慢,並且由於低產出率可能是昂貴。這些製程也可能使用大量的化學品,並且可能不一定在工業上可行。雖然可以使用將熱CVD與射頻(RF)電漿緻密化相結合的一些技術,但這些製程可能不一定在更高的縱橫比下或在側壁輪廓中具有凹角性(reentrancy)的特徵部中為可實現的,且因此仍可能導致小空洞。可能期望執行一沉積製程,其不僅更便宜(由於沉積的膜之厚度,例如至少約1 μm的等級),而且還會造成很少或沒有空洞。
由於ALD最終會在於具有小特徵部開口的的特徵部中材料沉積期間保形地沉積,因此當膜在特徵部的側壁上生長時,兩側的側壁上之膜的暴露表面將在特徵部的中心會合,從而導致形成接縫,因為沒有額外的沉積前驅物或反應物可以填入兩個側壁生長之間的小空間中。雖然表面處理或其他沉積方法的技術可用於嘗試減輕接縫的形成,但隨著裝置縮小,填充小特徵部而不形成任何接縫變得越來越具有挑戰性。
此處提供方法和設備,使用熱CVD增強的ALD來沉積具有高沉積速率的超保形薄膜。某些揭露的實施例涉及在一PEALD循環之內在沒有電漿的情況下將氧化反應物和前驅物反應物的引入加以重疊。由於熱CVD的保形性和PEALD的超保形性,該製程能夠填充中等縱橫比和高縱橫比特徵部,並具有可調保形性,以滿足間隙填充要求並無空洞地填充結構。某些揭露的實施例可能適合於將膜沉積到大間隙中,例如3D-NAND階梯填充,其中所沉積的膜以厚膜(通常大於約1 μm或厚至約3-4 μm)填充中等縱橫比特徵部(約3:1至15:1或更高)。某些揭露的實施例解決了與使用PECVD或PEALD或單獨ALD相關聯的挑戰,因為PECVD可能導致空洞的形成,ALD可能太慢且昂貴來用於沉積如此厚的氧化物膜,並且PEALD在慢的產生率及高化學品使用率的情況下係昂貴的。
某些揭露的實施例涉及熱CVD然後使用電漿進行緻密化。 熱CVD之後的電漿緻密化係進一步描述在西元2021年7月21日提申的PCT申請案PCT/US21/42562中,發明名稱為“CONFORMAL THERMAL CVD WITH CONTROLLED FILM PROPERTIES AND HIGH DEPOSITION RATE”,該申請案全文通過參照併入。
某些揭露的實施例可用於將材料沉積在具有較高縱橫比的特徵部中或在側壁輪廓中具有凹角性的特徵部中而不形成空洞。
某些揭露的實施例涉及一種製程,在一PEALD循環內利用保形熱CVD來提高沉積速率並提高前驅物利用率,以降低製程的總體成本,同時形成無空洞的高品質間隙填充膜。超保形性和膜性質係可調的。在一PEALD循環期間,當執行電漿轉化操作時,在前驅物係隨後引入時氧化劑可能仍然存在,使得當重新引入沉積前驅物時發生類似CVD的反應。在高溫下(例如至少約500℃),這將產生熱CVD反應。結合PEALD循環的其餘部分,這允許由若干熱CVD部分所增強的PEALD製程。此製程適用於高縱橫比特徵部。它也可以應用於其他應用,其中高沉積速率、低成本的ALD類型的膜係加以使用而具有可控制的性質。它還可用於混合膜,例如與ALD、PECVD、具有電漿緻密化的熱CVD、及其他沉積技術結合。
某些公開的實施例可以與沉積–蝕刻–沉積製程中的蝕刻操作結合使用以填充小特徵部。
由於氧化劑與前驅物的重疊,使用熱CVD來提高PEALD製程的沉積速率同時保持超保形間隙填充的高階梯覆蓋率,與某些沉積技術相比是具有優勢的,因為高品質的膜可以以高沉積速率保形地沉積。這導致更高的產出率,同時仍然保持高品質膜的形成。
圖1A顯示製程流程圖,描述根據某些公開實施例可以執行的操作。圖1中的製程可以在至少約500℃、至少約550℃或至少約650℃的溫度下進行。可以理解,此處所用的基板溫度是指固持基板的台座所設定於的溫度,並且在某些實施例中,當提供給製程腔室於台座上時的基板,可以在處理該基板之前受到加熱到所欲的基板溫度。在一些實施例中,基板溫度還可以取決於容納基板於其中的製程腔室的壓力。如此處所述,基板溫度可在整個操作102-112中相同。
在操作102中,基板係提供到一製程腔室。在各種實施例中,製程腔室是單晶圓腔室。在一些實施例中,製程腔室是一多站式腔室中的一站。此處描述的製程條件適用於單晶圓腔室。
製程腔室可設定為約5 mTorr至約25托或約0.5托至約25托的腔室壓力。這種腔室壓力可以在如此處所述整個操作104-112A中使用。在一些實施例中,腔室壓力在不同的操作期間可以不同。腔室壓力還可以取決於針對此處描述的各種操作所選擇的化學組成。
此基板可以是任何合適的基板。此基板可以是矽晶圓,例如,200-mm晶圓、300-mm晶圓,包括具有一層或多層材料的晶圓,例如介電質、導電或半導體材料沉積在其上。下方層的非限制性實例包括介電層和導電層,例如,矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。在一些實施例中,基板包括矽氧化物和矽。在一些實施例中,基板包括部分製造的3D-NAND結構。
在一些實施例中,特徵部可具有縱橫比至少約1:1、至少約2:1、至少約4:1、至少約6:1、至少約10:1、或至少約20:1、或至少約50:1、或至少約100:1、或至少約150:1、或至少約200:1,或更高。 特徵部還可以具有靠近開口的尺寸,例如,開口直徑或線寬在約10 nm至500 nm之間,例如在約25 nm與約300 nm之間。所揭露的方法可以在含具有小於約150 nm的開口的特徵部的基板上進行。介層窗、溝槽或其他凹陷特徵部可稱為一未填充特徵部或一特徵部。根據各種實施例,特徵部輪廓可以逐漸變窄和/或在特徵部開口處包括一懸伸部。一凹入輪廓是從特徵部的底部、閉合端、或內部向特徵部開口變窄的輪廓。由於先前膜沉積(例如擴散阻障的沉積)中的非保形膜階梯覆蓋所導致的懸伸和/或圖案化期間的不對稱蝕刻動力學可能會產生凹入輪廓。在各種示例中,特徵部在該特徵部頂部處的開口中的寬度可能小於特徵部底部的寬度。一個或多個特徵部可能具有高縱橫比,其定義為具有大於約100:1或大於約150:1或大於約180:1的縱橫比。
在一些實施例中,基板可加以部分地製造用於形成記憶體裝置。 在一些實施例中,基板的暴露區域包括含矽表面,包括但不限於低k介電材料、矽氧化物、矽氮化物、矽氮氧化物、矽碳氧化物、矽碳氮化物、及矽碳化物。
在操作104中,引入沉積前驅物。 這可以稱為一「劑量」。在各種的實施例中,將沉積前驅物加以熱引入。某些公開的實施例可與多種沉積前驅物一起使用,包括但不限於含矽前驅物,例如胺基矽烷。在各種實施例中,沉積前驅物是含矽的前驅物。
在各種實施例中,含矽前驅物是矽烷。 可以使用的矽烷的非限制性實例包括但不限於取代和未取代的矽烷、鹵代矽烷、胺基矽烷、有機矽烷、烷基矽烷、胺基胺基矽烷、及烷基鹵代矽烷。含矽前驅物的其他實例係包括在本文其他地方,例如定義和前驅物章節。
引入胺基矽烷前驅物以提供形成膜的前驅物。在一些實施例中,胺基矽烷前驅物是二異丙基胺基矽烷(DIPAS)。
胺基矽烷包括與一矽原子鍵合的至少一氮原子,但也可能包含氫、氧、及碳。胺基矽烷的實例包括單、二、三、及四胺基矽烷(分別為H 3Si(NH 2) 4、H 2Si(NH 2) 2、HSi(NH 2) 3、及Si(NH 2) 4),以及取代的單、二、三和四胺基矽烷,例如叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽胺、雙(叔丁基胺基)矽烷(SiH 2(NHC(CH 3) 3) 2(BTBAS)、 叔丁基矽烷基胺基甲酸酯、SiH(CH 3)-(N(CH 3) 2) 2、SiHCl-(N(CH 3) 2) 2、(Si(CH 3) 2NH) 3、二(仲丁基胺基)矽烷(DSBAS)、二(異丙基胺基)矽烷(DIPAS)、雙(二乙胺基)矽烷(BDEAS)等等。胺基矽烷的另一個例子是三矽烷胺(N(SiH 3) 3)。
操作104以熱方式執行。在各種實施例中,操作104在無電漿環境中進行。在各種實施例中,操作104在不點燃電漿的情況下進行。在各種實施例中,操作104在不產生電漿的情況下進行。
操作104可以在時間上分離的脈衝中執行,與圖1中的其它操作分開。 在一些實施例中,操作104係執行達持續時間約0.1秒至約2秒、或約0.5秒至約2秒、或約0.1秒至約0.5秒、或約0.1秒至約0.3秒。在各種實施例中,對於一4站式製程腔室,使沉積前驅物以至少約500 sccm或至少約750 sccm或約900 sccm的流率流動。沉積前驅物可以與載氣一起流動,該載氣可以是惰性氣體。在各種實施例中,沉積前驅物可以是氬、氮、氦、氫、及其組合。
在操作106中,將製程腔室選用性地驅淨。 操作106涉及停止含矽前驅物的流動並引入惰性氣體或驅淨氣體的流動,以除去未吸附到基板表面上之過量的含矽前驅物分子或在製程腔室的處理區域中在基板上方呈氣相之含矽前驅物分子。
例示惰性或驅淨氣體包括但不限於氮氣和氬。對於單晶圓腔室,在操作106期間惰性或驅淨氣體的流率為約1000 sccm至約40000 sccm。惰性或驅淨氣體的引入可以執行達持續時間約0.1秒至約10秒或約0.1秒至約0.5秒。在操作106期間,腔室壓力可為約0.5托至約22托。在一些實施例中,較低的壓力可用於更有效地驅淨。例如,在一些實施例中,可以使用小於約0.1托或約0.1托的壓力。在一些實施例中,操作106期間的腔室壓力與操作104期間使用的腔室壓力相同。 在一示例中,將氮氣在約9.5托的腔室壓力下以約10000 sccm的流率引入約10秒。流率、持續時間、及腔室壓力可以取決於操作106中使用的前驅物。操作106在不點燃電漿的情況下進行。操作106在無電漿環境中進行。在各種實施例中,沉積前驅物的引入可導致沉積前驅物吸附或化學吸附到基板的表面上。
在操作108中,將基板暴露於由一反應物產生的電漿。 在一些實施例中,將反應物引入製程腔室,並在製程腔室中產生電漿。在電漿暴露期間,反應物氣體可以與一種或多種惰性氣體或一種或多種載氣一起流動。例示惰性氣體包括氫、氦、氬、及氙。
在各種實施例中,反應物是含氧氣體或氧化劑。含氧氣體可用於點燃電漿,形成氧化電漿。氧化劑可用於氧化吸附的或化學吸附的沉積前驅物以形成矽氧化物。例示氧化氣體包括氧、一氧化二氮、二氧化碳、一氧化碳、臭氧、及過氧化物。在一些實施例中,可以使用氧化氣體的混合物,例如氧、一氧化二氮、二氧化碳、一氧化碳、臭氧、及過氧化物其中一種或多種的混合物。在一些實施例中,氧化氣體的混合物還可以包括惰性氣體,例如氬。
操作108可以執行達持續時間約0.1秒至約3秒,或約0.1秒至約1秒,或約0.1秒至約0.6秒,或約0.1秒至約0.3秒。可能適用於4站式腔室的氧化劑的示例流率為約500 sccm至約30L,或約1L至約5L。
自反應物產生的電漿可以在遠端電漿產生器中加以產生,或者可以原位或在此腔室中產生。「原位」可指在相同腔室中執行。在各種實施例中,電漿是使用雙頻電漿產生的,其包括高頻(HF)射頻(RF)電漿和低頻(LF)RF電漿。在一些實施例中,僅使用HFRF電漿。在一些實施例中,僅使用LFRF電漿。HFRF可以在13.6 MHz的頻率下產生。針對4站式腔室,HFRF的示例電漿功率範圍包括約0 kW至約 6 kW。針對4站式腔室,LFRF的示例電漿功率範圍包括 約0 kW至約5 kW。在一些實施例中,使用較高的電漿功率可以在基板表面的頂部處或附近產生更多的類似濺射的效果。
當反應物氣體在電漿中受到點燃時,會產生電漿物種。在各種實施例中,產生的電漿物種在製程腔室中於基板表面上方一處理區域中形成反應物電漿氛圍。在遠端生成電漿的一些實施例中,電漿物種係引入製程腔室而到基板表面上方的處理區域。在原位生成電漿的一些實施例中,電漿物種在基板表面上方的處理區域中生成。在先前引入沉積前驅物並且已將其吸附或化學吸附到基板表面上的實施例中,吸附或化學吸附的沉積前驅物係與電漿物種反應,在基板表面上生成一膜的至少一部分。在一些實施例中,在基板表面上形成的該膜是矽氧化物。
在一些實施例中,在操作108之後,將電漿關閉。 在一些實施例中,反應物流量在操作108之後關閉。在一些實施例中,將反應物流量在操作108之後完全轉向以停止進入製程腔室的流動。在一些實施例中,反應物流量在操作108之後減少,以減少進入製程室的流量,但流量並未完全關閉。在一些實施例中,在操作108之後將反應物流減少並且關閉電漿。在一些實施例中,在操作108之後,反應物的一些殘餘量仍可流向製程腔室。在一些實施例中,流向製程腔室的反應物的殘餘量係熱流動的,或者沒有電漿,或者沒有點燃電漿,或者可以幾乎沒有甚至沒有電漿物種。
在各種實施例中,操作104和108可以構成一個ALD循環。在各種實施例中,操作104、106、108、及110可以構成一個ALD循環。在一些實施例中,操作104在操作108之前執行。在一些實施例中,操作108在操作104之前執行。在一些實施例中,操作104和108可以在多個操作中重複。在一些實施例中,操作104-110可以在多個操作中重複。 在一些實施例中,操作104和108可以在執行操作112A之前在多個操作中重複。在一些實施例中,操作104-110可以在執行操作112A之前在多個操作中重複。
在操作110中,製程腔室選用地加以部分驅淨。在各種實施例中,操作110是選用性的。在各種實施例中,操作110是在操作108之後執行的。 在某些公開的實施例中,製程腔室係加以部分驅淨。
部分驅淨是指:在執行操作110之後,在製程腔室中至少留下一些殘留反應物、反應物電漿氛圍、或來自操作108的電漿物種的製程條件下的驅淨。 部分驅淨可能導致殘留在處理區域中的一些物種,其與沉積前驅物(如果該前驅物之後在重複的循環中引入)發生反應。
可以調節以達到此效果的製程條件包括但不限於:減少驅淨時間;改變驅淨氣體流率;不排空腔室;改變腔室排空的持續時間;部分或完全去除驅淨操作;以及改變一種或多種驅淨氣體的分壓。在一些實施例中,不執行驅淨。在執行驅淨的情況下,示例驅淨時間包括但不限於:約0秒至約1秒;或約0.05秒至約1秒;或約0.05秒至約0.5秒。驅淨氣體流率可能取決於整體製程條件。示例驅淨氣體流率包括但不限於:約25 L至約80 L,或約25 L至約70 L,或約40 L至約70 L,或約50 L至約70 L。在一些實施例中,氧化劑可以連續流動;在這樣的實施例中,在驅淨氣體流動期間,氧化劑可以以約1 L至約100 L、或約1 L至約20 L、或約1 L至約5 L的流率加以流動。驅淨氣體的分壓可以取決於在處理方案中執行的操作,並且在某些實施例中,可以在驅淨操作期間變化。在一些實施例中,驅淨氣體的分壓係加以調制以實現在劑量期間的特定前驅物流量。在一些實施例中,在氧化劑在驅淨期間作為連續流動的一部分而流動的情況下,氧化劑的分壓可以是氣體流量的約5%至約10%。在一些實施例中,氧化劑的分壓為約2%至約5%,其中氧化劑流量在給劑之前加以停止以允許在劑量期間發生類似CVD的反應。
在操作112A中,將沉積前驅物加以熱引入,同時殘餘電漿保留在製程腔室中而不點燃電漿。在各種實施例中,這是指可以執行操作104的重複操作的條件。例如,由於操作104和108可以選用地重複,在重複操作中,操作104可以在製程條件下執行,使得來自在先前循環中的操作108的殘餘電漿保留在製程腔室中,但是電漿不會在重複操作104中獨立產生或點燃。
在各種實施例中,在重複的操作104中之沉積前驅物係加以熱引入。當引入沉積前驅物時,存在殘留電漿物種、殘留反應物氣體、及/或在製程腔室中從操作110中的部分驅淨所留存之殘留的反應物電漿氛圍,會導致熱CVD類似的反應,其可以協助在基板表面上形成額外材料,從而增加在圖1A中執行操作的一個或多個循環中所沉積的膜量。這還可能導致增加的產出率,同時藉由在操作104和108中使用循環的沉積循環仍保形地維持所沉積的膜。在各種實施例中,沉積速率至少為每循環約0.8 Å或每循環約0.8Å至約3Å。
圖1B顯示出了另一個實施例,其涉及與圖1A相似或相同的各種操作。操作102、104、106、108、及110可以與圖1A相同。在圖1B中,在操作112B中,反應物可以在重複的操作104期間連續流動。 例如,當操作104和108循環地重複時,操作104的後續重複操作可以涉及在操作104期間流動反應物。反應物可以與在操作108中流動的反應物相同,但不產生電漿。這導致當基板在操作104中暴露於沉積前驅物時於製程腔室中反應物的存在,從而引起熱CVD類似的反應,其可以協助在基板表面上形成額外的材料,從而增加在圖1B中執行操作的一個或多個循環中沉積的膜量。
圖1C顯示另一個實施例,其涉及與圖1A和1B相似或相同的各種操作。操作102、104、106、108和110可以與圖1A相同。在圖1C中,在操作112C中,修改操作108或110中其中至少一個期間的製程條件以調制在重複的操作104期間製程腔室中的電漿和/或反應物的量。在各種實施例中,製程條件係加以修改,使得當基板在重複的操作104中暴露於沉積前驅物時,從操作108和/或部分驅淨操作110產生的一些殘留反應物氣體、反應物電漿氛圍、或電漿物種仍留存在製程腔室中,從而引起熱CVD類似的反應。操作110可加以調制以減少驅淨時間,減少驅淨條件,甚至去除驅淨操作,以允許殘留反應物、反應物電漿氛圍、及/或電漿物種保留在腔室中。
可以理解,雖然圖1A-1C中的示例和相應的說明描述實施例其中:首先引入沉積前驅物,然後從反應物生成電漿以進行ALD類似的反應,隨後來自操作108或來自「轉化」的殘留電漿在基板暴露於沉積前驅物(或「劑量」)時留存,但是,在一些實施例中,情況可能正好相反 — 例如,可以首先產生電漿物種,但可以調制製程條件以確保殘留反應物氣體、殘留反應物電漿氛圍、或殘留電漿物種留存在腔室中,且隨後,當引入沉積前驅物或當基板暴露於沉積前驅物時,前驅物與殘餘反應物氣體、反應物電漿氛圍、及/或電漿物種反應,以同時形成CVD類似的反應和ALD類似的反應以將膜形成,並且此等操作可以在多個循環中重複。也可以使用這些實施例的組合和變化,例如,在一些實施例中,每個循環、每隔一個循環、或每隔n個循環,可能涉及在暴露於沉積前驅物時留下殘留反應物、殘留電漿氛圍、或殘留電漿物種;在一些實施例中,可以使用某些公開的實施例與傳統ALD和/或傳統CVD的組合。
在一示例中,一個製程可以包括多個沉積循環。在每個循環中,可以使用某些公開的實施例形成矽氧化物膜,使得沉積前驅物是含矽前驅物並且反應物是氧化劑氣體。氬可能是一例示惰性氣體,其也用作驅淨氣體。一沉積循環可能包括含矽前驅物暴露階段、第一驅淨階段、電漿暴露階段、及第二驅淨階段。在含矽前驅物暴露階段期間,氬流量係開啟,含矽前驅物流量係開啟,氧化劑流量係關閉,且電漿係關閉。這可以對應於圖1A的操作104。在第一驅淨階段期間,氬流量係開啟,含矽前驅物流量係關閉,氧化劑流量保持關閉,且電漿保持關閉。這可以對應於圖1A的操作106。在電漿暴露階段期間,氬流量係開啟,含矽前驅物流量保持關閉,氧化劑流量係開啟,且電漿係開啟。這可以對應於圖1A的操作108。在第二驅淨階段期間,將氬流量減少以降低驅淨效果(注意的是,可以使用其他技術,例如藉由縮短驅淨時間但保持相同的流率),含矽前驅物流量保持關閉,氧化劑流量減少,且電漿係關閉。這可以對應於圖1A的操作110。此沉積循環可以重複多次。例如,在第二沉積循環中,該循環可能涉及含矽前驅物暴露階段、另一個第一驅淨階段、電漿暴露階段、及另一個第二驅淨階段。在含矽前驅物暴露階段期間,氬流量係開啟,含矽前驅物流量係開啟,氧化劑流量係關閉,且電漿關閉。這可能對應於圖1A的重複的操作104,其中由於在先前循環中執行的驅淨是不完全的並且仍然有殘留氧化劑開啟,即使電漿係關閉,一些殘留氧化劑仍留在製程腔室中並與含矽前驅物反應,在重複的ALD循環期間產生熱CVD類似的反應。在第一驅淨階段期間,氬流量係開啟,含矽前驅物流量係關閉,氧化劑流量保持關閉,且電漿保持關閉。這可以對應於圖1A的操作106。在電漿暴露階段期間,氬流量係開啟,含矽前驅物流量保持關閉,氧化劑流量係開啟,且電漿係開啟。這可以對應於圖1A的操作108。在第二驅淨階段期間,氬流量係減少以降低驅淨效果(注意的是,可以使用其他技術,例如藉由縮短驅淨時間但保持相同的流率),含矽前驅物流量保持關閉,氧化劑流量減少,且電漿關閉。這可以對應於圖1A的操作110。可以進一步實施額外的循環以及此些循環的變體。
在另一個非限制性示例中,一個製程可以包括多個沉積循環,其中各個循環具有與上述示例中不同的流量。例如,可以使用某些公開的實施例形成矽氧化物膜,使得沉積前驅物是含矽前驅物並且反應物是氧化劑氣體。氬也可用作惰性氣體,其也可用作驅淨氣體。第一沉積循環可能涉及含矽前驅物暴露階段、第一驅淨階段、電漿暴露階段、及第二驅淨階段。在含矽前驅物暴露階段期間,氬流量係開啟,含矽前驅物流量開啟,氧化劑流量關閉,且電漿關閉。這可以對應於圖1B的操作104。在第一驅淨階段期間,氬流量係開啟,含矽前驅物流量關閉,氧化劑流量保持關閉,且電漿保持關閉。這可以對應於圖1B的操作106。在電漿暴露階段期間,氬流量係開啟,含矽前驅物流量保持關閉,氧化劑流量係開啟,且電漿係開啟。這可以對應於圖1B的操作108。在第二驅淨階段期間,氬流量係加以減少以降低驅淨效果(注意的是,可以使用其他技術,例如藉由縮短驅淨時間但保持相同的流率),含矽前驅物流量保持關閉,氧化劑流量減少,且電漿關閉。 這可以對應於圖1B的操作110。
沉積循環可以重複。第二沉積循環包括含矽前驅物暴露階段、第一驅淨階段、電漿暴露階段、及第二驅淨階段。在含矽前驅物暴露階段期間,與之前的沉積循環不同,氧化劑流量打開,且氬流量打開,含矽前驅物流量打開,且電漿關閉。這可以對應於圖1B的重複的操作104,其中由於氧化劑流量在第二沉積循環的含矽前驅物暴露階段中持續流動,氧化劑與含矽前驅物反應以在ALD循環期間形成熱CVD類似的反應。在第二沉積循環的第一驅淨階段期間,氬流量開啟,含矽前驅物流量關閉,氧化劑流量保持關閉,且電漿保持關閉。這可以對應於圖1B的操作106。在第二沉積循環的電漿暴露階段期間,氬流量打開,含矽前驅物流量保持關閉,氧化劑流量打開,且電漿打開。這可以對應於圖1B的操作108。在第二沉積循環的第二驅淨階段期間,氬流量加以減少以降低驅淨效果(注意的是,可以使用其他技術,例如藉由縮短驅淨時間但保持相同的流率),含矽前驅物流量保持關閉,氧化劑流量減少,且電漿關閉。這可以對應於圖1B的操作110。可以理解,在一些實施例中,在第一沉積循環中使用的驅淨階段可以具有相同的氬流量,但可能沒有氧化劑開啟。可以進一步實施額外循環以及此些循環的變體。
某些公開的實施例可能涉及調制RF功率、RF時間、前驅物流量、劑量時間、以及ALD沉積與CVD沉積的比例。示例HF RF功率包括但不限於:0 W至約6000 W,或約1000 W至約5000 W。示例LF RF功率包括但不限於0 W至約4000 W,或約1000 W至約3000 W。示例RF時間包括但不限於:0.1秒至約1秒,或約0.1秒至約0.5秒,或約0.4秒至約0.6秒。在一些實施例中,約0.4秒至約0.6秒的RF時間可以提供改善膜品質和改善抗裂解性的額外益處。示例前驅物流率包括但不限於:100 sccm 至約1500 sccm,或約150 sccm至 約1500 sccm,或約500 sccm至約 1000 sccm,或約150 sccm至 約500 sccm,或約200 sccm至約 500 sccm。流率也可能取決於所使用的前驅物。 示例劑量時間包括但不限於:約0.05秒至約0.5秒,或約0.1秒至約0.5秒,或約0.1秒至約0.2秒,或約0.05秒至約0.3秒。在一些實施例中,可以取決於製程腔室中殘留反應物的量來調制前驅物流量以改變發生的CVD類似反應的量。同樣地,也可以取決於在轉化期間使用的前驅物流量的量和其他製程條件來調制反應物流量以改變發生的CVD類似反應的量。在一些實施例中,可以調制劑量時間以改變CVD類似反應的持續時間。在一些實施例中,ALD與CVD沉積的比例可以藉由改變循環次數、在一循環中劑量和轉化的持續時間、循環中使用的驅淨的持續時間、相對流率、及其他製程條件而加以調制。
某些公開的實施例形成具有多種膜性質的膜。例如,某些公開的實施例形成具有優越均勻性的膜。某些公開的實施例形成具有特定應力的膜。在一些實施例中,應力可以是約-400 MPa至約-250 MPa,或約-350 MPa至約-300 MPa。在一些實施例中,使用某些公開的實施例所沉積的膜可具有改進的收縮率。例如,以30分鐘在700℃所測量的沉積膜的收縮率可以小於約0.5%,或約0.1%至約0.5%。在不同的實施例中,以30分鐘在700℃所測量的應力偏移可以小於約15 MPa。
在一些實施例中,某些實施方式可以與沉積–蝕刻–沉積製程整合,其中某些公開的實施例用於在沉積–蝕刻–沉積製程中的「沉積」操作。在沉積–蝕刻–沉積製程中,一些材料可以最初地加以沉積,沉積材料可以部分地蝕刻以開通一特徵部或在沉積的材料中產生更寬的開口,或者可以暴露於鈍化氣體以減少或減緩後續材料的成核,接著進行進一步沉積。某些公開的實施例可用於「沉積–蝕刻–沉積」製程中的沉積製程其中至少一個或二者。在某些情況下,沉積–蝕刻–沉積製程在多個循環中執行。某些公開的實施例可用於在任何一個或多個沉積操作中的任意一個或多個循環中的沉積。
某些公開的實施例適合於與涉及在沉積期間在基板的一個或多個區域上鈍化或偏向地(preferentially)抑制沉積的技術加以整合,例如在用於填充高縱橫比特徵部的沉積–蝕刻–沉積應用中。某些公開的實施例在表現出弓翹的晶圓中維持臨界尺寸方面可能具有增加的公差。某些公開的實施例可用於低縱橫比特徵部的自下而上填充。
此處提供方法和設備,用於在基板表面上熱解一沉積前驅物以形成至少部分熱解的層及/或熱解的材料並將熱解的材料暴露於一種以上電漿以緻密化、氧化及/或轉化經熱解材料為所欲成分。熱解的沉積前驅物經歷熱解。熱解涉及材料在特定高溫下的熱分解。此處使用的術語「熱解的膜」是指包括藉由熱解所形成的材料的一膜,例如藉由熱解一沉積前驅物。熱解的材料在沉積時不一定受到完全氧化,並且在一些實施例中,在沉積時可能沒有氧化,或者在沉積時可能根本不受到氧化。在一些實施例中,熱解可以在無氧環境中進行。
某些公開的實施例可以以循環方式進行,使得熱解和暴露於一個或多個電漿是在時間分離的脈衝中進行的。某些公開的實施例涉及修改的原子層沉積製程,使得熱解在一劑量操作期間進行以每個循環形成多於一個單層體。 熱解在類似於化學氣相沉積(CVD)的沉積反應中進行,或者可以熱式地熱解到基板表面上,但可以整合進具有循環的沉積製程中,例如在ALD中。當熱解的膜暴露於某些電漿時,膜的體積增加,並且可以填充特徵部而不會引起接縫的形成。在一些實施例中,熱解的膜隨後受到氧化。氧化可能導致膜膨脹,這可能是由於氧的摻入。使用某些公開的實施例所沉積的膜也可以是保形的。在某些實施例中,在整個基板表面的沉積厚度,包括高縱橫比負型特徵部內的表面或水平特徵部內的表面,在特徵部的側壁與底部之間是一致的。
圖1D顯示製程流程圖,描述根據某些公開實施例可以執行的操作。在操作162中,將基板提供給製程腔室。某些公開的實施例適用於在多種基底表面上形成膜,包括但不限於含矽表面、非金屬表面、多晶矽表面、介電表面、氧化物表面、氮化物表面、矽氧化物表面、矽氮化物表面、及矽晶圓本身。
在不同的實施例中,製程腔室是單晶圓腔室。在一些實施例中,製程腔室是多站式腔室中的一站。此處描述的製程條件適用於單晶圓腔室。
製程腔室可設定至腔室壓力約5 mTorr至約70托、或約10托至約60托、或約10托至約40托、或約30托。如本文所述,這種腔室壓力可以在整個操作164-172中使用。 在一些實施例中,腔室壓力在不同的操作期間可以不同。腔室壓力還可以取決於針對此處描述的各種操作所選擇的化學品。
基板可以加熱到足以熱解在操作164中使用的沉積前驅物的基板溫度。例如,在一些實施例中,基板溫度可至少為約400 ℃。在各種實施例中,基板可加熱到任何合適的溫度,例如約25℃至約800℃,或約500℃至約700℃,或至少約400℃。例如,在一些實施例中,沉積前驅物可以是二異丙基胺基矽烷,其可以在大於約475℃的溫度下熱解。在各種實施例中,各種操作可以實現不同的基板溫度。可以理解,此處所用的基板溫度是指固持基板的台座所設定於的溫度,並且在某些實施例中,當基板提供給的製程腔室而在台座上時,可以在處理基板之前加熱基板到所欲的基板溫度。如此處所述,整個操作162-168的基板溫度可以相同。
所述基板可以是任何合適的基板。基板可以是矽晶圓,例如,200-mm晶圓、300-mm晶圓,包括具有一層或多層材料的晶圓,例如沉積在其上的介電質、導電或半導體材料。下方層的非限制性實例包括介電層和導電層,例如,矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、及金屬層。在一些實施例中,基板包括矽氧化物和矽。在一些實施例中,基板包括部分製造的3D-NAND結構。
在一些實施例中,特徵部可具有縱橫比至少約1:1、至少約2:1、至少約4:1、至少約6:1、至少約10:1、或至少約20:1、或至少約50:1、或至少約100:1、或至少約150:1、或至少約200:1,或更高。 特徵部還可以具有靠近開口的尺寸,例如,開口直徑或線寬在約10 nm至500 nm之間,例如在約25 nm與約300 nm之間。所揭露的方法可以在具有小於約150 nm開口的特徵部的基板上進行。介層窗、溝槽或其他凹陷特徵部可稱為一未填充特徵部或一特徵部。根據各種實施例,特徵部輪廓可以逐漸變窄和/或在特徵部開口處包括一懸伸部。一凹入輪廓是從特徵部的底部、閉合端、或內部向特徵部開口變窄的輪廓。由於先前膜沉積(例如擴散阻障的沉積)中的非保形膜階梯覆蓋所導致的懸伸和/或圖案化期間的不對稱蝕刻動力學可能會產生凹入輪廓。在各種示例中,特徵部在該特徵部頂部處的開口中的寬度可能小於特徵部底部的寬度。一個或多個特徵部可能具有高縱橫比,其定義為具有大於約100:1或大於約150:1或大於約180:1的縱橫比。
在操作164中,沉積前驅物係加熱地加以熱解。熱解係加熱地加以執行。熱解在無電漿環境中進行。基板在台座上加熱,該台座係設定到至少為要使用的前驅物的熱解溫度之溫度。熱解前驅物可以與一種或多種惰性氣體(例如但不限於氦和/或氬)一起流向基板;加熱的基板允許熱解前驅物熱解到基板表面上。熱解可以在低腔室壓力下進行,例如約20T或約60T以及低於大氣壓。
可用於熱解的前驅物包括含矽前驅物,例如矽烷。矽烷包括但不限於鹵代矽烷、胺基矽烷、及有機矽烷。在某些實施例中,矽中心具有一個或多個可熱裂解鍵(諸如Si-H鍵)的含矽前驅物可能有利於允許在較低溫度及減少的用於裂解取代基並在基板表面上留下富Si膜之能量下進行熱解。
某些公開的實施例可與多種沉積前驅物一起使用,包括但不限於含矽前驅物,其非限制性示例列在定義和前驅物章節中。在一些實施例中,含矽前驅物是胺基矽烷。在一些實施例中,胺基矽烷前驅物是二異丙基胺基矽烷(DIPAS)。
對於單晶圓腔室,前驅物可以以約90 sccm或約850 sccm的流率加以流動。含矽前驅物可以與惰性推動氣體(push gas)一起加以流動,例如氮氣或氬氣或氮氣與氬氣的混合物。對於單晶圓腔室,惰性推動氣體的流率可以是約125 sccm至約1000 sccm。操作104可以執行約0.05秒至約3秒的持續時間。在一些實施例中,可以將額外的氮氣與含矽前驅物和/或惰性推動氣體一起引入,用於稀釋、壓力穩定性,或以上兩者。對於單晶圓腔室,額外的氮氣可以以約250 sccm至約2500 sccm的流率加以流動。
進行熱解使得熱解發生在製程腔室內;也就是說,當沉積前驅物通過氣體管線流向製程腔室時,熱解發生在製程腔室中,但不發生在氣體管線中。在各種實施例中,以下列方式實施某些公開的實施例:熱解可能僅在晶圓位於製程腔室之中的台座之上時發生,或者可能僅發生在製程腔室的台座區域或其附近。進行熱解使得台座設定於一溫度,其至少為熱解期間所用前驅物的熱解溫度或大於該熱解溫度。例子包括至少約400℃,或至少約500℃,或至少約650℃,或至少約750℃。
在一些實施例中,沉積前驅物的熱解導致基板表面上的熱解膜或熱解材料或至少部分熱解的膜。在使用胺基矽烷的情況下,熱解材料或熱解膜可以包括矽氮化物、矽碳氮化物、矽氧碳氮化物,或其組合;或者可以是矽氮化物、矽碳氮化物、矽氧碳氮化物,或其組合。
在操作166中,可選用性地驅淨製程腔室。如本文所述,在某些實施例中,驅淨可以在任何或所有操作之間進行,例如在熱解之後但在惰性電漿暴露之前,或在惰性電漿暴露之後以及在氧化或含氮電漿暴露之前,或在氧化或含氮電漿暴露之後以及在重複上述操作任一者、或任意數量的這些操作或所有這些操作之前。驅淨可能是選用的。
操作166涉及停止含矽前驅物的流動並引入惰性氣體或驅淨氣體的流動以除去未吸附在基板表面上之過量的含矽前驅物分子或呈氣相的在基板上方的製程腔室的處理區域中之含矽前驅物分子。
示例惰性或驅淨氣體包括但不限於氮氣和氬。對於單晶圓腔室,操作166期間惰性或驅淨氣體的流率為約1000 sccm至約40000 sccm。 惰性或驅淨氣體的引入可以執行達約0.1秒至約10秒的持續時間。在操作166期間,腔室壓力可為約0.5托至約60托。在一些實施例中,較低的壓力可用於更有效地驅淨。例如,在一些實施例中,可以使用小於約0.1托或約0.1托的壓力。在一些實施例中,在操作166期間的腔室壓力係與在操作164期間使用的腔室壓力相同。在一示例中,氮氣以約10000 sccm的流率在約9.5托的腔室壓力下引入約10秒。流率、持續時間、及腔室壓力可以取決於操作166中使用的前驅物。 操作166在不點燃電漿的情況下進行。 操作166在無電漿環境中進行。
在操作168中,將基板選用地引入到一添加劑氣體電漿。在一些實施例中,添加劑氣體電漿是惰性氣體電漿。例示添加劑氣體電漿可用於使熱解材料緻密化。示例添加劑氣體包括氫、氦、氬、氮、及氙。添加劑電漿可用於平滑熱解膜的表面,這可以允許整個表面的厚度均勻。在一些實施例中,暴露於添加劑電漿可以改變所沉積的膜的含量。例如,在一些實施例中,取決於添加劑電漿成份、暴露時間、及所使用的電漿功率,碳含量可以降低。在一些實施例中,可以藉由使用含氮添加劑電漿來增加氮含量。在一些實施例中,氧含量可以藉由使用某些添加劑電漿而加以略微降低。在各種實施例中,對沉積在基板表面上的每約1 nm的膜施行惰性氣體電漿。
惰性氣體電漿可以藉由原位點燃惰性氣體或在遠端電漿腔室中點燃惰性氣體來產生。在各種實施例中,對於單晶圓站,惰性氣體以約100 sccm至約15000 sccm的流率加以流動。對於13.56 MHz頻率的電漿,電漿以約75 W至約1500 W的電漿功率點燃。在各種實施例中,操作168係執行持續時間約0.05秒至約20秒。
在操作168期間,熱解材料保留在基板表面上。在操作168期間,一些揮發性碎片可以從該膜中排出而增加矽、碳、氮或其任意組合的殘餘原子含量。
在操作170中,製程腔室再次選用地加以驅淨。驅淨可以使用關於操作166的上述製程氣體和條件任何一者以上來執行。在一示例中,氮氣在具有約9.5托的腔室壓力的腔室中以約10000 sccm的流率流動約10秒。
在操作172中,將轉化反應物引入製程腔室。在一些實施例中,轉化反應物是轉化反應物電漿,或者含氧電漿、或含氮電漿、或其任意組合可引入製程腔室。在各種實施例中,熱解材料係暴露於轉化反應物電漿,例如含氧電漿或含氮電漿。在一些實施例中,轉化反應物是無電漿的氣體或氣體混合物。
對於涉及電漿的實施例。在操作172的電漿暴露期間,可以使用以下一種或多種氣體:氧化氣體以及含氮氣體。氧化氣體可用於氧化熱解的材料,例如形成矽氧化物。示例氧化氣體包括氧、一氧化二氮、二氧化碳、臭氧、過氧化物、醇、及水。水可以藉由在高溫下在腔室之內結合氫和氧氣來形成,或者可以從安瓿瓶輸送。含氮氣體可用於形成熱解材料的氮化物,例如形成矽氮化物。示例含氮氣體包括氮氣、氨、及氘代氨(ND 3)。在各種實施例中,氧化或含氮電漿可對於在基板表面上所沉積的每約5 nm或更小的膜而使用。在暴露於氧化電漿或含氮電漿期間,也可以使用一種或多種額外的惰性氣體。在一些實施例中,也可以使用氫。 氫也可用於協助灰化熱解膜的含碳成分,例如如果在熱解期間使用有機矽烷並且熱解膜具有大量的碳含量。 在一些實施例中,電漿可以是雙頻電漿。高頻RF電漿可以在約500 W至約6000 W的電漿功率下產生。低頻RF電漿可以在約500 W至約4000 W的電漿功率下產生。
對於使用無電漿製程執行操作172的實施例,可以使用無電漿轉化反應物將熱解膜轉化為矽氧化物或矽氮化物。例如,在一些實施例中,水、醇、及/或氧和氫氣的混合物可在操作172期間使用。
在一些實施例中,氧和氫氣的混合物可涉及流動約500 sccm至約20L的氧氣和約500 sccm至約20L的氫氣。
在各種實施例中,氮氣電漿可用於將熱解膜轉化為矽氮化物。在各種實施例中,氧氣電漿可用於將熱解膜轉化為矽氧化物。在各種實施例中,在操作172中暴露於電漿,使熱解膜及其緻密化或轉化形式的體積膨脹,從而可以填充在膜中形成的間隙、空洞、及/或接縫。
在一些實施例中,如果在操作172期間使用含氧反應物或反應物電漿,則氧化可促進在材料沉積進負型特徵部期間所形成的接縫或空洞的癒合或熔合。例如,使用含氧反應物或含氧反應物電漿會導致熱解膜受到氧化,並且氧氣的摻入可能導致膜膨脹而癒合接縫或空洞。這在具有高縱橫比的特徵部中可能特別有用。在一些實施例中,在隨後的操作中,可以執行後續處理操作以使此膜進一步膨脹並在特徵部中閉合或密封一接縫。氧化可使熱解膜的體積增加約50%至約100%。
圖1B顯示了在氧化之前和之後特徵部的兩個示意圖的示例。 特徵部140顯示可以使用某些公開的實施例所沉積的未氧化的熱解膜層141,以及在該特徵部中的空洞或接縫142。 特徵部150顯示在進行原位或異地蒸氣退火之後的特徵部140,其中熱解膜層151現在受到氧化並且體積已經膨脹,並且該特徵部不再具有空洞,如特徵部的中心152所示。
在操作112期間,溫度可以取決於所使用的反應物。在一些實施例中,溫度為約600 ℃至約1000 ℃,或約600 ℃至約850 ℃。在一些實施例中,操作112在不同於操作104或108的腔室中進行。在一些實施例中,操作112係與操作104和/或操作108在同一腔室中執行。
操作104-112可以選用地以循環方式重複。在一些實施例中,操作106、108、及110各自選用地在各個循環中重複;在一些實施例中,重複的循環可以省略操作106、108、或110其中任何一個或多個。在一些實施例中,每隔小於約5 nm的在基板表面上沉積的熱解材料而執行操作112。在一些實施例中,每個循環執行操作112。在一些實施例中,超過約1 nm的材料由熱解形成。在某些實施例中,富矽膜係加以沉積;沉積的膜的成分可以藉由在轉化期間選擇特定的沉積前驅物、惰性氣體、及含氧和含氮氣體來調制。操作104-112可以按任何順序執行。
保形膜可以使用某些公開的實施例加以沉積。某些公開的實施例具有不可預期的優點:儘管在操作104中CVD類似的熱解之情況下還是保形的。 具有高保形性的膜可能具有高階梯覆蓋率。膜的保形性可以藉由階梯覆蓋率來衡量。階梯覆蓋率可以藉由比較溝槽底部、側壁上的沉積膜的平均厚度與特徵部或溝槽的頂部上的沉積膜的平均厚度來計算。 階梯覆蓋率可為至少約85%,或約85%至約100%。基板的「特徵部」可以是介層窗或接觸孔,其特徵可以是一個或多個狹窄和/或凹入的開口、特徵部內的收縮部、及高縱橫比。高縱橫比可以指具有縱橫比至少約10:1或至少約15:1或至少約20:1或至少約50:1或至少約100:1或至少約150:1或至少約200:1的特徵部。 術語「溝槽」和「特徵部」可以在本揭露內容中互換使用,並且可以理解為包括基板的任何孔、介層窗、或凹陷區域。
某些揭露的實施例可用於沉積各種膜,因為前驅物的熱解可以與各種製程整合,例如矽氧化物的ALD、矽氧化物的電漿增強化學氣相沉積(PECVD)、矽碳氮化物的化學氣相沉積(CVD)等等。在各種實施例中,用於熱解的胺基矽烷前驅物的使用特別可以具有各種優點。某些胺基矽烷前驅物也可能更容易進行熱解或為熱解的,並且可以為沉積在基板表面上的各種含矽膜的緻密化和/或轉化提供有用的基礎。
使用某些公開的實施例的各種沉積循環可加以實現。在一示例中,可重複的沉積循環包括以下操作:執行多個循環的操作164和168的時間交替脈衝,然後執行操作172。 在另一示例中,可重複的沉積循環包括以下操作:執行操作164、168、及172的時間交替脈衝。 在另一示例中,可重複的沉積循環包括以下操作:在不執行操作168的情況下以時間交替脈衝執行操作164和172。 在另一示例中,可以重複的沉積循環包括以下操作:以時間交替脈衝執行操作164以及操作168或操作172或其兩者,其中至少一個驅淨操作在操作164、168、及172各者之間。各個沉積循環可以沉積超過一個的單層體,例如每個循環約2-3Å。
某些揭露的實施例具有許多可調的製程條件,允許該製程針對各種不同的應用進行裁製。例如,沉積前驅物的選擇、電漿暴露期間使用的氣體、腔室壓力、暴露持續時間、及其他製程條件可加以裁製,以適應所欲的應用。在一些實施例中,增加腔室壓力可導致更高的保形性。在一些實施例中,相同的腔室壓力用於操作164-172。在一些實施例中,操作164中的腔室壓力不同於操作168。在一些實施例中,操作164中的腔室壓力不同於操作172。在一些實施例中,操作168中的腔室壓力不同於操作172。某些公開的實施例也可用於沉積密封膜,例如密封的矽氮化物膜。
舉例來說,在一些實施例中,具有更多Si-Si和Si-H鍵的某些前驅物可以在較低的熱解溫度下使用。具有更多Si-Si鍵和更多Si-H鍵的某些前驅物也可能影響膜的成分(例如藉由摻入更多的Si原子和/或H原子)。
在另一個例子中,具有超過2個Si-N鍵結的某些前驅物可以在用於熱解的較高起始溫度下使用。用這種前驅物沉積的膜可能在膜中具有較高的氮原子含量。
在某些實施例中,一旦已經達到啟動熱解的起始溫度,增加基板溫度將增加熱解速率。
使用某些處理溫度也可能影響膜之中的矽、碳、及氮的相對原子含量。這些可以取決於所欲的膜成分進行裁製。
某些揭露的實施例可以允許沉積具有可控制成分的膜。 例如,沉積膜中的矽、氧、碳、氮、氫、及任何其他原子的各種不同的量的可以藉由改變某些製程條件、沉積前驅物的選擇、電漿暴露期間使用的氣體、腔室壓力、暴露持續時間、及其他製程條件來改變。與某些可能涉及摻入額外前驅物的其他ALD 製程相比,這可能具有令人難以置信的優勢。實際上,選擇合適的熱解前驅物和用於電漿暴露的氣體可用於裁製膜成分。
某些公開的實施例還可能導致平滑化的基板表面。例如,以多個循環重複操作164和168可能導致沉積的材料與沉積之前相比亦具有平滑化的暴露表面。例如,在一些實施例中,沉積到特徵部中的膜上的粗糙度可以藉由在沉積之後將熱解和氧化的膜暴露於氬電漿來降低。
某些公開的實施例也可以與沉積–蝕刻–沉積製程一起使用以填充特徵部。例如,在使用某些公開的實施例形成一膜之後,可以蝕刻基板,以例如開通一特徵部開口並允許進一步沉積進特徵部。某些實施例還可以與在循環之間執行的鈍化氣體暴露一起使用,以偏向性地防止膜在特徵部開口處或附近沉積,以允許自下而上地填充特徵部。在一些實施例中,使用某些公開的實施例所沉積的膜的成分可加以裁製以當使用含氟蝕刻製程時增加後續的蝕刻選擇性。這可以藉由使用在某些揭露的實施例的塊體膜沉積之後使用氧化電漿處理來實現。在實施沉積–蝕刻–沉積間隙填充製程的實施例中,使用某些揭露的實施例可以形成膜,其中特徵部頂部處或附近的蝕刻量係大於特徵部底部處的蝕刻量。在一個示例中,在一些實施例中,膜的成分係裁製為在圖案化到基板中的溝槽或孔特徵部之內,使得碳與氮的相對比例在特徵部的頂部處與特徵部的底部處不同,從而當使用含氟蝕刻劑(如三氟化氮、四氟化碳、六氟化硫、或其它碳氟化合物)蝕刻時以及當這種蝕刻劑係與高頻或雙頻(高頻和低頻二者)電漿一起使用時增加選擇性。
某些公開的實施例可能由於較高的沉積速率而允許更快的沉積。 例如,前驅物熱解、惰性氣體電漿、及氧化電漿的一循環可能形成約2Å至約3Å厚的膜。例如,在一些實施例中,其中臨界尺寸大於約500 nm,或其中特徵部的縱橫比大於約5:1,或在其他大面積間隙填充應用中,由於較高的沉積速率,某些公開的實施例可用於填充此些特徵部。某些公開的實施例可用於替代或補充傳統上涉及CVD的沉積製程。
某些公開的實施例也可用於形成具有各種摻雜劑的膜,該摻雜劑可以在任何操作期間或藉由使用特定的沉積前驅物或電漿加以引入。某些公開的實施例可以形成具有矽氧化物、矽氮化物、矽碳化物、矽碳氧化物、矽氮氧化物、其摻雜的變體、或其組合的膜。碳和氮摻雜可以藉由使用特定的前驅物來完成,並通過使用氧化電漿來衰減。在一些實施例中,氮的相對量可以藉由使用一個或多個含氮電漿和裁製在電漿暴露期間使用的製程條件而加以裁製。例如磷和硼的其他摻雜劑可以藉由分別使用諸如磷酸三乙酯或硼酸三甲酯之第三前驅物而加以摻入。
某些公開的實施例可用於將膜沉積進具有側向凹部的特徵部中。某些公開的實施例可用於製造3D DRAM結構。某些公開的實施例可用於在水平結構中填充材料。
在一些實施例中,關於圖2描述的沉積前驅物的分解可以與如上關於圖1A、1B、及1C所述的一個或多個製程相結合。例如,操作104和112A其中至少一個可涉及分解或熱解沉積前驅物,如關於圖1D的操作164所描述的;同樣的,圖1D的操作172的轉化操作可以與圖1A、1B、及1C的電漿產生操作108加以組合,並且在某些實施例中,當將實施例組合時,操作112A、112B、或112C可以是選用性的或可加以執行。 設備
某些揭露的實施例在涉及先進閥調的設備中執行此等方法,以允許引入各種氣體來執行此處的方法。
圖2示意性地顯示製程站200的實施例,其可用於使用原子層沉積(ALD)和/或化學氣相沉積(CVD)(其中任何一者可以是電漿增強的)來沉積材料。為簡單起見,製程站200係描繪為一獨立製程站,具有一製程腔室本體202用於維持一低壓環境。然而,將了解的是,多數個製程站可包括在一共同的製程機台環境。此外,將了解的是,在一些實施例中,製程站200的一個以上硬體參數,包含以上詳細探討者,可藉由一個以上電腦控制器加以程式化地調整。
製程站200係與反應物輸送系統201呈流體連通,以輸送製程氣體至分配噴淋頭206。反應物輸送系統201包含一混合容器204,用於混合和/或調節製程氣體以輸送至噴淋頭206。一個以上的混合容器入口閥220可控制製程氣體導入至混合容器204。類似地,噴淋頭入口閥205可控制製程氣體導入至噴淋頭206。
一些反應物,如BTBAS,可在氣化和後續輸送至製程站之前以液態型式儲存。舉例來說,圖2的實施例包含一氣化點203,用於將待供應至混合容器204的液態反應物氣化。在一些實施例中,氣化點103可為一加熱的氣化器。從此等氣化器產生的反應物蒸氣可在下游輸送管路中凝結。暴露不相容的氣體於凝結的反應物可能產生小微粒。這些小微粒可能阻塞管路,阻礙閥操作,汙染基板等等。處理這些問題的一些方式包含清掃和/或排空該輸送管路以移除殘留的反應物。然而,清掃輸送管路可能增加製程站循環時間,降低製程站生產率。因此,在一些實施例中,氣化點103下游的輸送管路可為伴熱的。在一些實施例中,混合容器204亦可為伴熱的。在一個非限定例子中,氣化點203下游的管路具有從大約100 ℃延伸至混合容器204處大約150 ℃的增加溫度分布。
在一些實施例中,反應物液體可以在液體注射器處氣化。舉例來說,一液體注射器可將液態反應物的脈衝注射進入混合容器上游的載體氣體流。在一個情境中,液體注射器可藉由從較高壓力至較低壓力將液體閃蒸而氣化反應物。在另一情境中,液體注射器可將液體霧化成分散的微液滴,其後續在加熱的輸送管路中氣化。將了解的是,較小的液滴可與較大液滴相比較快氣化,減少液體注射與完全氣化之間的延遲時間。較快氣化可減少氣化點203下游管路的長度。在一個情境中,液體注射器可直接安裝至混合容器204。在另一情境中,液體注射器可直接安裝於噴淋頭206。
在一些實施例中,在氣化點103上游的液體流量控制器可加以提供,以控制用於氣化和輸送至製程站200的液體的質量流量。舉例來說,液體流量控制器(LFC)可包含位在LFC下游的熱質量流量計(MFM)。LFC的柱塞閥可接著對由與MFM電連通的比例-積分-微分(PID)控制器所提供的回授控制信號響應而加以調整。然而,可能需要一秒以上以使用回授控制穩定液體流量。這可能延長液體反應物用劑的時間。因此,在一些實施例中,LFC可動態切換於回授控制模式與直接控制模式之間。在一些實施例中,LFC可藉由停用LFC的感測管和PID控制器而從一回授控制模式動態切換至直接控制模式。
噴淋頭206朝基板212分配製程氣體。在圖2顯示的實施例中,基板212係位於噴淋頭206下方,且顯示為擺置於台座208之上。將了解的是,噴淋頭206可具有任何適合的形狀,且可具有任何適合數量和配置的埠用於分配製程氣體至基板212。
在一些實施例中,微容積207係位於噴淋頭206下方。在一微容積之中而非在製程站的全部容積之中執行ALD及/或CVD製程,可減少反應物暴露和清掃時間,可縮減改變製程條件(例如壓力、溫度等等)的時間,可限制製程站機器人對製程氣體的暴露等等。例示微容積尺寸包含但不僅限於介於0.1公升與2公升之間的容積。此微容積也影響生產率。當每循環的沉積速率下降時,循環時間也同時減少。在某些情況下,後者的效果顯著而足以改善對於給定目標膜厚度之模組的整體產出率。
在一些實施例中,台座208可升高或下降以將基板212暴露於微容積207和/或改變微容積207的容積。舉例來說,在一基板轉移階段中,台座108可下降,以使基板212能夠被裝載至台座208之上。在一沉積製程階段,台座108可升高以將基板212定位於微容積207之內。在一些實施例中,微容積207可完全包圍基板212以及台座208的一部分,以在沉積製程期間產生一高流阻抗區域。
選用性地,台座208可在部分的沉積製程期間下降和/或升高,以調制微容積207之內的製程壓力、反應物濃度等等。在製程腔室本體202在沉積製程期間維持於基壓的一個情境中,將台座208下降可使微容積207能夠被排空。微容積對製程腔室容積的例示比例,包含但不僅限於介於1:200與1:10之間的容積比。將了解的是,在一些實施例中,台座高度可藉由適合的電腦控制器加以程式化調整。
在另一情境中,調整台座208的高度可允許在沉積製程之中所包含的電漿活化和/或處理循環期間改變電漿的密度。在沉積製程階段結束時,台座208可在另一基板轉移階段期間加以下降,以允許從台座208移除基板212。
雖然此處所述例示微容積變化係關於一高度可調整台座,將了解的是,在一些實施例中,噴淋頭206的位置可相對於台座208加以調整以改變微容積207的容積。此外,將了解的是,台座208和/或噴淋頭206的垂直位置可在本揭露內容的範圍內藉由任何適合的機構加以改變。在一些實施例中,台座208可包含旋轉軸,用於旋轉基板212的定向。將了解的是,在一些實施例中,這些例示調整其中一者以上可藉由一個以上適合的電腦控制器加以程式化地執行。
回到圖2所示實施例,噴淋頭206和台座208係與RF電源供應器214和匹配網路216電連通以對電漿提供功率。在一些實施例中,藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一者以上,可控制電漿能量。舉例來說,RF電源供應器214和匹配網路216可於任何適合的功率加以操作,以形成具有所欲自由基物種成分的電漿。適合功率的例子係以上加以納入。同樣地,RF電源供應器214可提供任何適合頻率的RF功率。在一些實施例中,RF電源供應器114可建構成控制彼此獨立的高頻和低頻RF功率來源。例示低頻RF頻率可包含但不僅限於介於50 kHz與500 kHz之間的頻率。例示高頻RF頻率可包含但不僅限於介於1.8 MHz與2.45 GHz之間的頻率。將了解的是,任何適合的參數可不連續地或連續地加以調制,以提供電漿能量供表面反應。在一個非限制例子中,電漿功率可間歇地脈衝輸送,以相對於連續性供能的電漿降低對基板表面的離子轟擊。
在一些實施例中,電漿可藉由一個以上電漿監測器加以原位監測。在一個情境中,電漿功率可藉由一個以上電壓、電流感測器(例如VI探測器)加以監測。在另一情境中,電漿密度和/或製程氣體濃度可藉由一個以上光發射光譜感測器(OES)加以量測。在一些實施例中,一個以上電漿參數可基於來自原位電漿監測器的量測加以程式化調整。舉例來說,OES感測器可用於回授迴路之中以提供電漿功率的程式化控制。將了解的是,在一些實施例中,其他監測器可加以使用以監測電漿和其他製程特性。此等監測器可包含但不僅限於紅外線(IR)監測器、聲波監測器、及壓力傳感器。
在一些實施例中,電漿可藉由輸入/輸出控制(IOC)序列指令加以控制。在一個例子中,設定電漿製程階段之電漿條件的指令可納入沉積製程配方的對應電漿活化配方階段。在一些實例中,製程配方階段可順序配置,使得一沉積製程階段的所有指令係與那個製程階段同時執行。在一些實施例中,設定一個以上電漿參數的指令可包含在一電漿製程階段之前的一配方階段之中。舉例來說,第一配方階段可包含:設定惰性和/或反應物氣體之流率的指令;設定電漿產生器至一功率設定點的指令;及第一配方階段的延時指令。第二、後續的配方階段可包含啟動電漿產生器的指令和第二配方階段的延時指令。第三配方階段可包含停用電漿產生器的指令和第三配方階段的延時指令。將了解的是,這些配方階段可在本揭露內容的範圍內以任何適合方式進一步細分和/或重複。
在一些沉積製程中,電漿點燃(strike)持續幾秒以上等級之持續時間。在若干實施方式中,短得多的電漿點燃可加以使用。這些可在10 ms至1秒的等級,通常約20至80 ms,其中50 ms為一特定的例子。此非常短的RF電漿點燃需要電漿的極快速穩定化。為達成此點,電漿產生器可建構成使得阻抗匹配件係預設至一特別的電壓,同時頻率係被允許浮動的。傳統上,高頻電漿係於約13.56 MHz的RF頻率加以產生。在此處所揭露各種實施例中,頻率係被允許浮動至不同於此標準數值的一數值。藉由允許頻率浮動同時固定阻抗匹配件至預定電壓,電漿可更快速地穩定,這在使用與一些類型的沉積循環相關聯之非常短暫的電漿點燃時可能是重要的。
在一些實施例中,台座208可藉由加熱器210加以溫度控制。又,在一些實施例中,沉積製程站200的壓力控制可藉由蝶形閥218加以提供。如圖2的實施例中所顯示,蝶形閥218調節下游真空泵(未顯示)所提供的真空。然而,在一些實施例中,製程站200的壓力控制亦可藉由改變導入製程站200之一種以上氣體的流率而加以調整。
圖3顯示一多站式處理機台300實施例的示意圖,該多站式處理機台300具有一入站負載鎖室302和一出站負載鎖室304,其任一者或二者可包含一遠程電漿源。在大氣壓力下的一機器人306係用以將晶圓自透過一晶圓盒308裝載的卡匣,經由一大氣埠310,移動至入站負載鎖室302之中。一晶圓係藉由機器人306置放到入站負載鎖室302之中的台座312之上,大氣埠310係加以關閉,且負載鎖室係加以抽真空。在入站負載鎖室302包含一遠程電漿源的情況下,晶圓可在導入處理腔室314之前暴露於在負載鎖室之中的遠程電漿處理。此外,舉例來說,晶圓亦可在入站負載鎖室302之中加熱,以移除濕氣和吸附的氣體。接下來,將通至處理腔室314的一腔室搬運埠316開啟,且另一機器人(未顯示)將晶圓置放進反應器而在此反應器中所顯示的一第一站的台座之上以進行處理。雖然圖3所示實施例包含負載鎖室,吾人將了解在若干實施例中可使晶圓直接進入一製程站。
所述處理腔室314包含四個製程站,在圖3所示實施例中編號為1至4。各站具有一加熱的台座(對於站1顯示為318),以及氣體管線入口。吾人將了解,在若干實施例中,各製程站可具有不同的或多個目的。雖然所述處理腔室314包含四個站,吾人將理解根據本揭露內容的一處理腔室可具有任何適合數量的站。舉例來說,在若干實施例中,一處理腔室可具有五個以上的站,而在其他實施例中一個處理腔室可具有三個以下的站。
圖3亦描述一晶圓搬運系統390的實施例,晶圓搬運系統390用於在處理腔室314之內搬送晶圓。在若干實施例中,晶圓搬運系統390可在各種製程站之間及/或在一製程站與一負載鎖室之間搬送晶圓。吾人將了解可使用任何適合的晶圓搬運系統。非限定的範例包含晶圓轉盤(wafer carousel)和晶圓搬運機器人。圖3亦描述一系統控制器350的實施例,用以控制處理機台300的製程條件和硬體狀態。系統控制器350可包含一個以上記憶體裝置356、一個以上大量儲存裝置354、及一個以上處理器352。處理器352可包含CPU或電腦、類比和/或數位輸入/輸出連接部、步進馬達控制器板等等。
在一些實施例中,系統控制器350控制處理機台300的所有活動。系統控制器350執行系統控制軟體358,其儲存於大量儲存裝置354之中,載入記憶體裝置356,且執行於處理器352。系統控制軟體358可包含指令,用於控制時序、氣體混合物、氣體流率、腔室和/或站壓力、腔室和/或站溫度、驅淨條件和時序、晶圓溫度、RF功率位準、RF頻率、基板、台座、卡盤和/或接受器位置、及由處理機台300所執行的特定製程的其他參數。系統控制軟體358可以任何適合方式加以建構。例如,可撰寫各種製程機台組件子程式或控制物件,以控制用以根據所揭露方法執行各種製程機台製程所需的製程機台組件的操作。系統控制軟體358可以任何適合的電腦可讀程式語言編碼。
在若干實施例中,系統控制軟體358可包含輸入/輸出控制(IOC)序列指令,用於控制各種上述參數。舉例來說,PEALD製程的各階段可包含一或多個指令以供系統控制器350執行。用於針對PEALD製程階段設定製程條件的指令可包含在對應的PEALD配方階段。在一些實施例中,PEALD配方階段可順序配置,俾使針對PEALD製程階段的所有指令係與那個製程階段同時地執行。
關聯於系統控制器350儲存於大量儲存裝置354及/或記憶體裝置356的其他電腦軟體及/或程式,可在一些實施例中加以使用。用於此目的之程式或程式片段的例子,包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用以裝載基板至台座318之上及控制介於基板與處理機台300的其他部件之間的間距的處理機台組件的程式碼。
製程氣體控制程式可包含程式碼,用於控制氣體成分和流率,且選用性地在沉積之前將氣體流入一個以上製程站以穩定在製程站之中的壓力。製程氣體控制程式可包含程式碼用於控制氣體成分及流率於所揭露範圍的任何者之內。壓力控制程式可包含程式碼,用於藉由調節例如在製程站排氣系統中的節流閥、進入製程站的氣流等等而控制製程站中的壓力。壓力控制程式可包含程式碼用於將製程站之中的壓力維持在所揭露壓力範圍任何者之內。
加熱器控制程式可包含程式碼,用於控制流至用以加熱基板的加熱單元的電流。或者是,加熱器控制程式可控制對基板之傳熱氣體(例如氦)的輸送。加熱器控制程式可包含指令用以將基板溫度維持在所揭露範圍任一者之內。
電漿控制程式可包含程式碼,用於設定對在一或多個製程站之中的製程電極所施加的RF功率位準與頻率,例如使用此處所揭露的RF功率位準任一者。電漿控制程式亦可包含程式碼,用於控制各電漿暴露的持續時間。
在若干實施例中,可具有與系統控制器350相關聯的一使用者介面。該使用者介面可包含顯示螢幕、設備和/或製程條件的圖形化軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等等。
在若干實施例中,由系統控制器350所調整的參數可關於製程條件。非限定範例包含製程氣體成分及流率、溫度、壓力、電漿條件(例如RF功率位準、頻率、及暴露時間)等等。這些參數可以配方形式提供給使用者,該配方可利用使用者介面加以輸入。
監測製程的信號可從各種製程機台感測器藉由系統控制器350的類比及/或數位輸入連接部加以提供。用於控制製程的信號可在處理機台300的類比和數位輸出連接部加以輸出。可加以監測的製程機台感測器的非限定例子包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。適當程式化的回授和控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
任何適合的腔室可用以實施所揭露的實施例。例示沉積設備包含但不僅限於來自ALTUS®產品家族、VECTOR®產品家族、及/或SPEED®產品家族的設備,其每一者可購自Lam Research Corporation, Fremont, California,或者各種其他市售處理系統任一者。該等站其中二者以上可執行相同的功能。類似地,二個以上的站可執行不同的功能。各站可設計或建構成執行如期望之特定功能/方法。
圖4為根據若干實施例適於執行薄膜沉積製程的處理系統的方塊圖。系統400包含一搬移模組403。搬移模組403提供一清潔的加壓環境,以在將受處理基板於各種反應器模組之間移動時最小化基板汙染的風險。二個多站式反應器409和410安裝於搬移模組403之上,各自能夠根據若干實施例執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器409和410可包含多個站411、413、415、及417,其可根據所揭露的實施例依序地或非依序地執行操作。該等站可包含加熱的台座或基板支座、一個以上氣體入口或噴淋頭或分散板。
也安裝在搬移模組403上的可以是一個或多個單站式或多站式模組407,其能夠執行電漿或化學(非電漿)預清洗,或關於所揭露方法所述的任何其他製程。模組407在某些實例中可用於各種處理,例如,製備用於沉積製程的基板。模組407還可以設計/配置成執行各種其它製程,例如蝕刻或拋光。系統400還包括一個以上晶圓來源模組401,在處理之前及之後晶圓係儲存於該處。在大氣壓搬移腔室419之中的大氣壓機器人(未顯示)可首先將晶圓從來源模組401搬出至負載鎖室421。在搬移模組403之中的晶圓搬移裝置(一般而言,一機器人手臂單元)將晶圓從負載鎖室421移動至安裝在搬移模組403上的模組及將晶圓在此等膜組之間移動。
在各種實施例中,系統控制器429係用以在沉積期間控制製程條件。控制器429典型包含一個以上記憶體裝置及一個以上處理器。一處理器可包含CPU或電腦、類比和/或數位輸入/輸出連接部、步進馬達控制器板等等。
控制器429可控制沉積設備的所有活動。系統控制器429執行系統控制軟體,其包含複數組指令,用於控制時序、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤和/或支座位置、及特定製程的其他參數。儲存在與控制器429相關聯的記憶體裝置上的其他電腦程式可在一些實施例中加以使用。
通常,可具有與控制器429相關聯的一使用者介面。該使用者介面可包含顯示螢幕、設備和/或製程條件的圖形化軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等等。
系統控制邏輯可以任何適合方式加以建構。一般而言,該邏輯可以硬體及/或軟體加以設計或建構。控制驅動電路的指令可加以硬編碼或提供為軟體。指令可藉由「編程」加以提供。此編程係理解為包含任何形式的邏輯,包含數位信號處理器中的硬編碼邏輯、特殊應用積體電路、及具有實施為硬體的特定演算法的其他裝置。編程亦理解為包含軟體或韌體指令,其可在一般用途處理器上執行。系統控制軟體可以任何電腦可讀程式語言加以編碼。
用於控制含鍺還原劑脈衝、氫流量、含鎢前驅物脈衝、及製程順序中其他製程的電腦程式碼可以任何習知的電腦可讀程式語言加以撰寫:例如組合語言、C、C++、Pascal、Fortran或其他者。經編譯的目的碼或腳本係藉由處理器加以執行,以執行程式中所確定的工作。此外如所指示的,程式碼亦可加以硬編碼。
控制器參數係相關於製程條件,例如製程氣體成分和流率、溫度、壓力、冷卻氣體壓力、基板壓力、及腔室壁溫度。這些參數以配方形式提供給使用者,且可利用使用者介面輸入。監測製程的信號可藉由系統控制器429的類比及/或數位輸入連接部加以提供。用於控制製程的信號可在沉積系統400的類比和數位輸出連接部加以輸出。
系統軟體可以許多不同方式加以設計或建構。例如,可撰寫各種腔室組件子程式或控制物件,以控制根據所揭露實施例執行沉積製程(以及其他製程,在一些實例中)所需的腔室組件的操作。用於此目的之程式或程式片段的例子,包含基板定位碼、製程氣體控制碼、壓力控制碼、及加熱器控制碼。
在一些實施方式中,控制器429為系統之部分,其可為上述範例之部分。此類系統可包含半導體處理設備,其包括一或複數之處理機台、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理組件(晶圓台座、氣體流量系統等等)。該等系統可與電子設備整合,該電子設備係用於在半導體晶圓或基板之處理之前、期間及之後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種組件或子部件。依據處理需求及/或系統之類型,可對控制器429編程以控制本說明書中所揭露的製程之任一者,包含處理氣體之遞送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、在一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出機台及連接至或介接至特定系統的其他搬移工具及/或負載鎖室之晶圓搬移。
廣義來說,控制器可定義為具有各種積體電路、邏輯、記憶體、和/或軟體的電子設備,其接收指令、發送指令、控制操作、啟動清潔操作、啟動終點量測等等。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義作特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一個以上微處理器或微控制器。程式指令可為指令,以各種個別設定(或程式檔案)形式與控制器連通,定義對於系統或對於半導體晶圓上用於執行特定製程的操作參數。在一些實施例中,操作參數可為由製程工程師所定義配方的一部分,以在一或多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、和/或晶圓的晶粒之製造期間達成一個以上處理步驟。
在一些實施方式中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連接至系統、或以上組合。舉例來說,控制器可在「雲端」或為晶圓廠主機電腦系統的全部或一部分,其可允許晶圓處理的遠端存取。電腦可允許對系統的遠端存取以監測製造操作的目前進展,檢查過去製造操作的歷史,檢查來自複數製造操作的趨勢或效能指標,改變目前處理的參數,設定目前處理之後的處理步驟,或啟動新的製程。在一些範例中,遠端電腦(例如一伺服器)可透過一網路對系統提供製程配方,該網路包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數和/或設定的輸入或編程,該參數和/或設定接著從遠端電腦輸送至系統。在一些例子中,控制器接收呈資料形式的指令,該資料具體指定在一個以上操作期間對於各個所執行處理步驟的參數。應理解的是,參數可針對待執行之製程類型、以及控制器建構成介接或控制之機台的類型。因此如上所述,控制器可為分散式的,例如藉由包含一個以上分散的控制器,其由網路連接在一起且朝共同目的工作,例如此處所述製程和控制。用於此目的之分散式控制器的例子可為與位在遠端的一個以上積體電路(例如在平台層級或作為遠端電腦的一部份)連通之在腔室上的一個以上積體電路,其結合以控制腔室上的製程。
在沒有限制的情況下,例示系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植布腔室或模組、塗佈顯影腔室或模組、及任何其他半導體處理系統,其可能關聯於或使用於半導體晶圓的製造和/或生產。
如上所述,取決於由機台執行的一個以上製程步驟,控制器可連通於以下一者以上:其他機台電路或模組、其他機台組件、叢聚機台、其他機台介面、鄰接機台、附近機台、位於整個工廠的機台、主電腦、另一控制器、將晶圓容器攜帶往返在半導體製造工廠中的機台位置和/裝載埠之材料運送部中所使用的機台。 實驗量測 實驗1
針對藉由熱引入沉積前驅物、引入具有電漿的反應物、以及在沒有電漿的情況下引入沉積前驅物而同時來自先前操作的一些電漿留存在腔室中之操作所沉積的一膜,測量應力和濕式蝕刻速率。相對於RF功率而繪示應力。 圖5A和5B中的結果表明,可以在使用RF功率、RF時間、及其他製程條件而維持濕式蝕刻速率的同時調整應力。 實驗2
一項實驗涉及藉由熱解沉積一膜,測量所沉積膜的厚度,然後將熱解的膜暴露於原位電漿氧化並測量在原位電漿氧化之後的膜厚度。結果如表1所示。 表1. 氧化電漿之後矽氧化物膜的體積膨脹
氧化前厚度 (nm) 氧化後厚度(nm) % 增加
頂部 3.31 4.01 21.3%
25%處 3.06 4.05 32.4%
50%處 3.14 3.91 24.3%
75%處 3.06 3.68 20.3%
底部 3.67 4.40 19.9%
實驗3
使用某些揭露的實施例藉由熱解而沉積矽氧化物膜(例如, 4個總體循環之執行(1)47個循環的熱解與驅淨;及(2)執行原位氧化電漿)。FTIR如圖6所示,顯示經過原位氧化電漿處理的膜在低氧化時間內導致增加的Si-O鍵、減少的Si-H鍵、以及飽和的N-H2和N-H鍵。 實驗4
根據某些公開的實施例,使用熱解在半導體基板上沉積四層矽氧化物。在氧化之前,膜的厚度為約22 nm。在使用氫氣和氧氣的無電漿原位混合物在約650 ℃的溫度下進行氧化之後,熱解膜膨脹到約33 nm的厚度。這些結果表明,使用某些公開的實施例具有增加體積能力,其可用於將高縱橫比特徵部的接縫加以密封。 實驗5
進行實驗藉由熱解來沉積膜,其中使用二異丙基胺基矽烷(DiPAS)作為沉積前驅物以及:不使用添加劑電漿、使用僅由氬產生的添加劑電漿、使用由氬和氫的混合物產生的添加劑電漿、及使用由氬和氨的混合物產生的添加劑電漿。表2提供了使用這些製程條件所沉積的膜的XPS結果。 表2. 各種含矽膜的XPS結果
XPS 結果
前驅物 電漿氣體 電漿時間 Si C N O
DiPAS 無電漿 48.8 26.8 15.0 9.4
DiPAS Ar 1000W/1s 42.3 1.1 49.4 7.1
DiPAS Ar/H 2 1000W/1s 42.1 0.7 50.1 7.1
DiPAS Ar/NH 3 1000W/1s 43.1 0 49.7 7.2
定義和前驅物 定義
術語「醯基」或「烷醯基」,當此處可互換使用時,表示飽和、不飽和及芳香族、以及它們的組合的直鏈、支鏈、環狀構型的1、2、3、4、5、6、7、8或更多碳原子的基團,或氫,通過此處定義的羰基連接到母體分子基團。此基團的例子有甲醯基(-C(O)H)、乙醯基(Ac 或 -C(O)Me)、丙醯基、異丁醯基、丁醯基等等。在一些實施例中,醯基或烷醯基是 -C(O)-R,其中R是氫、脂肪族基團、或芳香族基團,如本文所定義。
「烷醯氧基」是指:如本文所定義的烷醯基,通過此處定義的氧基團連接到母體分子基團上。此基團的例子有乙醯氧基(-OAc 或 -OC(O)Me)。 在一些實施例中,烷醯氧基是-OC(O)-R,其中R是氫、脂肪族基團、或芳香族基團,如本文所定義。
「脂肪族」是指具有至少一個碳原子至50個碳原子(C 1-50)的烴基,例如1至25個碳原子(C 1-25),或1至10個碳原子(C 1- 10),並且其包括烷烴(或烷基)、烯烴(或烯基)、炔烴(或炔基),包括其環狀型式,並且還包括直鏈和支鏈排列,以及所有立體和位置異構物。脂肪族基團是未取代的或取代的,例如由此處描述的官能基。舉例來說,脂肪族基團可以用一個或多個取代基團取代,如本文所述烷基。
「脂肪族羰基」是指脂肪族基團,其係或可偶聯至此處揭露的化合物,其中該脂肪族基團為或變得通過羰基(-C(O)-) 偶聯。在一些實施例中,脂肪族羰基是‑C(O)-R,其中R是選用性取代的脂肪族基團,如本文所定義。
「脂肪族-羰氧基」是指脂肪族基團,其係或可偶聯至此處揭露的化合物,其中該脂肪族基團為或變得通過羰氧基(-OC(O)-) 偶聯。在一些實施例中,脂肪族羰氧基是‑OC(O)-R,其中R是選用性取代的脂肪族基團,如本文所定義。
「脂肪族-氧基」是指脂肪族基團,其係或可偶聯至此處揭露的化合物,其中該脂肪族基團為或變得通過氧基團(‑O-)偶聯。在一些實施例中,脂肪族氧基是‑O-R,其中R是選用性取代的脂肪族基團,如本文所定義。
「脂肪族-氧羰基」是指脂肪族基團,其係或可偶聯至此處揭露的化合物,其中該脂肪族基團為或變得通過氧羰基(‑C(O)O-)偶聯。在一些實施例中,脂肪族氧羰基是‑C(O)O-R,其中R是選用性取代的脂肪族基團,如本文所定義。
「烷基-芳基」、「烯基-芳基」、及「炔基-芳基」分別指如本文所定義的烷基、烯基、或炔基,其係或可通過本文所定義的芳基偶聯(或連接)到母體分子基團。該烷基-芳基、烯基-芳基、及/或炔基-芳基可以是取代的或未取代的。例如,烷基-芳基、烯基-芳基、及/或炔基-芳基可以用一個或多個取代基團加以取代,如本文針對烷基和/或芳基所述。 示例性的未取代烷基-芳基基團係具有7至16個碳(C 7-16烷基-芳基),以及具有帶1-6個碳的烷基和帶4-18個碳的芳基(即,C 1-6烷基- C 4-18芳基)的那些。 示例性的未取代烯基-芳基基團係具有7-16個碳(C 7-16烯基-芳基),以及具有帶2-6個碳的烯基和帶4-18個碳的芳基(即,C 2-6烯基- C 4-18芳基)的那些。示例性的未取代炔基-芳基基團係具有7-16個碳(C 7-16炔基-芳基),以及具有帶2-6個碳的炔基和帶4-18個碳的芳基(即,C 2-6炔基- C 4-18芳基)的那些。在一些實施例中,烷基-芳基基團是-L-R,其中L是本文所定義的芳基或亞芳基,而R是本文所定義的烷基。在一些實施例中,烯基-芳基基團是-L-R,其中L是本文定義的芳基或亞芳基,而R是本文定義的烯基。在一些實施例中,炔基-芳基基團是-L-R,其中L是本文定義的芳基或亞芳基,而R是本文定義的炔基。
「烯基」是指具有至少兩個碳原子至50個碳原子(C 2-50)(諸如2至25個碳原子(C 2-25),或2至10個碳原子(C 2-10))以及至少一個碳-碳雙鍵之不飽和單價烴,其中不飽和單價烴可以得自從母烯烴的一個碳原子除去一個氫原子。烯基可以是支鏈的、直鏈的、環狀的(例如環烯基)、順式的或反式的(例如,E或Z)。示例性烯基包括具有一個或多個雙鍵的選用性取代的C 2-24烷基。烯基可以是單價或多價(例如,二價)的,其藉由去除一個或多個氫以形成與母體分子基團的適當附接或在母體分子基團與另一取代基團之間形成適當的附接。烯基也可以是取代的或未取代的。例如,烯基可以用一個或多個取代基團取代,如此處針對烷基所述。非限制性烯基包括烯丙基(All)、乙烯基(Vi)、1-丁烯基、2-丁烯基等等。
「烷氧基」是指-OR,其中R是選用性取代的脂肪族基團,如本文所述。示例性烷氧基包括但不限於甲氧基、乙氧基、正丙氧基、異丙氧基、正丁氧基、叔丁氧基、仲丁氧基、正戊氧基、三鹵代烷氧基,例如三氟甲氧基等等。 烷氧基可以是取代的或未取代的。例如,烷氧基可以用一個或多個取代基團取代,如本文針對烷基所述。 示例性的未取代烷氧基包括C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1-20、或C 1-24烷氧基。
「烷氧基烷基」是指如本文所定義的烷基,其以本文所定義的烷氧基取代。示例性的未取代烷氧基烷基基團包括2-12個碳(C 2-12烷氧基烷基),以及具有帶1至6個碳的烷基和帶1至6個碳的烷氧基(即,C 1-6烷氧基- C 1-6烷基)的那些。在一些實施例中,烷氧基烷基基團是-L-O-R,其中L和R其中各者獨立地是本文所定義的烷基。
「烷氧基羰基」是指-C(O)-OR,其中R是選用性取代的脂肪族基團,如本文所述。在特定實施例中,烷氧基羰基基團是-C(O)-OAk,其中Ak是烷基,如本文所定義。該烷氧基羰基基團可以是取代的或未取代的。例如,烷氧基羰基基團可以用一個或多個取代基團取代,如本文針對烷基所述。示例性的未取代烷氧基羰基基團包括C 2-3、C 2-6、C 2-7、C 2-12、C 2-16、C 2-18、C 2-20、或C 2-24烷氧基羰基基團。
「烷基」是指具有至少一個碳原子至50個碳原子(C 1-50)的飽和單價烴,例如1至25個碳原子(C 1-25),或1至10個碳原子(C 1-10),其中飽和單價烴可以得自從母體化合物(例如, 烷烴)的一個碳原子中除去一個氫原子。烷基可以是支鏈的、直鏈的或環狀的(例如,環烷基)。示例性烷基包括1至24個碳原子的支鏈或非支鏈飽和烴基,例如甲基(Me)、乙基(Et)、正丙基(nPr)、異丙基(iPr)、正丁基(nBu)、異丁基(iBu)、仲丁基(sBu)、叔丁基(tBu)、戊基(Pe)、正戊基(nPe)、異戊基(iPe)、仲戊基(sPe)、新戊基(neoPe)、叔戊基(tPe)、己基(Hx)、庚基(Hp)、辛基(Oc)、壬基(Nn)、癸基(De)、 十二烷基、十四烷基、十六烷基、二十烷基、四二十烷基等等。烷基也可以為取代的或未取代的。烷基可以是單價或多價(例如,二價)的,其藉由去除一個或多個氫以形成與母體分子基團的適當附接或在母體分子基團與另一取代基團之間的適當附接。例如,烷基可以用一個、兩個、三個取代基取代,或在具有兩個以上碳原子的烷基的情況下以四個取代基取代,該些取代基係獨立地選自由以下者組成的群組:(1)C 1-6烷 氧基(例如,-O-R,其中R是C 1-6烷基);(2)C 1-6烷基亞磺醯基(例如,-S(O)-R,其中R為C 1-6烷基);(3)C 1-6烷基磺醯基(例如-SO 2-R,其中R為C 1-6烷基);(4)胺基(例如,‑NR 1R 2,其中R 1和R 2各者係獨立地選自氫、脂肪族、雜脂族、鹵代脂肪族、鹵代異脂肪族、芳香族,如本文所定義的,或以上任意組合,或者R 1和R 2,與各自連接的氮原子一起,可以形成雜環基,如本文所定義);(5)芳基;(6)芳基烷氧基(例如-O-L-R,其中L是烷基且R是芳基);(7)芳醯基(例如,‑C(O)-R,其中R是芳基);(8)疊氮基(例如-N 3);(9)氰基(例如,-CN);(10)醛基(例如,‑C(O)H);(11)C 3-8環烷基;(12)鹵基;(13)雜環基(例如,如本文所定義,諸如含有一個、兩個、三個、或四個非碳雜原子的5元、6元或7元環);(14)雜環氧基(例如,-O-R,其中R是雜環基,如本文所定義);(15)雜環醯基(例如,-C(O)-R,其中R是本文所定義的雜環基);(16)羥基(例如,-OH);(17) N-保護的胺基;(18)硝基(例如,-NO 2);(19) 側氧基(例如,=O); (20) C 1-6硫烷基(例如,-S-R,其中R是烷基);(21)硫醇基(例如,-SH);(22) ‑CO 2R 1,其中R 1選自由(a) 氫、(b) C 1-6烷基、(c) C 4-18芳基、及(d) C 4-18芳基- C 1-6烷基(例如,-L-R,其中L是C 1-6烷基且R是C 4-18芳基)所組成的群組;(23)‑C(O)NR 1R 2,其中R 1和R 2各者係獨立地選自由(a)氫、(b)C 1-6烷基 、(c)C 4-18芳基、及(d)C 4-18芳基- C 1-6烷基(例如-L-R,其中L是C 1-6烷基且R是C 4-18芳基)所組成的群組;(24) ‑SO 2R 1,其中R 1選自由(a)C 1-6烷基、(b)C 4-18芳基、及( c)C 4-18芳基-C 1-6烷基(例如-L-R,其中L是C 1-6烷基且R是C 4-18芳基)所組成的群組;(25)‑SO 2NR 1R 2,其中R 1和R 2各者係獨立地選自由(a)氫、(b)C 1-6烷基、(c)C 4-18芳基、及(d)C 4-18芳基- C 1-6烷基(例如-L-R,其中L是C 1-6烷基且R是C 4-18芳基)所組成的群組;及(26)‑NR 1R 2,其中 R 1和 R 2各者係獨立地選自由以下組組成的群組:(a)氫、(b)N-保護基團、(c)C 1-6烷基、(d) C 2-6烯基、(e) C 2-6炔基、(f)C 4-18芳基、(g) C 4-18芳基- C 1-6烷基(例如-L-R,其中L是C 1-6烷基且R是C 4-18芳基)、(h)C 3-8環烷基、及(i)C 3-8環烷基- C 1-6烷基(例如,-L-R,其中L是C 1-6烷基且R是C 3-8環烷基),其中在一個實施例中沒有兩個基團係經由羰基或磺醯基而與氮原子結合。烷基可以是以一個或多個取代基(例如,一個或多個鹵基或烷氧基)取代的伯烷基、仲烷基或叔烷基。在一些實施例中,未取代的烷基是C 1-3、C 1-6、C 1‑12、C 1‑16、C 1-18、C 1-20、或C 1-24烷基。
「亞烷基」、「亞烯基」、或「亞炔基」分別指烷基、烯基、或炔基基團的多價(例如二價)形式,如本文所述。 示例性的亞烷基包括亞甲基、亞乙基、亞丙基、亞丁基等等。在一些實施例中,所述亞烷基為C 1-3、C 1-6、C 1-12、C 1-16、C 1-18、C 1-20、C 1-24、C 2-3、C 2-6、C 2-12、C 2-16、C 2-18、C 2-20、或C 2-24亞烷基基團。在其他實施例中,所述亞烷基為C 2-3、C 2-6、C 2-12、C 2-16、C 2-18、C 2-20、或C 2-24亞烯基或亞炔基基團。亞烷基、亞烯基、或亞炔基可以是支鏈的或非支鏈的。所述亞烷基、亞烯基、或亞炔基也可以是取代的或未取代的。例如,所述亞烷基、亞烯基、或亞炔基可以用一個或多個取代基團加以取代,如本文針對烷基所述。
「烷基亞磺醯基」是指:如本文所定義的烷基,通過-S(O)-基團連接到母體分子基團。在一些實施例中,未取代的烷基亞磺醯基是C 1-6或C 1-12烷基亞磺醯基。在其他實施例中,烷基亞磺醯基是‑S(O)-R,其中R是烷基,如本文所定義。
「烷基亞磺醯基烷基」是指:由烷基亞磺醯基取代的如此處所定義的烷基。在一些實施例中,未取代的烷基亞磺醯基烷基是C 2‑12或C 2-24烷基亞磺醯基烷基基團(例如,C 1-6烷基亞磺醯基-C 1-6烷基或C 1-12烷基亞磺醯基-C 1-12烷基)。在其他實施例中,烷基亞磺醯基烷基基團是-L-S(O)-R,其中L和R中的每一個獨立地是此處所定義的烷基。
「烷基磺醯基」是指:如本文所定義的烷基,通過-SO 2-基團連接到母體分子基團。在一些實施例中,未取代的烷基磺醯基是C 1-6或C 1-12烷基磺醯基基團。在其他實施例中,烷基磺醯基是‑SO 2-R,其中R是選用性取代的烷基(例如,如本文所述,包括選用性取代的C 1-12烷基、鹵代烷基、或全氟烷基)。
「烷基磺醯基烷基」是指:由烷基磺醯基取代的如本文所定義的烷基。 在一些實施例中,未取代的烷基磺醯基烷基基團是C 2-12或C 2-24烷基磺醯基烷基基團(例如,C 1-6烷基磺醯基- C 1-6烷基或C 1-12烷基磺醯基- C 1-12烷基)。在其他實施例中,烷基磺醯基烷基基團是-L-SO 2-R,其中L和R中的每一個獨立地是如本文所定義的烷基。
「炔基」是指:不飽和單價烴,具有至少兩個碳原子至50個碳原子(C 2-50),諸如2至25個碳原子(C 2-25)或2至10個碳原子(C 2-10),以及至少一個碳-碳三鍵,其中不飽和單價烴可以得自從母炔烴的一個碳原子除去一個氫原子。炔基可以是支鏈的、直鏈的、或環狀的(例如,環炔基)。示例性炔基包括具有一個或多個三鍵之選用性取代的的C 2-24烷基。炔基可以是環狀的或非環狀的,並且例示為乙炔基、1-丙炔基等等。炔基可以是單價的或多價的(例如,二價),藉由去除一個或多個氫以形成與母體分子基團的適當附接或在母體分子基團與另一取代基團之間的適當附接。炔基也可以是取代的或未取代的。 例如,炔基可以用一個或多個取代基團取代,如本文針對烷基所述。
「環境溫度」是指16 ℃至26 ℃的溫度,例如從19 ℃至25 ℃或從20 ℃至25 ℃。
「醯胺基」是指-C(O)NR 1R 2或-NHCOR 1,其中R 1和R 2其中各者獨立地選自本文定義的氫、脂肪族、雜脂肪族、芳香族,或其任何組合,或者其中R 1和R 2與各自連接的氮原子一起可以形成雜環基, 如本文所定義。
「胺基」是指-NR 1R 2,其中R 1和R 2其中各者獨立地選自氫、選用性取代的脂肪族、選用性取代的雜脂肪族、選用性取代的芳香族、選用性取代的雜芳族、選用性取代的矽基、或選用性取代的矽氧基,如本文所定義,或其任意組合;或者其中R 1和R 2,,與各自連接的氮原子一起,可以形成雜環基,如本文所定義。在特定實施例中,R 1和R 2其中各者獨立地為H、選用性取代的烷基、選用性取代的烷氧基、選用性取代的芳基、選用性取代的芳氧基、選用性取代的烷基芳基、選用性取代的芳基烷基、選用性取代的矽基、或選用性取代的矽氧基。在特定實施例中,R 1和R 2可以與各自連接的氮原子一起,形成選用性取代的雜環基。
「胺基烷基」是指:由本文定義的胺基取代的如本文所定義的烷基。 在一些實施例中,胺基烷基是-L-NR 1R 2,其中L是本文所定義的烷基,並且R 1和R 2其中各者獨立地選自本文定義的氫、脂肪族、雜脂肪族、或芳香族,或其任意組合;或者R 1和R 2,與各自連接的氮原子一起,可以形成雜環基,如本文所定義。在其他實施例中,胺基烷基是-L-C(NR 1R 2)(R 3)-R 4,其中L是共價鍵或烷基,如本文所定義;R 1和R 2其中各者獨立地選自本文定義的氫、脂肪族、雜脂族、或芳香族,或其任意組合;或者R 1和R 2,與各自連接的氮原子一起,可以形成雜環基,如本文所定義;且R 3和R 4其中各者獨立地是H或本文所定義的烷基。
「胺氧基」是指:由本文定義的胺基取代的如本文所定義的氧基團。在一些實施例中,胺氧基是-O-NR 1R 2,其中R 1和R 2其中各者獨立地選自氫、選用性取代的脂肪族、選用性取代的雜脂肪族、選用性取代的芳香族、選用性取代的雜芳族、選用性取代的矽基、或選用性取代的矽氧基,如本文所定義,或其任意組合;或者R 1和R 2,與各自連接的氮原子一起,可以形成雜環基,如本文所定義。在特定實施例中,R 1和R 2其中各者獨立地為H、選用性取代的烷基、選用性取代的烷氧基、選用性取代的芳基、選用性取代的芳氧基、選用性取代的烷基芳基、選用性取代的芳基烷基、選用性取代的矽基、或選用性取代的矽氧基。
「芳族基」是指:5至15個環原子(除非另有說明)的環狀綴合基團或部分體,具有單一環(例如苯基),或者多個縮合環,其中至少一個環是芳香族的(例如,萘基、吲哚基、或吡唑並吡啶基);也就是說,至少一個環,以及選用性多個縮合環,具有連續的非定域π-電子系統。 通常,面外π-電子的數量對應於Huckel定則(4n+2)。與母體結構的附接點通常是通過縮合環系統的芳香族部分。芳族基團是未取代的或由例如本文描述的官能基所取代的。例如,芳族基團可以由一個或多個取代基團加以取代,如本文針對烷基和/或芳基所述。
「芳香羰基」是指:芳族基團,其係或可與本文揭露的化合物偶聯,其中芳族基團係或變成通過羰基(-C(O)-)偶聯。 在一些實施例中,芳香羰基是‑C(O)-R,其中R是選用性取代的芳族基團,如本文所定義。
「芳香羰氧基」是指:芳族基團,其係或可與本文揭露的化合物偶聯,其中芳族基團係或變成通過羰氧基(-OC(O)-) 偶聯。 在一些實施例中,芳香羰氧基是‑OC(O)-R,其中R是選用性取代的芳族基團,如本文所定義。
「芳香氧基」是指:芳族基團,其係或可與本文揭露的化合物偶聯,其中芳族基團係或變成通過氧基團(‑O-)偶聯。在一些實施例中,芳氧基團是‑O-R,其中R是選用性取代的芳族基團,如本文所定義。
「芳香氧羰基」是指:芳族基團,係或可與本文揭露的化合物偶聯,其中芳族基團係或變成通過氧羰基(-C(O)O-)偶聯。在一些實施例中,芳香氧羰基是‑C(O)O-R,其中R是選用性取代的芳族基團,如本文所定義。
「芳基」是指:芳族碳環基團,包含至少5個碳原子至15個碳原子(C 5-15),例如5至10個碳原子(C 5-10),具有單個環或多個縮合環,其縮合環可以是芳香族的,也可以不是芳香族,前提是對此處所揭露的化合物的其餘位置的附接點是通過芳族碳環基團的一原子。 芳基可以用氫以外的一個或多個基團取代,例如脂肪族、雜脂族、芳族、其他官能基團,或其任意組合。示例性芳基包括但不限於苄基、萘、苯基、聯苯基、苯氧基苯等等。術語芳基還包括雜芳基,其定義為含有芳族基團的一基團,其具有至少一個雜原子摻入在芳族基團的環之內。 雜原子的例子包括但不限於氮、氧、硫、及磷。同樣的,術語非雜芳基(也包含在術語芳基中)定義了包含不含雜原子的芳族基團的一基團。芳基可以是取代的或未取代的。芳基可以用一個、兩個、三個、四個、或五個取代基加以取代,這些取代基獨立地選自以下組成的群組:(1)C 1‑6烷醯基(例如,-C(O)-R,其中R是C 1-6烷基 );(2)C 1‑6烷基;(3)C 1‑6烷氧基(例如-O-R,其中R為C 1-6烷基);(4)C 1‑6烷氧基-C 1‑6烷基(例如,-L-O-R,其中L和R各自獨立地是C 1-6烷基);(5) C 1‑6烷基亞磺醯基(例如,-S(O)-R,其中R為C 1-6烷基);(6)C 1‑6烷基亞磺醯基- C 1‑6烷基(例如,-L-S(O)-R,其中L和R各自獨立地是C 1-6烷基);(7)C 1‑6烷基磺醯基(例如,-SO 2-R,其中R為C 1-6烷基);(8)C 1‑6烷基磺醯基- C 1‑6烷基(例如,-L-SO 2-R,其中L和R各自獨立地是C 1-6烷基);(9)芳基;(10)胺基(例如,-NR 1R 2,其中R 1和R 2其中各者獨立地選自氫、脂肪族、雜脂族、鹵代脂肪族、鹵代異脂肪族、芳香族,如本文所定義,或其任意組合;或者R 1和R 2,與各自連接的氮原子一起,可以形成雜環基,如本文所定義);(11)C 1‑6胺基烷基(例如,-L 1-NR 1R 2或 -L 2-C(NR 1R 2)(R 3)-R 4,其中L 1是C 1-6烷基;L 2是共價鍵或C 1-6烷基;R 1和R 2其中各者獨立地選自氫、脂肪族、雜脂族、鹵代脂肪族、鹵代異脂肪族、芳香族,如此處所定義的,或其任意組合;或者R 1和R 2,與各自連接的氮原子一起,可以形成雜環基,如本文所定義;且R 3和R 4其中各者獨立地是H或C 1-6烷基);(12)雜芳基;(13) C 4‑18芳基-C 1‑6烷基(例如,-L-R,其中L是C 1-6烷基,且R是C 4-18芳基);(14)芳醯基(例如,-C(O)-R,其中R是芳基);(15)疊氮基(例如,-N 3);(16)氰基(如-CN);(17) C 1‑6疊氮烷基(例如,-L-N 3,其中L為C 1-6烷基);(18)醛基(如,‑C(O)H);(19)醛基- C 1‑6烷基(例如,-L-C(O)H,其中L為C 1-6烷基 );(20) C 3‑8環烷基; (21)C 3‑8環烷基- C 1‑6烷基(例如,-L-R,其中L是C 1-6烷基,且R是C 3-8環烷基);(22)鹵基;(23) C 1‑6鹵代烷基(例如,-L 1-X或-L 2-C(X)(R 1)-R 2,其中L 1是C 1-6烷基;L 2是共價鍵或C 1-6烷基;X是氟、溴、氯、或碘;且R 1和R 2其中各者獨立地是H或C 1-6烷基);(24)雜環基(例如,如此處所定義,例如含有一個、兩個、三個、或四個非碳雜原子的5元、6元或7元環);(25)雜環氧基(例如,-O-R,其中R是雜環基,如本文所定義);(26)雜環醯基(例如,-C(O)-R,其中R是本文所定義的雜環基);(27)羥基(-OH);(28)C 1‑6羥基烷基(例如,-L 1-OH或-L 2-C(OH)(R 1)-R 2,其中L 1是C 1-6烷基;L 2是 共價鍵或烷基;且R 1和R 2其中各者獨立地是H或C 1-6烷基,如本文所定義);(29)硝基;(30) C 1‑6硝基烷基(例如,-L 1-NO或-L 2-C(NO)(R 1)-R 2,其中L 1是C 1-6烷基;L 2是共價鍵或烷基;且R 1和R 2其中各者獨立地是H或C 1-6烷基,如本文所定義);(31) N-保護的胺基;(32) N-保護的胺基-C 1‑6烷基;(33)側氧基(例如,=O);(34)C 1‑6硫代烷基(例如,-S-R,其中R為C 1-6烷基);(35)硫基-C 1‑6烷氧基- C 1‑6烷基 (例如,-L-S-R,其中L和R各自獨立地是C 1-6烷基);(36)-(CH 2) rCO 2R 1,其中r是從0到4的整數,且R 1選自由(a)氫、(b)C 1‑6烷基、(c)C 4-18芳基、及(d)C 4‑18芳基- C 1‑6烷基所組成的群組(例如,-L-R,其中L是 C 1-6烷基,且R是C 4-18芳基);(37)‑(CH 2) rCONR 1R 2,其中r是從 0 到 4 的整數,且其中R 1和R 2其中各者獨立地選自由(a) 氫、(b) C 1‑6烷基、(c)C 4‑18芳基、及(d)C 4-18芳基- C 1‑6烷基所組成的群組(例如,-L-R,其中L是C 1-6烷基,且R是C 4-18芳基);(38) ‑(CH 2) rSO 2R 1,其中r是從0到4的整數,其中R 1選自由(a)C 1‑6烷基、(b) C 4-18芳基、及(c) C 4-18芳基- C 1‑6烷基所組成的群組(例如,-L-R,其中L是C 1-6烷基,且R 是C 4-18芳基);(39)-(CH 2) rSO 2NR 1R 2,其中 r是從 0 到 4 的整數,且其中R 1和R 2其中各者獨立地選自由(a)氫、(b)C 1‑6烷基、(c)C 4-18芳基、及(d) C 4‑18芳基- C 1‑6烷基所組成的群組 (例如,-L-R,其中L是C 1-6烷基,且R是C 4-18芳基);(40)-(CH 2) rNR 1R 2,其中 r是從0到4的整數,且其中R 1和R 2其中各者獨立地選自由(a)氫、(b) N-保護基團、(c)C 1‑6烷基、(d)C 2-6烯基、(e) C 2-6炔基、(f)C 4‑18芳基、(g) C 4-18芳基- C 1‑6烷基所組成的群組(例如,-L-R,其中 L 是C 1-6烷基,且R是C 4-18芳基)、(h)C 3-8環烷基、及(i) C 3-8環烷基- C 1‑6烷基(例如,-L-R,其中 L 是C 1-6烷基,且R是C 3-8環烷基),其中在一個實施例中,沒有兩個基團係通過一羰基或一磺醯基而與氮原子結合;(41)硫醇基(例如,-SH);(42) 全氟烷基(例如,-(CF 2) nCF 3,其中n是0至10的整數);(43) 全氟烷氧基(例如,-O-(CF 2) nCF 3,其中n是0至10之間的整數);(44)芳氧基(例如,-O-R,其中R是芳基);(45)環烷氧基(例如,-O-R,其中R是環烷基);(46)環烷基烷氧基(例如,-O-L-R,其中L是烷基,且R是環烷基);及(47)芳基烷氧基(例如,-O-L-R,其中L是烷基,且R是芳基)。在特定實施例中,未取代的芳基是C 4-18、C 4-14、C 4-12、C 4-10、C 6-18、C 6-14、C 6-12、或C 6-10芳基基團。
「芳基烷基」、「芳基烯基」、及「芳基炔基」是指:如本文所定義的芳基,係或可分別通過如本文所定義的烷基、烯基、或炔基而偶聯(或附接)到母體分子基團。芳基烷基、芳基烯基、及/或芳基炔基可以是取代的或未取代的。例如,芳基烷基、芳基烯基、及/或芳基炔基可以用一個或多個取代基團取代,如本文針對芳基和/或烷基所述。示例性的未取代芳基烷基具有7到16個碳(C 7-16芳基烷基),以及具有帶4到18個碳的芳基和帶1到6個碳的烷基的那些(即,C 4-18芳基-C 1-6烷基)。示例性的未取代芳基烯基具有7到16個碳(C 7-16芳基烯基),以及具有帶4到18個碳的芳基和帶2到6個碳的烯基的那些(即,C 4-18芳基- C 2-6烯基)。示例性的未取代芳基炔基具有7到16個碳(C 7-16芳基炔基),以及具有帶4到18個碳的芳基和帶2到6個碳的炔基的那些(即,C 4-18芳基- C 2-6炔基)。在一些實施例中,芳基烷基是-L-R,其中L是本文定義的烷基或亞烷基,而R是本文定義的芳基。在一些實施例中,芳基烯基是-L-R,其中L是本文所定義的烯基或亞烯基,而R是本文所定義的芳基。在一些實施例中,芳基炔基是-L-R,其中L是炔基或亞炔基,如本文所定義,而R是本文所定義的芳基。
「亞芳基」是指:多價(例如,二價)形式的芳基,如本文所述。示例性亞芳基包括亞苯基、亞萘基、亞聯苯基、聯伸三苯、二苯基醚、  伸二氫苊基、   伸蒽基、或伸菲基。 在一些實施例中,亞芳基是C 4-18、C 4-14、C 4-12、C 4-10、C 6-18、C 6-14、C 6-12、或C 6-10亞芳基。 亞芳基可以是支鏈的或非支鏈的。亞芳基也可以是取代的或未取代的。例如,亞芳基可以用一個或多個取代基團取代,如本文針對芳基所述。
「芳基烷氧基」是指:如本文所定義的芳基烷基,通過氧原子連接到母體分子基團。在一些實施例中,芳基烷氧基是-O-L-R,其中L是本文所定義的烷基,而R是本文所定義的芳基。
「芳氧基」是指-OR,其中R是選用性取代的芳基,如本文所述。 在一些實施例中,未取代的芳氧基是C 4-18或C 6-18芳氧基。在其他實施例中,R是選用性地由烷基、烷醯基、胺基、羥基等等取代的芳基。
「芳氧基羰基」是指:本文所定義的芳氧基,其通過羰基連接到母體分子基團。在一些實施例中,未取代的芳氧基羰基是C 5-19芳氧基羰基。在其他實施例中,芳氧羰基是-C(O)O-R,其中R是本文所定義的芳基。
「芳醯基」是指:通過羰基連接到母體分子基團的芳基。在一些實施例中,未取代的芳醯基是C 7-11芳醯基或C 5-19芳醯基。在其他實施例中,芳醯基是-C(O)-R,其中R是本文所定義的芳基。
「芳醯氧基」是指:如本文所定義的芳基,其通過氧基連接到母體分子基團。在一些實施例中,未取代的芳醯氧基是C 5-19芳醯氧基。在其他實施例中,芳醯氧基是‑OC(O)-R,其中R是本文所定義的芳基。
「疊氮基」是指-N 3基團。
「疊氮烷基」是指:通過如本文所定義的烷基連接到母體分子基團的疊氮基。在一些實施例中,疊氮烷基是-L-N 3,其中L是烷基,如本文所定義。
「偶氮基」是指-N=N-基團。
「胺甲醯基」是指:通過如本文所定義的羰基連接到母體分子基團的胺基。在一些實施例中,胺甲醯基是‑C(O)NR 1R 2基團,其中R 1和R 2其中各者獨立地選自氫、選用性取代的脂肪族、選用性取代的雜脂族、選用性取代的芳族、選用性取代的雜芳族、選用性取代的矽基、或選用性取代的矽氧基,如本文所定義,或其任意組合;或其中R 1和R 2,與各自附接的氮原子一起,可以形成雜環基,如本文所定義。
「胺甲醯氧基」是指:如本文所定義的胺甲醯基,通過本文定義的正氧基連接到母體分子基團上。在一些實施例中,胺甲醯氧基是‑OC(O)NR 1R 2基團,其中R 1和R 2其中各者獨立地選自氫、選用性取代的脂肪族、選用性取代的雜脂族、選用性取代的芳族、選用性取代的雜芳族、選用性取代的矽基、或選用性取代的矽氧基,如本文所定義,或其任意組合;或其中R 1和R 2,與各自附接的氮原子一起,可以形成雜環基,如本文所定義。
「伸亞胺醯基」是指-C(NR)-基團。在一些實施例中,R選自氫、選用性取代的脂肪族、選用性取代的雜脂族、選用性取代的芳族、選用性取代的雜芳族、選用性取代的矽基、選用性取代的烷基、選用性取代的芳基、選用性取代的烷基芳基、或選用性取代的芳基烷基、選用性取代的矽氧基,如本文所定義,或其任意組合。
「羰基」是指-C(O)-基團,也可以表示為>C=O。
「羧基」是指‑CO 2H基團或其陰離子。
「催化劑」是指化合物,通常相對於反應物以少量存在,能夠催化合成反應,如所屬技術領域具有通常知識者容易理解的。 在一些實施例中,催化劑可包括過渡金屬配位錯合物。
「氰氧基」是指-OCN基團。
「氰基」是指-CN基團。
「脂環族」是指呈環狀的本文所定義的脂肪族基團。
「環烷氧基」是指:環烷基,如本文所定義,通過氧原子連接到母體分子基團上。在一些實施例中,環烷氧基是‑O-R,其中R是環烷基,如本文所定義。
「環烷基烷氧基」是指‑O-L-R基團,其中L是本文定義的烷基或亞烷基,而R是本文所定義的環烷基。
「環烷基」是指:三至八個碳的單價飽和或不飽和非芳族環狀烴基基團,除非另有說明,並且舉例說明為環丙基、環丁基、環戊基、環己基、環庚基、雙環[2.2.1.庚基]等等。環烷基也可以是取代的或未取代的。例如,環烷基可以由一個或多個基團取代,包括本文就烷基描述的那些。此外,環烷基可包括一個或多個雙鍵和/或三鍵。
「環雜脂肪族」是指呈環狀的本文所定義的雜脂族基團。
「二矽烷基」是指含有Si-Si鍵的基團。在一些實施例中,二矽烷基是-SiR S1R S2-SiR S3R S4R S5或-SiR S1R S2-SiR S3R S4-基團,其中R S1、R S2、R S3、R S4、及R S5各者獨立地是H、選用性取代的脂肪族、選用性取代的雜脂族、選用性取代的芳族、選用性取代的雜芳族、或選用性取代的胺基。
「二硫基」是指-SSR,其中R選自氫、脂肪族、雜脂族、鹵代脂肪族、鹵代異脂肪族、芳族,如本文所定義的,或其任意組合。
「給電子基團」是指能夠將其至少一部分的其電子密度貢獻到其直接連接的環的官能基,例如通過共振。
「拉電子基團」是指能夠接受來自其直接連接的環的電子密度的官能基,例如通過感應電子抽拉。
「鹵基」是指F、Cl、Br、或I。
「鹵代脂肪基」是指如本文所定義的脂肪族基團,其中一個或多個氫原子,例如1至10個氫原子,獨立地被鹵素原子(例如氟、溴、氯、或碘)取代。
「鹵代烷基」是指如本文所定義的烷基,其中一個或多個氫原子,例如1至10個氫原子,獨立地被鹵素原子(例如氟、溴、氯、或碘)取代。在獨立的實施例中,鹵代烷基可以是-CX基團,其中各個X可獨立地選自氟、溴、氯、或碘。在一些實施例中,鹵代烷基是-L-X,其中L是本文所定義的烷基,並且X是氟、溴、氯或碘。在其他實施例中,鹵代烷基為-L-C(X)(R 1)-R 2,其中L是共價鍵或烷基,如本文所定義;X 是氟、溴、氯或碘;且R 1和R 2其中各者獨立地是H或本文所定義的烷基。
「鹵代異脂肪基」是指本文所定義的雜脂族基,其中一個或多個氫原子,例如1至10個氫原子,獨立地被鹵素原子(例如氟、溴、氯或碘)取代。
「雜脂族基」是指本文所定義的脂肪族基團,在該基團內包括至少一個至20個雜原子,例如1至15個雜原子,或者1至5個雜原子,其選自但不限於氧、氮、硫、矽、硼、硒、磷、及其氧化形式。雜脂族基團是未取代的或由例如本文描述的官能基所取代的。例如,雜脂族基團可以用一個或多個取代基團取代,如本文就烷基所述。
「雜脂族羰基」是指:雜脂族基團,係或可與本文公開的化合物偶聯,其中雜脂族基團係或變成通過羰基(-C(O)-)偶聯。在一些實施例中,雜脂族羰基是‑C(O)-R,其中R是選用性取代的雜脂族基團,如本文所定義。
「雜脂族羰氧基」是指:雜脂族基團,係或可與本文公開的化合物偶聯,其中該雜脂族基團係或變成通過羰氧基(-OC(O)-)偶聯。在一些實施例中,雜脂族羰氧基是‑OC(O)-R,其中R是選用性取代的雜脂族基團,如本文所定義。
「雜脂族氧基」是指:雜脂族基團,係或可與本文公開的化合物偶聯,其中雜脂族基團係或變成通過氧基團(‑O-)偶聯。 在一些實施例中,雜脂族氧基是‑O-R,其中R是選用性取代的雜脂族基團,如本文所定義。
「雜脂族氧羰基」是指:雜脂族基團,係或可與本文公開的化合物偶聯,其中雜脂族基團係或變成通過氧羰基(‑C(O)O-)偶聯。在一些實施例中,雜脂族氧羰基是‑C(O)O-R,其中R是選用性取代的雜脂族基團,如本文所定義。
「雜烷基」、「雜烯基」、及「雜炔基」分別指本文所定義的烷基、烯基或炔基(可以是支鏈的、直鏈的或環狀的),在基團內包括至少一個至20個雜原子,例如1至15個雜原子,或1至5個雜原子,其選自但不限於氧、氮、硫、矽、 硼、硒、磷、及其氧化形式。
「雜亞烷基」、「雜亞烯基」、及「雜亞炔基」分別指如本文所述雜烷基、雜烯基、或雜炔基的多價(例如二價)形式。
「雜芳族基」是指:本文所定義的芳族基團,在基團內包括至少一個至20個雜原子,例如1至15個雜原子,或1至5個雜原子,其可選自但不限於氧、氮、硫、矽、硼、硒、磷及其氧化形式。雜芳族基團是未取代的或被例如本文描述的官能基所取代的。例如,雜芳族基團可以用一個或多個取代基團取代,如本文就烷基和/或芳基所述。
「雜芳香羰基」是指:雜芳族基,係或可與本文公開的化合物偶聯,其中雜芳族基係或變成通過羰基(-C(O)-)偶聯。在一些實施例中,雜芳香羰基是‑C(O)-R,其中R是選用性取代的雜芳族基,如本文所定義。
「雜芳香羰氧基」是指:雜芳族基,係或可與本文公開的化合物偶聯,其中雜芳族基團係或變成通過羰氧基(-OC(O)-)偶聯。在一些實施例中,雜芳香羰氧基是‑OC(O)-R,其中R是選用性取代的雜芳族基團,如本文所定義。
「雜芳香氧基」是指:雜芳族基,係或可與本文公開的化合物偶聯,其中雜芳族基團係或變成通過氧基團(O-)偶聯。在一些實施例中,雜芳香氧基是‑O-R,,其中R是選用性取代的雜芳族基團,如本文所定義。
「雜芳香氧羰基」是指:雜芳族基團,係或可與本文公開的化合物偶聯,其中雜芳族基團係或變成通過氧羰基(-C(O)O-)偶聯。 在一些實施例中,雜芳香氧羰基是‑C(O)O-R,其中R是選用性取代的雜芳基,如本文所定義。
「雜芳基」是指:芳基,在環之中包括至少一個雜原子至六個雜原子,例如一至四個雜原子,其可選自但不限於氧、氮、硫、矽、硼、硒、磷及其氧化形式。這種雜芳基可以具有單個環或多個縮合環,其中縮合環可以是芳族的,也可能不是芳族的,以及/或含有雜原子,前提是附接點是通過芳族雜芳基的一原子。雜芳基可以用氫以外的一個或多個基團取代,例如脂肪族、雜脂族、芳族、其他官能基,或其任意組合。示例性雜芳基包括如本文所定義的雜環基的子集,它們是芳族的,即它們在單環或多環的環系統內含有4n+2 pi個電子。
「雜亞芳基」是指多價(例如,二價)形式的雜芳基,如本文所述。
「雜原子」是指碳以外的原子,如氧、氮、硫、矽、硼、硒或磷。在特定揭露的實施例,例如當價限制條件不允許時,雜原子不包括鹵素原子。
「雜環基」是指5元、6元或7元環,除非另有說明,含有一個、兩個、三個或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫或鹵素所組成的群組)。5元環有0到兩個雙鍵,且6元和7元環有0到三個雙鍵。術語「雜環基」還包括:雙環、三環、及四環基團,其中上述雜環的環之任一者係稠合至一個、兩個或三個環,其獨立地選自由以下組成的群組:芳基環、環己烷環、環己烯環、環戊烷環、環戊烯環,以及另一個單環雜環的環,例如吲哚基、喹啉基、異喹啉基、四氫喹啉基、苯並呋喃基、苯並噻吩基等等。雜環化合物包括噻喃基、噻丁環基(thietanyl)、四氫噻吩基、噻吩基、硫雜環庚基(thiepanyl)、氮雜環丁烷基、吡咯烷基、哌啶基、氮雜環庚基(azepanyl)、吡咯基、吡咯啉基、吡唑基、吡唑啉基、吡唑烷基、咪唑基、咪唑啉基、咪唑烷基、吡啶基、高哌啶基(homopiperidinyl)、吡嗪基、哌嗪基、嘧啶基、噠嗪基、噁唑基、噁唑烷基、噁唑烷酮基、異噁唑基、異噁唑烷基、嗎啉基、硫代嗎啉基、噻唑基、噻唑烷基、異噻唑基、異噻唑烷基、吲哚基、喹啉基、異喹啉基、苯並咪唑基、苯甲醚咪唑基、苯並噁唑基、呋喃基、噻吩基、噻唑烷基、異噻唑基、 異吲唑基、三唑基、四唑基、惡二唑基、尿嘧啶基、噻二唑基、嘧啶基、四氫呋喃基、二氫呋喃基、二氫噻吩基、二氫吲哚基、四氫喹啉基、四氫異喹啉基、吡喃基、二氫吡喃基、四氫吡喃基、二噻唑基、二噁烷基、二噁英基、二噻吩基、三噻吩基,惡嗪基、噻嗪基、氧硫烷基(oxothiolanyl)、三嗪基、苯並呋喃基、苯並噻吩基等等。
「雜環氧基」是指:雜環基,如本文所定義,經由氧原子連接到母體分子基團。在一些實施例中,雜環氧基是-O-R,其中R是雜環基,如本文所定義。
「雜環醯基」是指:雜環基,如本文所定義,通過羰基連接到母體分子基團。在一些實施例中,雜環醯基是-C(O)-R,其中R是雜環基,如本文所定義。
「肼基」是指-NR 1-NR 2R 3,其中R 1、R 2、及R 3其中各者獨立地選自氫、選用性取代的脂肪族、選用性取代的雜脂族、選用性取代的芳族、選用性取代的雜芳族、選用性取代的矽基、或選用性取代的矽氧基,如本文所定義,或其任意組合;或者其中R 1與R 2的組合或R 2與R 3的組合,與各自連接的氮原子一起,可以形成雜環基,如本文所定義。在一些實施例中,R 1、R 2、或R 3其中各者獨立地為H、選用性取代的烷基、選用性取代的芳基、選用性取代的烷基芳基、或選用性取代的芳基烷基。在特定實施例中,R 2和R 3可與各自連接的氮原子一起,形成選用性取代的雜環基。
「羥基」的意思是-OH。
「羥基烷基」是指:如本文所定義的烷基,由一至三個羥基取代,條件是不超過一個羥基可以連接到該烷基的單個碳原子上,並且例子是羥甲基、二羥丙基等等。在一些實施例中,所述羥基烷基是-L-OH,其中L是本文所定義的烷基。在其他實施例中,羥基烷基基團是‑L-C(OH)(R 1)-R 2,其中L是共價鍵或烷基,如本文所定義,並且R 1及R 2其中各者獨立地是H或本文定義的烷基。
「亞胺醯基」是指:包括伸亞胺醯基的部分體。在一些實施例中,亞胺醯基是C(NR 1)R 2,其中R 1及R 2其中各者獨立地選自氫、選用性取代的脂肪族、選用性取代的雜脂族、選用性取代的芳族、選用性取代的雜芳族、選用性取代的矽基、選用性取代的烷基、選用性取代的芳基、選用性取代的烷基芳基、或選用性取代的芳基烷基、選用性取代的矽氧基,如本文所述,或其任意組合。在其他實施例中,所述亞胺醯基為-C(NR 1)H, ‑C(NR 1)R Ak或‑C(NR N1)R Ar,其中R 1為氫、選用性取代的脂肪族、選用性取代的雜脂族、選用性取代的芳族、選用性取代的雜芳族、選用性取代的矽基、選用性取代的烷基、選用性取代的芳基、選用性取代的烷基芳基、或選用性取代的芳基烷基、或選用性取代的矽氧基; R Ak是選用性取代的烷基或選用性取代的脂肪族;而R Ar是選用性取代的芳基或選用性取代的芳族。
「亞胺基」的意思是-NR-基團。在一些實施例中,R選自氫、選用性取代的脂肪族、選用性取代的雜脂族、選用性取代的芳族、或選用性取代的雜芳族。在特定實施例中,R為H、選用性取代的烷基、選用性取代的烷氧基、選用性取代的芳基、選用性取代的芳氧基、選用性取代的烷基芳基、或選用性取代的芳基烷基。
「異氰酸基」意指-NCO基團。
「異氰基」意指-NC基團。
「酮基 (ketone)」意指-C(O)R或包含此等基團之化合物,其中R選自如本文所定義之脂肪族、雜脂肪族、芳香族、或其任何組合。酮之示例可包括R 1C(O)R,其中R與R 1各自獨立地選自如本文所定義之脂肪族、鹵代脂肪族、鹵代雜脂肪族、雜脂肪族、芳香族、脂肪族-芳香族、雜脂肪族-芳香族、或任何其組合。
「硝基」意指-NO 2基團。
「硝烷基(nitroalkyl)」意指經一至三個硝基取代之如本文所定義的烷基。在一些實施例中,硝烷基為-L-NO,其中L為如本文所定義之烷基。在其他實施例中,硝烷基為-L-C(NO)(R 1)-R 2,其中L為如本文所定義之共價鍵或烷基,而R 1和R 2其中各者獨立地為H或如本文所定義之烷基。
「側氧基」意指=O基團。
「氧基」意指-O-。
「全氟烷基」意指每一氫原子被氟原子取代之如本文所定義的烷基。示例性全氟烷基包括三氟甲基、五氟乙基等等。在一些實施例中,全氟烷基為‑(CF 2) nCF 3,其中n為0至10的整數。
「全氟烷氧基」意指每一氫原子被氟原子取代之如本文所定義的烷氧基。在一些實施例中,全氟烷氧基為-O-R,其中R為如本文所定義之全氟烷基。
「鹽」意指化合物或結構之離子形式(例如,本文所述之任何式、化合物或組成物),其包括陽離子或陰離子化合物以形成電中性化合物或結構。鹽為本領域眾所周知。例如,無毒鹽描述於Berge S. M. 等人之「Pharmaceutical salts」J. Pharm. Sci.1977年1月;66(1):1-19;及「Handbook of Pharmaceutical Salts: Properties, Selection, and Use」Wiley-VCH, 2011年4月(第2修訂版編輯 P. H. Stahl 及C. G. Wermuth)中。鹽可在本發明化合物之最終分離及純化期間原位製備,或者透過游離鹼基團與合適的有機酸反應(因而產生陰離子鹽)或透過酸基團與合適的金屬或有機鹽反應(因而產生陽離子鹽)來分開製備。代表性之陰離子鹽包括醋酸鹽(acetate)、己二酸鹽(adipate)、海藻酸鹽(alginate)、抗壞血酸鹽(ascorbate)、天冬胺酸鹽(aspartate)、苯磺酸鹽(benzenesulfonate)、苯甲酸鹽(benzoate)、碳酸氫鹽(bicarbonate)、硫酸氫鹽(bisulfate)、酒石酸氫鹽(bitartrate)、硼酸鹽(borate)、溴化物(bromide)、丁酸鹽(butyrate)、樟腦酸鹽(camphorate)、樟腦磺酸鹽(camphorsulfonate)、氯化物(chloride)、檸檬酸鹽(citrate)、環戊丙酸鹽(cyclopentanepropionate)、二葡糖酸鹽鹽(digluconate)、二鹽酸鹽(dihydrochloride)、二磷酸鹽(diphosphate)、十二烷基硫酸鹽(dodecylsulfate)、依地酸鹽(edetate)、乙磺酸鹽(ethanesulfonate)、富馬酸鹽(fumarate)、葡萄糖庚酸鹽(glucoheptonate)、葡萄糖酸鹽(gluconate)、麩胺酸鹽(glutamate)、甘油磷酸鹽(glycerophosphate)、半硫酸鹽(hemisulfate)、庚酸鹽(heptonate)、己酸鹽(hexanoate)、溴化氫鹽(hydrobromide)、氯化氫鹽(hydrochloride)、碘化氫鹽(hydroiodide)、羥基乙磺酸鹽(hydroxyethanesulfonate)、羥基萘甲酸鹽(hydroxynaphthoate)、碘化物(iodide)、乳酸鹽(lactate)、乳糖酸鹽(lactobionate)、月桂酸鹽(laurate)、月桂基硫酸鹽(lauryl sulfate)、 蘋果酸鹽(malate)、順丁烯二酸鹽(maleate)、丙二酸鹽(malonate)、扁桃酸鹽(mandelate)、甲烷磺酸鹽(mesylate)、甲磺酸鹽(methanesulfonate)、甲基溴化物(methylbromide)、甲基硝酸鹽(methylnitrate)、甲基硫酸鹽(methylsulfate)、黏液酸鹽(mucate)、2-萘磺酸鹽(2-naphthalenesulfonate)、菸酸鹽(nicotinate)、硝酸鹽(nitrate)、油酸鹽(oleate)、草酸鹽(oxalate)、棕櫚酸鹽(palmitate)、雙羥萘酸鹽(pamoate)、果膠酯酸鹽(pectinate)、過硫酸鹽(persulfate)、3-苯丙酸鹽(3-phenylpropionate)、磷酸鹽(phosphate)、苦酸鹽(picrate)、新戊酸鹽(pivalate)、聚半乳糖醛酸鹽(polygalacturonate)​、丙酸鹽(propionate)、水楊酸鹽(salicylate)、硬脂酸鹽(stearate)、次醋酸鹽(subacetate)、琥珀酸鹽(succinate)、硫酸鹽(sulfate)、單寧酸鹽(tannate)、酒石酸鹽(tartrate)、茶鹼酸鹽(theophyllinate)、硫氰酸鹽(thiocyanate)、三乙基碘化物(triethiodide)、甲苯磺酸鹽(toluenesulfonate)、十一酸鹽(undecanoate)、戊酸鹽(valerate salts)及類似者。代表性之陽離子鹽包括金屬鹽,例如鹼金屬鹽或鹼土金屬鹽,如鋇、鈣(例如依地酸鈣)、鋰、鎂、鉀、鈉及類似者;其他金屬鹽,如鋁、鉍、鐵及鋅;以及無毒的銨鹽、季銨鹽及胺陽離子,包括但不限於銨、四甲基銨、四乙基銨、甲胺、二甲胺、三甲胺、三乙胺、乙胺、吡啶鎓(pyridinium)及類似者。其他陽離子鹽包括有機鹽,例如氯普魯卡因(chloroprocaine)、膽鹼(choline)、二芐基乙二胺、二乙醇胺、乙二胺、甲基葡萄糖胺及普魯卡因(procaine)。另其他鹽包括銨、鋶(sulfonium)、氧化鋶(sulfoxonium)、磷鎓(phosphonium)、​亞胺鎓(iminium)、咪唑鎓(imidazolium)、苯並咪唑鎓(benzimidazolium)、脒鎓(amidinium)、胍鎓(guanidinium)、磷酸鎓(phosphazinium)、磷腈鎓(phosphazenium)、吡啶鎓(pyridinium)等等,以及本文所述之其他陽離子基團(例如,選用性取代之異噁唑鎓(isoxazolium)、選用性取代之噁唑鎓(oxazolium)、選用性取代之噻唑鎓(thiazolium)、選用性取代之吡咯鎓(pyrrolium)、選用性取代之呋喃鎓(furanium)、選用性取代之噻吩鎓(thiophenium)、選用性取代之咪唑鎓(imidazolium)、選用性取代之吡唑鎓(pyrazolium)、選用性取代之異噻唑鎓(isothiazolium)、選用性取代之三唑鎓(triazolium)、選用性取代之四唑鎓(tetrazolium)、選用性取代之呋喃唑鎓(furazanium)、選用性取代之吡啶鎓(pyridinium),選用性取代之嘧啶鎓(pyrimidinium)、選用性取代之吡嗪鎓(pyrazinium)、選用性取代之三嗪鎓(triazinium)、選用性取代之四嗪鎓(tetrazinium)、選用性取代之噠嗪鎓(pyridazinium)、選用性取代之噁嗪鎓(oxazinium)、選用性取代之吡咯啶鎓(pyrrolidinium)、選用性取代之吡唑啶鎓(pyrazolidinium)、選用性取代之咪唑啉鎓(imidazolinium)、選用性取代之異噁唑啶鎓(isoxazolidinium)、選用性取代之噁唑啶鎓(oxazolidinium)、選用性取代之哌嗪鎓(piperazinium)、選用性取代之哌啶鎓(piperidinium)、選用性取代之嗎啉鎓(morpholinium)、選用性取代之氮雜環庚烷鎓(azepanium)、選用性取代之氮雜庚因鎓(azepinium)、選用性取代之吲哚鎓(indolium)、選用性取代之異吲哚鎓(isoindolium)、選用性取代之吲哚嗪鎓(indolizinium)、選用性取代之吲唑鎓(indazolium)、選用性取代之苯並咪唑鎓(benzimidazolium)、選用性取代之異喹啉鎓(isoquinolinum)、選用性取代之喹嗪鎓(quinolizinium)、選用性取代之脫氫喹嗪鎓(dehydroquinolizinium)、選用性取代之喹啉鎓(quinolinium)、選用性取代之異吲哚啉鎓(isoindolinium)、選用性取代之苯並咪唑鎓(benzimidazolinium)、及選用性取代之嘌呤鎓(purinium)。
「矽基」意指-SiR 1R 2R 3或 -SiR 1R 2-基團。在一些實施例中,R 1、R 2及R 3各自獨立地為H、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之芳香族、選用性取代之雜芳香族、或選用性取代之胺基。在特定實施例中,R 1、R 2及R 3各自獨立地為H、選用性取代之烷基、選用性取代之烷氧基、選用性取代之芳基、選用性取代之芳氧基、選用性取代之烷基-芳基、選用性取代之芳基-烷基、或選用性取代之胺基。在其他實施例中,矽基為‑Si(R) a(OR) b(NR 2) c,其中每一R獨立地為H、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之芳香族或選用性取代之雜芳香族;a、b及c各自為≥0; 且a + b + c = 3。在特定實施例中,每一R獨立地為H、選用性取代之烷基、選用性取代之芳基、選用性取代之烷基-芳基、或選用性取代之芳基-烷基。
「矽氧基」意指-OR,其中R為選用性取代之如本文所述的矽基。在一些實施例中,矽氧基為-O-SiR 1R 2R 3,其中R 1、R 2及R 3各自獨立地為H、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之芳香族、選用性取代之雜芳香族、或選用性取代之胺基。在特定實施例中,R 1、R 2及R 3各自獨立地為H、選用性取代之烷基、選用性取代之烷氧基、選用性取代之芳基、選用性取代之芳氧基、選用性取代之烷基-芳基、選用性取代之芳基-烷基、或選用性取代之胺基。在其他實施例中,矽氧基為-O-Si(R) a(OR) b(NR 2) c,其中每一R獨立地為H、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之芳香族或選用性取代之雜芳香族;a、b及c各自為≥0;且 a + b + c = 3。在特定實施例中,每一R獨立地為H、選用性取代之烷基、選用性取代之芳基、選用性取代之烷基-芳基、或選用性取代之芳基-烷基。
「亞磺醯基」意指-S(O)-基團。
「磺酸基」意指-S(O) 2OH基團。
「磺醯基」或「磺酸根」意指-S(O) 2-基團或-SO 2R,其中R選自如本文所定義之氫、脂肪族、雜脂肪族、鹵代脂肪族、鹵代雜脂肪族、芳香族、或任何其組合。
「硫代烷基」意指透過硫原子連接至母分子基團之如本文所定義的烷基。示例性未經取代之硫代烷基包括C 1-6硫代烷基。在一些實施例中,硫代烷基為-S-R,其中R為如本文所定義之烷基。
「巰基」意指-SH基團。
本領域中具有通常知識者將知悉,以上提供的定義非旨在包括不允許的取代模式(例如,被5個不同基團及類似者取代之甲基)。本領域中具有通常知識者輕易知悉此等不允許的取代模式。本文所揭示及/或上文所定義之任何官能基團可經取代或未經取代,除非其中另有指明。
如本文所使用,術語「約」意指任何所載值的+/-10%。如本文所使用,此術語修飾任何所載值、值的範圍或一或更多範圍的端點。
如本文所使用,術語「頂部」、「底部」、「上部」、「下部」、「上方」及「下方」用於提供結構之間的相對關係。此些術語之使用並不表示或要求特定結構必須位於設備中之特定位置。
本發明之其他特徵及優點將根據以下描述及請求項變得顯而易見。 含矽前驅物
在各種的實施例中,含矽前驅物是矽烷。矽烷包括但不限於經取代和未取代的矽烷、鹵代矽烷、胺基矽烷、有機矽烷、烷基矽烷、烷基矽烷、烷基胺基矽烷、及烷基鹵代矽烷。在特定實施例中,含矽前驅物包括鹵代矽烷前驅物。在特定實施例中,含矽前驅物包括胺基矽烷前驅物。
胺基矽烷包括鍵結至矽原子之至少一氮原子,但亦可含有氫、氧、鹵素及碳。胺基矽烷之示例為單、二、三及四胺基矽烷(分別為(H 3Si(NH 2) 4、H 2Si(NH 2) 2、HSi(NH 2) 3、 及Si(NH 2) 4,),以及經取代之單、二、三及四胺基矽烷,例如叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺(tert-butylsilanamine)、雙(叔丁基胺基)矽烷(SiH 2(NHC(CH 3) 3) 2(BTBAS)、矽基胺甲酸叔丁酯(tert-butyl silyl carbamate)、SiH(CH 3)-(N(CH 3) 2) 2、SiHCl-(N(CH 3) 2) 2、(Si(CH 3) 2NH) 3、二(仲丁基胺基)矽烷 (DSBAS)、二(異丙基胺基)矽烷(DIPAS)、雙(二乙胺基)矽烷(BDEAS)及類似者。 胺基矽烷之進一步示例為三矽基胺(N(SiH 3) 3)。在一示例中,含矽前驅物是DIPAS。 在另一實例中,含矽的前驅物是BTBAS。
含矽前驅物可包括一或更多選用性取代之胺基,因而提供非限定胺基矽烷。在一實施例中,前驅物具有化學式(Rʹ) 4-xSi(NRʺ 2) x,其中: x 為 1、2、3 或 4; 每一Rʹ獨立地為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基,芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基、或異氰基,其中此些中之任一者可選用性被取代;且 每一Rʺ獨立地為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中此些中之任一者可選用性被取代;或選用性地其中兩個Rʺ可連同各自所連接之氮原子形成選用性取代之雜環基。
在另一實施例中,前驅物具有化學式(Rʺ 2N) x(Rʹ) 3-xSi−L−Si(Rʹ) 3-x(NRʺ 2) x,其中: 每一x獨立地為 0、1、2 或 3; L為連結子,例如共價鍵、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之芳香族、選用性取代之雜芳香族、氧基(-O-)、亞胺基、或矽基; 每一Rʹ獨立地為H、脂肪族、脂肪族-羰基、脂肪族-羰氧基、脂肪族-氧基、脂肪族-氧羰基、雜脂肪族、雜脂肪族-羰基、雜脂肪族-羰氧基、雜脂肪族-氧基、雜脂肪族-氧羰基、芳香族、芳香族-羰基,芳香族-羰氧基、芳香族-氧基、芳香族-氧羰基、雜芳香族、雜芳香族-氧基、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基、或異氰基,其中此些中之任一者可選用性被取代;且 每一Rʺ獨立地為H、脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中此些中之任一者可選用性被取代;或選用性地其中兩個Rʺ可連同各自所連接之氮原子形成選用性取代之雜環基。
在特定實施例中,L為選用性取代之亞胺基,例如-NR-,其中R為H、選用性取代之脂肪族、選用性取代之烷基、選用性取代之烯基、選用性取代之炔基、或選用性取代之芳香族。在其他實施例中,L為選用性取代之矽基,例如-SiR 2-,其中每一R獨立地為H、選用性取代之脂肪族、選用性取代之烷基、選用性取代之烯基、選用性取代之炔基、或選用性取代之芳香族。
在一實例中,至少一x不為0。在另一實施例中,x可為0(例如,若L包括碳原子或雜原子)。在又另一實施例中,x為0;及/或L包括選用性取代之脂肪族、選用性取代之亞烷基、選用性取代之亞烯基、選用性取代之亞炔基、選用性取代之雜脂肪族、選用性取代之亞雜烷基、選用性取代之亞雜烯基、選用性取代之亞雜炔基、選用性取代之芳香族、選用性取代之亞芳基、選用性取代之雜芳香族、選用性取代之亞雜芳基、氧基(-O-)、亞胺基或矽基。
在特定實施例中,至少一Rʹ或Rʺ不為H。前驅物可具有連接至一或更多矽原子之Rʹ基團與胺基(NRʺ 2)的任何有用組合。
在一些實施例中,Rʹ為H、選用性取代之胺基(例如,-NR 2)、脂肪族-氧基(例如,烷氧基或-OR)、脂肪族-羰基(例如,烷醯基或-C(O)R)、脂肪族-羰氧基(例如,烷醯氧基或-OC(O)R)、脂肪族-氧羰基(例如,烷氧羰基或-C(O)OR)、矽基(例如,‑SiR 3)、脂肪族-氧基-矽基(例如,烷氧基矽基或‑Si(R) a(OR) b)、胺基矽基(例如,‑Si(R) a(NR 2) b)、矽氧基(例如,‑O-SiR 3)、脂肪族-氧基-矽氧基(例如,烷氧基矽氧基或‑O‑Si(R) a(OR) b)、胺基矽氧基(例如,-O‑Si(R) a(NR 2) b)、芳香族(例如,芳基)、芳香族-氧基(例如,芳氧基或-OR)、羥基(-OH)、甲醯基(-C(O)H)及類似者。在特定實施例中,每一R獨立地為H、選用性取代之脂肪族、選用性取代之烷基、選用性取代之烯基、選用性取代之炔基、選用性取代之雜脂肪族、選用性取代之芳香族、選用性取代之芳基、及選用性取代之雜芳香族;a≥0;b≥1;且a + b = 3。在一些實施例中,兩個R基團可連同各自所連接之氮原子形成選用性取代之雜環基。在其他實施例中,每一R獨立地為H、選用性取代之烷基、選用性取代之烯基、選用性取代之炔基、或選用性取代之芳基。
在其他實施例中,Rʺ為H、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之烷基、選用性取代之矽基、或選用性取代之矽氧基。在一些實施例中,Rʺ為選用性取代之烷基(例如Me、Et、nPr、iPr、sBu或tBu)。在其他實施例中,Rʺ為‑SiRʹ 3、‑SiR 3、‑Si(Rʹ) a(OR) b,、‑Si(R) a(OR) b、‌‑Si(Rʹ) a(NR 2) b、‌‌‌‌‑Si(R) a(NR 2) b、‑Si(Rʹ) a(OR) b(NR 2) c、‌‌‑Si(R) a(OR) b(NR 2) c、‌‑O‑SiRʹ 3、‌‑O‑SiR 3、‌‑O‑Si(Rʹ) a(OR) b、‑O‑Si(R) a(OR) b、-O‑Si(Rʹ) a(NR 2) b、-O-Si(R) a(NR 2) b、‑O‑Si(Rʹ) a(OR) b(NR 2) c、或‑O‑Si(R) a(OR) b(NR 2) c,其中每一Rʹ獨立地為H、脂肪族、雜脂肪族、芳香族、雜芳香族、胺基、肼基、疊氮基、羥基、矽基、矽氧基、氰氧基、異氰酸基、氰基或異氰基,其中此些中之任一者可選用性被取代;每一R獨立地為H、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之芳香族、或選用性取代之雜芳香族;a、b與c中之每一者≥0;且a + b + c = 3或a + b = 3(若c不存在)。在特定實施例中,R為H、選用性取代之烷基、選用性取代之烯基、或選用性取代之炔基。
前驅物可包括連接至矽原子之至少一Rʹ基團。在一實施例中,前驅物具有化學式(Rʹ)(H) 3-xSi(NRʺ 2) x,,其中Rʹ及Rʺ可為本文所述之任一者,且其中x為1、2或3。在另一實施例中,前驅物具有化學式(Rʹ)(H) 2Si(NRʺ 2),其中Rʹ及Rʺ可為本文所述之任一者。在一實施例中,前驅物具有化學式(Rʹ)(H)Si(NRʺ 2) 2,其中Rʹ及Rʺ可為本文所述之任一者。在另一實施例中,前驅物具有化學式(Rʹ) 2(H)Si(NRʺ 2),其中Rʹ及Rʺ可為本文所述之任一者。在又另一實施例中,前驅物具有化學式(Rʹ) 2Si(NRʺ 2) 2,其中Rʹ及Rʺ可為本文所述之任一者。在一實施例中,前驅物具有化學式(Rʹ) 3Si(NRʺ 2),,其中Rʹ及Rʺ可為本文所述之任一者。
前驅物可不具連接至矽原子之Rʹ基團。在一實施例中,前驅物具有化學式(H) 4-xSi(NRʺ 2) x,其中每一Rʺ可獨立地為本文所述之任一者,且其中x為1、2、3或4。在另一實施例中,前驅物具有化學式Si(NRʺ 2) x,其中每一Rʺ可獨立地為本文所述之任一者。在特定實施例中,每一Rʺ獨立地為脂肪族、雜脂肪族、芳香族、或雜芳香族。
前驅物可包括連接至矽原子之一或更多氫原子。在一實施例中,前驅物具有化學式(H) 3Si(NRʺ 2)或(H) 2Si(NRʺ 2) 2或(H)Si(NRʺ 2) 3,其中每一Rʺ可獨立地為本文所述之任一者。在特定實施例中,每一Rʺ獨立地為脂肪族、雜脂肪族、芳香族、雜芳香族或胺基,其中此些中之任一者可選用性被取代。
前驅物可包括具有氮原子之雜環基。在一實施例中,該化學式具有化學式H 3Si‑Het,其中Het為包括至少一氮原子之選用性取代的雜環基。在特定實施例中,前驅物具有化學式 ,其中雜環基可選用性被取代(例如,具本文所述之任一取代基,如對烷基之取代),且其中n為1、2、3、4或5。在一實施例中,該化學式具有化學式Rʹ 3Si‑Het,其中Het為包括至少一氮原子之選用性取代的雜環基,且每一Rʹ可獨立地為本文所述之任一者。在特定實施例中,前驅物具有化學式 ,其中雜環基可選用性被取代(例如,具本文所述之任一取代基,如對烷基之取代);每一Rʹ可獨立地為本文所述之任一者;且其中n為1、2、3、4或5。
在一些實例中,前驅物可具有兩個或更多矽原子,其中前驅物可包括Si-Si鍵。在一特定實施例中,前驅物具有化學式(Rʺ 2N) x(Rʹ) 3‑xSi−Si(Rʹ) 3‑x(NRʺ 2) x,其中Rʹ及Rʺ可為本文所述之任一者。在一實施例中,前驅物具有化學式(Rʺ 2N) 2(Rʹ)Si−‌Si(Rʹ)(NRʺ 2) 2,其中Rʹ及Rʺ可為本文所述之任一者。在另一實施例中,前驅物具有化學式(Rʺ 2N) 2(Rʹ)Si−‌Si(Rʹ)(NRʺ 2) 2,其中Rʹ及Rʺ可為本文所述之任一者。在又另一實施例中,前驅物具有化學式(Rʺ 2N) 3Si−Si(NRʺ 2) 3,其中每一Rʺ可獨立地為本文所述之任一者。
前驅物可包括連接至矽原子之不同基團。在一實例中,前驅物具有化學式(Rʺ 2N) x(Rʹ) 3-xSi−SiH 3,其中Rʹ及Rʺ可為本文所述之任一者。
連結子可存在於兩個矽原子之間。在一實例中,前驅物具有化學式(Rʺ 2N) x(Rʹ) 3-xSi−NR−Si(Rʹ) 3-x(NRʺ 2) x,其中Rʹ及Rʺ可為本文所述之任一者,且其中R為H、選用性取代之脂肪族、選用性取代之烷基、選用性取代之烯基、選用性取代之炔基、或選用性取代之芳香族。在另一實例中,前驅物具有化學式(Rʺ 2N) x(H) 3-xSi−NR−Si(H) 3-x(NRʺ 2) x,其中R、Rʹ及Rʺ可為本文所述之任一者。
前驅物可包括R'基團與具有雜原子之連結子的組合。在一實例中,前驅物具有化學式(Rʹ) 3Si−NR−Si(Rʹ) 3,其中R及Rʹ可為本文所述之任一者。在另一實例中,前驅物具有化學式(Rʹ) 3Si−L−Si(Rʹ) 3,其中L及Rʹ可為本文所述之任一者。在特定實施例中,L為氧基(-O-)、選用性取代之亞胺基(例如,-NR-)、或選用性取代之矽基(例如,‑SiR 2‑)。
前驅物可包括Rʹ及NRʺ 2­­­­基團與兩個矽原子組合之任何有用的組合。在一實例中,前驅物具有化學式(Rʺ 2N)(Rʹ) 2Si−L−Si(Rʹ) 2(NRʺ 2) x,,其中L、Rʹ及Rʺ可為本文所述之任一者。
前驅物可包括雜環基團,其包括矽及氮原子。在一實施例中,前驅物具有化學式 ,其中Rʹ及Rʺ可為本文所述之任一者,且其中n為1、2、3或4。
在另一實施例中,前驅物具有化學式 ,其中Rʹ及Rʺ可為本文所述之任一者,且其中n為1、2、3或4。在又另一實施例中,前驅物具有化學式 ,其中每一Rʺ可獨立地為本文所述之任一者;且其中n為1、2、3或4。在另一實施例中,前驅物具有化學式 ,其中Rʹ及Rʺ可為本文所述之任一者,且其中n為1、2、3或4。在又另一實施例中,前驅物具有化學式 ,其中Rʺ可獨立地為本文所述之任一者;且其中n為1、2、3或4。
在本文之任何前驅物中,兩個Rʺ可連同各自所連接之氮原子形成選用性取代之雜環基。
前驅物可包括以下任一者,例如(R Ak)Si(NH 2)(NR Ak 2) 2、(R Ak)Si(NR Ak 2) 3、(R Ak) 2Si(NHR Ak 2) 2、(R Ak)(H)Si(NHR Ak) 2、(R Ak) 3Si(NR Ak 2) 、(R Ak) 3Si(NHR Ak) 、H 2Si(NHR Ak 2) 2、(R Ak)(H)Si(NR Ak 2) 2、HSi(NH 2)(NR Ak 2) 2、HSi(NR Ak 2) 3、Si(NR Ak 2) 4、(Rʹ)(H)Si(NRʺ 2) 2、(Rʹ) 2Si(NR Ak 2) 2、(Rʹ) 2Si(N[SiH 3] 2) 2、(Rʹ) 2Si(N[SiRʺ 3] 2) 2、或(Rʹ) 3Si(NHR Ak)。在一些實施例中,Rʹ及Rʺ各自可獨立地為本文所述之任一者(例如,H、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之烷基、選用性取代之烯基、或選用性取代之炔基)。在其他實施例中,每一R Ak獨立地為H、選用性取代之脂肪族、選用性取代之雜脂肪族、選用性取代之烷基、選用性取代之烯基、或選用性取代之炔基。在特定實施例中,R Ak為甲基(Me)、乙基(Et)、正丙基(nPr)、異丙基(iPr)、正丁基(nBu)、仲丁基(sBu)、異丁基(iBu) 、叔丁基(tBu)及類似者。
前驅物之非限定示例包括以下任一者 : 甲胺基三甲基矽烷(SiMe 3[NHMe]);二甲基胺基二甲基矽烷(SiMe 2H[NMe 2]);二甲基胺基三甲基矽烷(SiMe 3[NMe 2]);二甲基胺基二乙基矽烷(SiHEt 2[NMe 2]);二甲基胺基三乙基矽烷(SiEt 3[NMe 2]);乙基甲基胺基二甲基矽烷(SiHMe 2[NMeEt]);乙基甲基胺基三甲基矽烷(SiMe 3[NMeEt]);乙基甲基胺基二乙基矽烷(SiHEt 2[NMeEt]);乙基甲基胺基三乙基矽烷(SiEt 3[NMeEt]);二乙基胺基甲基矽烷(SiH 2Me[NEt 2]);二乙基胺基乙基矽烷(SiH 2Et[NEt 2]);乙基胺基三甲基矽烷(SiMe 3[NHEt]);二乙基胺基二甲基矽烷(SiHMe 2[NEt 2]);二乙基胺基二乙基矽烷(SiHEt 2[NEt 2]);二乙基胺基三甲基矽烷(SiMe 3[NEt 2]);二乙基胺基三乙基矽烷(SiEt 3[NEt 2]);異丙基胺基二甲基矽烷(SiHMe 2[NHiPr]);異丙基胺基三甲基矽烷(SiMe 3[NHiPr]);異丙基胺基二乙基矽烷(SiHEt 2[NHiPr]);異丙基胺基三乙基矽烷(SiEt 3[NHiPr]);二異丙基胺基三甲基矽烷(SiMe 3[NiPr 2]);二異丙基胺基矽烷(SiH 3[NiPr 2]、C 6H 17NSi或 DIPAS);二異丙基胺基甲基矽烷(SiH 2Me[NiPr 2],C 6H 17NSi, 或 DIPAS);二異丙基胺基甲基矽烷(SiH 2Me[NiPr 2]);二異丙基胺基二甲基矽烷(SiHMe 2[NiPr 2]);二異丙基胺基二乙基矽烷(SiHEt 2[NiPr 2]);二異丙基胺基三乙基矽烷(SiEt 3[NiPr 2]);正丙基胺基三甲基矽烷(SiMe 3[NHnPr]);二仲丁基胺基矽烷(SiH 3[NsBu 2]或DSBAS);二仲丁基胺基甲基矽烷(SiH 2Me[NsBu 2]);異丁基胺基三甲基矽烷(SiMe 3[NHiBu]);正丁基胺基三甲基矽烷(SiMe 3[NHnBu]);叔丁基胺基二甲基矽烷(SiHMe 2[NHtBu]);叔丁基胺基三甲基矽烷(SiMe 3[NHtBu]);叔丁基胺基二乙基矽烷(SiHEt 2[NHtBu]);叔丁基胺基三乙基矽烷(SiEt 3[NHtBu]);二環己基胺基矽烷(SiH 3[NCy 2],其中Cy為環己基);N-丙基異丙基胺基矽烷(SiH 3[NiPrnPr]); N-甲基環己基胺基矽烷(SiH 3[NMeCy]); N-乙基環己基胺基矽烷(SiH 3[NEtCy]);烯丙基苯基胺基矽烷(SiH 3[NAllPh]); N-異丙基環己基胺基矽烷(SiH 3[NiPrCy]);烯丙基環戊基胺基矽烷(SiH 3[NAllCp]);苯基環己基胺基矽烷(SiH 3[NPhCy]);環己基胺基三甲基矽烷(SiMe 3[NHCy],其中Cy為環己基);吡咯基三甲基矽烷(SiMe 3[NHPy],其中Py為吡咯基);吡咯啶酮基三甲基矽烷(SiMe 3[NHPyr],其中Pyr為吡咯啶酮基);哌啶基三甲基矽烷(SiMe 3[NHPip],其中Pip為哌啶基);哌嗪基三甲基矽烷(SiMe 3[NHPz],其中Pz為哌嗪基);咪唑基三甲基矽烷(SiMe 3[NHIm],其中Im為咪唑基);雙(二甲基胺基)矽烷(SiH 2[NMe 2] 2或BDMAS);雙(二甲基胺基)甲基矽烷(SiMeH[NMe 2] 2);雙(二甲基胺基)二甲基矽烷(SiMe 2[NMe 2] 2或BDMADMS);雙(二甲基胺基)二乙基矽烷(SiEt 2[NMe 2] 2);雙(二甲基胺基)甲基乙烯基矽烷(SiMeVi[NMe 2] 2);雙(乙基胺基)二甲基矽烷(SiMe 2[NHEt] 2);雙(乙基甲基胺基)矽烷(SiH 2[NMeEt] 2);雙(乙基甲基胺基)二甲基矽烷(SiMe 2[NMeEt] 2);雙(乙基甲基胺基)二乙基矽烷(SiEt 2[NMeEt] 2);雙(乙基甲基胺基)甲基乙烯基矽烷(SiMeVi[NMeEt] 2);雙(二乙基胺基)矽烷(SiH 2[NEt 2] 2、C 8H 22N 2Si或BDEAS);雙(二乙基胺基)二甲基矽烷(SiMe 2[NEt 2] 2);雙(二乙基胺基)甲基乙烯基矽烷(SiMeVi[NEt 2] 2);雙(二乙基胺基)二乙基矽烷(SiEt 2[NEt 2] 2);雙(異丙基胺基)二甲基矽烷(SiMe 2[NHiPr] 2);雙(異丙基胺基)二乙基矽烷(SiEt 2[NHiPr] 2);雙(異丙基胺基)甲基乙烯基矽烷(SiMeVi[NHiPr] 2);雙(二異丙基胺基)矽烷(SiH 2[NiPr 2] 2);雙(二異丙基胺基)二甲基矽烷(SiMe 2[NiPr 2] 2);雙(二異丙基胺基)二乙基矽烷(SiEt 2[NiPr 2] 2);雙(二異丙基胺基)甲基乙烯基矽烷(SiMeVi[NiPr 2] 2);雙(甲基胺基)矽烷(SiH 2[NHMe] 2);雙(仲丁基胺基)矽烷(SiH 2[NHsBu] 2);雙(仲丁基胺基)甲基矽烷(SiHMe[NHsBu] 2);雙(仲丁基胺基)乙基矽烷(SiHEt[NHsBu] 2);雙(叔丁基胺基)矽烷(SiH 2[NHtBu] 2或BTBAS);雙(叔丁基胺基)二甲基矽烷(SiMe 2[NHtBu] 2);雙(叔丁基胺基)甲基乙烯基矽烷(SiMeVi[NHtBu] 2);雙(叔丁基胺基)二乙基矽烷(SiEt 2[NHtBu] 2);雙(1-咪唑基)二甲基矽烷(SiMe 2[Im] 2,其中Im為咪唑基);三(二甲基胺基)矽烷(SiH[NMe 2] 3或3DMAS);三(二甲基胺基)苯基矽烷(SiPh[NMe 2] 3);三(二甲基胺基)甲基矽烷(SiMe[NMe 2] 3);三(二甲基胺基)乙基矽烷(SiEt[NMe 2] 3);三(乙基甲基胺基)矽烷(SiH[NEtMe] 3);三(二乙基胺基)矽烷(SiH[NEt 2] 3);三(異丙基胺基)矽烷(SiH[NHiPr] 3、C 9H 25N 3Si或TIPAS);三(二甲基胺基)矽基醯胺(Si[NMe 2] 3[NH 2]);四(二甲基胺基)矽烷(Si[NMe 2] 4);四(乙基甲基胺基)矽烷(Si[NEtMe] 4);四(二乙基胺基)矽烷(Si[NEt 2] 4);1,2-二乙基-四(二乙基胺基)二矽烷([Et 2N] 2EtSi−SiEt[N Et 2] 2);1,2-二甲基-四(二甲基胺基)二矽烷([Me 2N] 2MeSi−‌SiMe[NMe 2] 2);1,2-二甲基-四(二乙基胺基)二矽烷([Et 2N] 2MeSi−SiMe[NEt 2] 2);六(甲基胺基)二矽烷([MeHN] 3Si−Si[NHMe] 3);六(乙基胺基)二矽烷([EtHN] 3Si− Si[NHEt] 3);六(二甲基胺基)二矽氮烷(Me 2N−Si[NMe 2] 2−‌Si[NMe 2] 2−NMe 2)及類似者。
在一些實施例中,矽烷前驅物是鹵代矽烷前驅物。 鹵代矽烷前驅物係定義為具有至少一個含鹵原子和至少一個矽原子的前驅物。 鹵素包括氯、氟、溴及碘。在一些實施例中,鹵代矽烷前驅物包括化學式( I)的結構:Si(X) 4,其中至少一個X包括鹵素原子。
例如,一種鹵代矽烷是四氯矽烷或矽四氯化物(SiCl 4)。鹵代矽烷化學式的另一個例子是Si nX yH z,其中X是鹵素,H是氫;n是大於或等於1的整數,且等於分子中Si原子的數量;在一些實施方案中,y約為1至約4,且 z 是 4-y。 其他示例包括但不限於SiHCl 3、SiH 2Cl 2、及SiH 3Cl。
鹵代矽烷的實例有碘矽烷、溴矽烷、氯矽烷及氟矽烷。具體的氯矽烷包括但不限於四氯矽烷、三氯矽烷、二氯矽烷(DCS)、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯仲丁基矽烷、叔丁基二甲基氯矽烷、叔己基二甲基氯矽烷(thexyldimethylchlorosilane)、六氯二矽烷(HCDS)等等。
在一些實施例中,鹵代矽烷是無碳的。 在一些實施例中,鹵代矽烷是有機含矽的前驅物。
在一些實施例中,鹵代矽烷前驅物(例如,在化學式( I)中)具有至少一個選用性取代的C 1-2鹵代烷基。 非限制性鹵代脂肪族基團包括:-CX yH 3-y,其中y為1、2或3,並且其中每個X獨立地是鹵素(F、Cl、Br或I);‑CX zH 2‑zCX yH 3‑y,其中 z 為 0、1 或 2,其中 y 為 0、1、2 或 3,且其中每個 X 獨立地是鹵素(F、Cl、Br 或 I),其中 z 或 y 其中至少一者不為 0;或  -CH 2CX yH 3-y,其中y為 1、2或3,且其中每個X獨立地是鹵素(F,Cl,Br或I)。 然而,其他非限制性鹵代烷基包括氟甲基(-CH 2F)、二氟甲基(-CHF 2)、三氟甲基(-CF 3)、氯甲基(-CH 2Cl)、二氯甲基(‑CHCl 2)、三氯甲基(-CCl 3)、溴甲基(-CH 2Br)、二溴甲基(‑CHBr 2)、三溴甲基(-CBr 3)、碘甲基(-CH 2I)、二碘甲基(-CHI 2)、三碘甲基(‑CI 3)、溴氟甲基(-CHFBr)、氯氟甲基(-CHFCl)、氟碘甲基(‑CHFI)、2-氟乙基(-CH 2CH 2F)、2-氯乙基(-CH 2CH 2Cl)、2-溴乙基(-CH 2CH 2Br)、2-碘乙基(‑CH 2CH 2I)、2,2-二氟乙基(-CH 2CHF 2)、2,2-二氯乙基(-CH 2CHCl 2)、2,2-二溴乙基(‑CH 2CHBr 2)、2,2-二碘乙基(-CH 2CHI 2)、2,2-氟碘乙基(-CH 2CHFI)等等。 在特定實施例中,C 1-2鹵代烷基包括β-鹵取代的乙基。 然而,其他鹵代脂肪族基團包括C 1-4鹵代烷基、C 2-4鹵代烯基及C 2-4鹵代炔基。 結論
雖然為了清楚理解目的已詳細描述前述實施例,但將顯而易見的是,可在隨附請求項之範圍內進行某些改變及修飾。應注意,有許多實施本實施例之製程、系統及設備的替代方式。據此,本實施例應被視為說明性而非限制性,且實施例不限於本文所給出的細節。
140:特徵部 141:未氧化的熱解膜層 142:接縫 150:特徵部 151:熱解膜層 152:中心 200:製程站 201:反應物輸送系統 202:製程腔室本體 203:氣化點 204:混合容器 205:噴淋頭入口閥 206:噴淋頭 207:微容積 208:台座 210:加熱器 212:基板 214:RF電源供應器 216:匹配網路 220:混合容器入口閥 300:處理機台 302:入站負載鎖室 304:出站負載鎖室 306:機器人 308:晶圓盒 310:大氣埠 312:台座 314:處理腔室 316:腔室搬運埠 318:台座 350:系統控制器 352:處理器 354:大量儲存裝置 356:記憶體裝置 358:系統控制軟體 390:晶圓搬運系統 400:系統 401:來源模組 403:搬移模組 407:模組 409,410:多站式反應器 411,413,415,417:站 421:負載鎖室 429:控制器
圖1A-1C係製程流程圖,繪示根據某些所揭露的實施例可執行的操作。
圖1D係製程流程圖,描述根據某些公開實施例所執行的操作。
圖1E顯示在氧化之前和之後特徵部的二個示意圖。
圖2係用於執行某些揭露的實施例的例示製程腔室的示意圖。
圖3係用於執行某些揭露的實施例的例示處理機台的示意圖。
圖4係用於執行某些揭露的實施例的例示處理機台的示意圖。
圖5A係針對使用某些揭露實施例所沉積的各種矽氧化物膜顯示濕式蝕刻速率相對於應力的圖表。
圖5B係針對使用某些揭露實施例所沉積的各種矽氧化物膜顯示在轉化期間所使用的RF功率相對於應力的圖表。
圖6係使用某些所揭露實施例所沉積的膜的FTIR。

Claims (10)

  1. 一種處理基板的方法,該方法包含: 熱解在一基板的一表面上的一沉積前驅物,以形成一熱解膜;及 將該熱解膜暴露於第一電漿,以形成一沉積膜。
  2. 如請求項1之方法,其中執行該熱解步驟係藉由加熱該基板至足以致使該沉積前驅物的熱解之一溫度;及將該基板的該表面暴露於該沉積前驅物。
  3. 如請求項1之方法,其中該熱解步驟及將該熱解膜暴露的步驟係在具有約10托至約60托的腔室壓力的一製程腔室中加以執行。
  4. 如請求項1之方法,其中將該熱解膜暴露於該第一電漿的步驟包含將該熱解膜暴露於惰性氣體電漿以及接著將該熱解膜暴露於含氧或含氮電漿。
  5. 一種處理基板的方法,該方法包含: 將固持一基板的一可加熱台座的溫度設定至一第一溫度; 在無電漿環境中,在該台座係加熱至該第一溫度的同時,將該基板暴露於具有低於該基板的溫度的一熱解溫度的一沉積前驅物; 停止該沉積前驅物的暴露;及 在停止該沉積前驅物的暴露之後,將該基板暴露於第一電漿,以形成一沉積膜。
  6. 如請求項1及5任一項之方法,其中該沉積前驅物係二(異丙基胺基)矽烷或雙(叔丁基胺基)矽烷。
  7. 如請求項1之方法,更包含將該熱解膜暴露於第二電漿。
  8. 如請求項1、5、及7任一項之方法,其中該第一電漿係藉由點燃一惰性氣體而加以產生,且該沉積膜係加以緻密化。
  9. 一種處理基板的設備,該設備包含: 一個以上製程腔室,各個製程腔室包含一加熱的台座; 進入該等製程腔室的一個以上氣體入口以及相關聯的流量控制硬體; 一電漿產生器;及 一控制器,具有至少一處理器及一記憶體,其中: 該至少一處理器及該記憶體係彼此通訊連接, 該至少一處理器係與該流量控制硬體至少操作性連接,及 該記憶體儲存電腦可執行指令,用於控制該至少一處理器以至少控制該流量控制硬體俾以: 導致該台座的加熱; 導致將一沉積前驅物導入該一個以上製程腔室達一持續時間,足以在不點燃電漿的情況下造成受加熱時的該台座上的一基板上的該沉積前驅物的熱解; 導致惰性氣體電漿的產生;及 導致使用含氧或含氮氣體之電漿的產生。
  10. 一種處理基板的方法,該方法包含: (a) 將一沉積前驅物導入至容納基板的一製程腔室; (b) 將電漿導入該製程腔室,該電漿係藉由點燃一反應物而產生,以在該製程腔室中形成一反應物電漿氛圍;及 (c) 當該反應物電漿氛圍係在該製程腔室之中的同時,將該沉積前驅物導入至該製程腔室以在該基板上形成至少部份膜。
TW112106094A 2022-02-22 2023-02-20 熱膜沉積 TW202348830A (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US202263268342P 2022-02-22 2022-02-22
US202263268338P 2022-02-22 2022-02-22
US63/268,338 2022-02-22
US63/268,342 2022-02-22
US202263377307P 2022-09-27 2022-09-27
US63/377,307 2022-09-27
US202263378018P 2022-09-30 2022-09-30
US63/378,018 2022-09-30

Publications (1)

Publication Number Publication Date
TW202348830A true TW202348830A (zh) 2023-12-16

Family

ID=87766574

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112106094A TW202348830A (zh) 2022-02-22 2023-02-20 熱膜沉積

Country Status (2)

Country Link
TW (1) TW202348830A (zh)
WO (1) WO2023163950A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100512938B1 (ko) * 2003-05-09 2005-09-07 삼성전자주식회사 플라즈마 강화 순환 증착기술을 사용하여 박막을 형성하는방법
US20150037979A1 (en) * 2013-08-02 2015-02-05 Lam Research Corporation Conformal sidewall passivation
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
KR20200127442A (ko) * 2019-05-02 2020-11-11 연세대학교 산학협력단 기체 혼합 플라즈마를 이용한 금속 박막의 원자층 증착 방법 및 이의 박막 증착 구조
WO2021025874A1 (en) * 2019-08-06 2021-02-11 Lam Research Corporation Thermal atomic layer deposition of silicon-containing films

Also Published As

Publication number Publication date
WO2023163950A1 (en) 2023-08-31

Similar Documents

Publication Publication Date Title
KR102492259B1 (ko) 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
US8728958B2 (en) Gap fill integration
TWI663689B (zh) 基板表面上的可流動介電質沉積用處理
KR102209817B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
TWI581368B (zh) 高深寬比凹槽中向上填充
KR20150048085A (ko) 저 기공율을 갖는 유동성 유전체 막들을 형성하기 위한 방법들 및 장치
CN115428122A (zh) 接缝减轻和用于间隙填充的整合式衬垫
TW202348830A (zh) 熱膜沉積
TW202407128A (zh) 矽氮化物之電漿沉積期間的低k介電質保護
TW202326817A (zh) 多重圖案化中的原位芯部保護
TW202400826A (zh) 使用胺基矽烷及氯矽烷前驅物的保形矽氧化物沉積
WO2023230170A1 (en) Hybrid atomic layer deposition
TW202403834A (zh) 無縫及無裂痕沉積
TW202340511A (zh) 保形碳摻雜矽氮化物膜及其方法
TW202413715A (zh) 混合式原子層沉積
WO2023114641A1 (en) Conformal deposition of silicon nitride
WO2023133075A1 (en) Silicon nitride deposition
US20240030062A1 (en) Integration of fully aligned via through selective deposition and resistivity reduction
TW202309328A (zh) 高選擇性摻雜硬遮罩膜
WO2024006211A1 (en) Deposition and etch of silicon-containing layer
TW202411455A (zh) 用於先進記憶體應用中之成形堆疊縮放解決方案的高模數碳摻雜矽氧化物膜
WO2023114648A1 (en) Low temperature molybdenum deposition assisted by silicon-containing reactants
WO2023225132A1 (en) High modulus carbon doped silicon oxide film for mold stack scaling solutions in advanced memory applications