TWI663689B - 基板表面上的可流動介電質沉積用處理 - Google Patents

基板表面上的可流動介電質沉積用處理 Download PDF

Info

Publication number
TWI663689B
TWI663689B TW103136878A TW103136878A TWI663689B TW I663689 B TWI663689 B TW I663689B TW 103136878 A TW103136878 A TW 103136878A TW 103136878 A TW103136878 A TW 103136878A TW I663689 B TWI663689 B TW I663689B
Authority
TW
Taiwan
Prior art keywords
substrate
processing
deposition
plasma
hydrogen
Prior art date
Application number
TW103136878A
Other languages
English (en)
Other versions
TW201532188A (zh
Inventor
派崔克 賴利
尼真休斯 哈洛德 德
內麗莎 蘇 戴格
史貴凡迪 巴頓J 凡
尼可拉斯 穆加 恩迪居
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201532188A publication Critical patent/TW201532188A/zh
Application granted granted Critical
Publication of TWI663689B publication Critical patent/TWI663689B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Insulating Materials (AREA)

Abstract

在此提供基板表面之改良可流動介電質沉積的方法與設備。此方法涉及在不形成厚的高潤濕蝕刻速率介面層的情況下改善基板表面的成核作用與潤濕。根據各實施例,本方法可包括沉積表面的單一或多階段遠端電漿處理。在某些實施例中,處理可包括對還原化學物與含氫氧化化學物兩者的暴露。用於進行本方法的設備亦提供之。

Description

基板表面上的可流動介電質沉積用處理
本發明係關於半導體沉積技術,尤其是關於介電質沉積的技術。
以絕緣材料填充高深寬比間隙在半導體處理中往往是必須的。對於淺溝槽隔離(shallow trench isolation,STI)、金屬間介電(inter-metal dielectric,IMD)層、層間介電(inter-layer dielectric,ILD)層、前金屬介電(pre-metal dielectric,PMD)層、鈍化層(passivation layer)等等即為此情況。隨著元件幾何形狀縮小與熱預算(thermal budget)減少,窄寬度、高深寬比(aspect ratio,AR)之特徵部(例如AR>6:1)的無空隙填充由於現行沉積程序的限制而變得日趨困難。
揭露於此的本標的態樣可實施於處理基板表面的方法中。此方法可進行在沉積可流動介電膜於表面之前。此方法可涉及進行多步驟處理,此多步驟處理包括將基板表面暴露於由含氫的還原程序氣體所遠端產生之電漿物種的第一操作,以及將基板表面暴露於由含氫的氧化程序氣體遠端產生之電漿物種的第二操作。第二操作可在第一操作之後進行。
在某些實施例中,此方法涉及在處理期間將基板溫度維持在約-20℃與300℃之間或在-20℃與100℃之間。含氫的還原程序氣體範例包括一或更多的氫(H2)、氨(NH3)與聯氨(N2H2)。在同樣的或其他的實施例中,含氫的氧化程序氣體範例包括一或更多的水(H2O)與過氧化氫(H2O2)。
在某些實施例中,含氫的氧化程序氣體包括含氫化合物與含氧化合物的混合物。在某些實施例中,含氫的氧化程序氣體包括具有一或更多氫氧基(-OH)的化合物。在某些實施例中,含氫的氧化程序氣體係H2、NH3、N2H2之一或更多者與氧(O2)、臭氧(O3)、H2O、H2O2、二氧化碳(CO2)與一氧化碳(CO)之一或更多者的混合物。在某些實施例中,含氫的還原程序氣體實質上不包含氧。在某些實施例中,第一操作的主要反應物種為氫自由基。
此方法更可包括將基板表面暴露於含矽的氣相前驅物及共同反應物,從而在基板表面上沉積可流動的介電膜。在某些實施例中,含矽的蒸氣相前驅物包含矽(Si)-碳(C)鍵結。在某些實施例中,含矽的蒸氣相前驅物包含矽-烷基鍵結。沉積可在與多步驟處理相同或不同的腔室中發生。在某些實施例中,基板在多步驟處理與沉積之間係暴露於空氣中。
揭露於此的本標的另一態樣可實施於處理基板表面的方法中。此方法可進行在沉積可流動介電膜於表面之前。此方法可涉及將基板表面暴露於還原環境的第一操作,以及將基板表面暴露於氧化環境的第二操作。
揭露於此的本標的另一態樣可實施於處理基板表面的方法中。此方法可進行在沉積可流動介電膜於表面之前。此方法包括基板表面暴露於含氫的氧化環境,其中含氫的氧化環境係產生自包括含氧之氧化劑的程序氣體,其中該含氧之氧化劑的氧化電位高於水(H2O)的氧化電位。
揭露於此的本標的另一態樣可實施於處理含矽表面的方法中。此方法可進行在沉積可流動介電膜於表面之前。此方法涉及處理含矽表面,從而在氧化物介面層不大於20埃(Å)厚的情況下將潤濕接觸角降低為少於20°。
揭露於此的本標的另一態樣可實施於一設備中,該設備包括含有基板支架的腔室、遠離腔室的電漿產生器、一或更多通往電漿產生器的入口,以及介於該電漿產生器與該腔室之間、可導引產生在電漿產生器中之電漿物種至腔室的管線;以及一控制器,該控制器具有用於引導含氫的還原程序氣體至電漿產生器並導引所產生之電漿物種至腔室的第一操作,以及進行於第一操作之後、引導含氫的氧化程序氣體至電漿產生器並導引電漿物種至腔室的第二操作的指令集。
此等與其他態樣在以下參照圖式進一步論述。
101‧‧‧方塊
103‧‧‧方塊
105‧‧‧方塊
107‧‧‧方塊
201‧‧‧基板
202‧‧‧閘極結構
203‧‧‧間隙
204‧‧‧閘極
205‧‧‧側壁
207‧‧‧底部
209‧‧‧平坦表面
211‧‧‧氮化矽層或氮氧化矽層
213‧‧‧氮化矽層
215‧‧‧氧化矽層
216‧‧‧襯裡層
301‧‧‧操作
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作
401‧‧‧影像
403‧‧‧影像
501‧‧‧操作
503‧‧‧操作
505‧‧‧操作
507‧‧‧操作
509‧‧‧操作
601‧‧‧操作
603‧‧‧操作
701‧‧‧影像
702‧‧‧影像
703‧‧‧影像
704‧‧‧影像
705‧‧‧影像
706‧‧‧影像
901‧‧‧影像
902‧‧‧影像
1001‧‧‧電漿源
1003‧‧‧程序腔室
1005‧‧‧噴淋頭組件
1009‧‧‧噴淋頭
1011‧‧‧氣體入口
1013‧‧‧惰性氣體入口
1015‧‧‧基板
1016‧‧‧線圈
1017‧‧‧平台(台座)
1019‧‧‧真空幫浦及導管
1021‧‧‧噴淋頭孔
1050‧‧‧設備
1060‧‧‧設備
1070‧‧‧遠端電漿處理模組
1075‧‧‧(文中未提及)
1080‧‧‧可流動沉積模組
1090‧‧‧裝載閘
1095‧‧‧晶圓傳送系統
1100‧‧‧設備
1102‧‧‧來源
1106‧‧‧遠端電漿產生器
1108‧‧‧連接管路
1110‧‧‧混合杯
1112‧‧‧沉積氣體
1114‧‧‧噴淋頭
1116‧‧‧射頻產生器
1118‧‧‧處理腔室
1120‧‧‧台座
1122‧‧‧控制系統器
1124‧‧‧感測器
1126‧‧‧出口
圖1為說明涉及前處理操作之形成可流動介電膜的範例程序流程圖。
圖2A-2C顯示含有間隙且在以可流動介電膜填充該間隙之前受到處理之基板的範例概要剖面圖示。
圖3為說明涉及前處理操作之形成可流動介電膜的多循環程序範例流程圖。
圖4顯示沉積在具有及不具有沉積前表面處理之基板表面上的毯覆可流動氧化膜之光學顯微鏡影像。
圖5為說明包括多電漿暴露操作之基板表面處理程序的範例流程圖。
圖6為說明包括對單一電漿化學物之暴露的基板表面處理程序範例流程圖。
圖7顯示沉積在沉積前有受表面處理與未受表面處理之裸矽(Si)、氮化矽(SiN)與熱矽氧化物(thermal SiO2)上的毯覆可流動氧化膜光學顯微鏡影像。
圖8為顯示前沉積表面處理溫度對沉積膜層均勻度之效應的圖表。
圖9顯示在直接與遠端電漿表面處理後,沉積在具有SiN襯墊層之間隙內的可流動氧化膜的掃描式電子顯微鏡(scanning electron microscope,SEM)影像。
圖10A、圖10B與圖11為適於實施在此說明之方法的設備概要圖。
本發明的態樣係關於在基板上形成可流動的介電膜。某些實施例包括以絕緣材料填充高深寬比的間隙。為易於論述,以下之說明主要指可流動的氧化矽膜,然而於此說明的程序亦可與其他種類的可流動介電膜使用。例如,介電膜可以是具有Si-N鍵結與N-H鍵結、主要為氮化矽、主要為氮氧化矽、主要為碳化矽或主要為碳氧化矽的膜層。
以絕緣材料填充高深寬比間隙在半導體處理中往往是必須的。淺溝槽隔離(shallow trench isolation,STI)、金屬間介電(inter-metal dielectric,IMD) 層、層間介電(inter-layer dielectric,ILD)層、前金屬介電(pre-metal dielectric,PMD)層、鈍化層(passivation layer)等等即為此情況。隨著元件的幾何形狀縮小以及熱預算減少,窄寬度、高深寬比(aspect ratio,AR)特徵部的無空隙填充由於現行沉積程序的限制而變得日趨困難。在某些實施例中,此等方法係關於填充高深寬比(通常至少6:1,例如7:1或更高)、窄寬度(例如50奈米以下)的間隙。在某些實施例中,此等方法係關於填充低深寬比間隙(例如寬溝槽)。又,在具有針對填充低及高深寬比間隙之實施方式的某些實施例中,基板上的間隙可能具有多樣化的深寬比。
特定範例中,在,PMD層係設置於部分製作之積體電路的元件階層與互連階層中之第一金屬層體之間。說明於此的方法包括以介電材料填充間隙(例如介於閘極導體堆疊層之間的間隙)的介電沉積。在另一範例中,本方法用於溝槽形成於半導體基板以隔離元件的淺溝槽隔離程序。說明於此的方法包括在此等溝槽內的介電質沉積。除了前段製程(Front End of Line,FEOL)的應用之外,此方法亦可用於後段製程(Back End of Line,BEOL)的應用。這些應用可包括在互連階層填充間隙。
將氣相反應物引入沉積腔室以沉積可流動的介電膜。剛沉積後,可流動的介電膜通常具有能對間隙提供一致填充的流動特性,然而根據各實施例,此等介電膜可用於沉積覆蓋層、毯覆層與其他非間隙填充的程序以及用於填充間隙。詞語「剛沉積的可流動介電膜」意指在任何後沉積處理、緻密化或固體化之前的可流動介電膜。剛沉積的可流動介電膜以軟質的類凍體膜、具有液體流動特性的膠體、液膜或可流動的膜層作為特徵。
於此說明的可流動介電沉積方法不限於特定的反應機制;該反應機制可涉及吸附反應、水解反應、凝結反應、聚合反應、生成會凝結之氣相產物的氣相反應、在反應前之一或更多反應物的凝結反應,或這些反應的組合。詞語「可流動介電膜」可包括由氣相反應物形成並在剛沉積後為可流動的任何介電膜,還包括受處理後而使其再也不可流動的膜層。在某些實施例中,此等膜層在其沉積期間可接受一定程度的緻密化。
剛沉積的膜層可受到處理而物理性地緻密化及/或將剛沉積的膜層化學性地轉變為需要的介電材料。如同在此所使用的,詞語「緻密化的可流動介電膜」意指已經物理性緻密化及/或化學性轉變後而降低其流動性的可流動介電膜。在某些實施例中,緻密化的可流動介電膜可視為已固體化。在某些實施例中,物理性地緻密化此膜層可涉及收縮該膜層;根據各種實施方式,緻密化的可流動介電膜與剛沉積的介電膜相比可能是有所收縮或沒有收縮的。在某些情形中,物理性地緻密化膜層可涉及替換膜層中的化學物,導致較為緻密與較大體積的膜層。
後沉積處理的範例為將膜層轉變為Si-O網狀物並物理性緻密化該膜層的氧化電漿。在某些實施例中,可針對轉變與物理性緻密化進行不同的操作。緻密化處理也可意指固化(cure)或退火(anneal)。後沉積處理可在沉積模組中就地進行,或離開原處在另一模組中進行,或使用其兩者的組合。後沉積處理操作的進一步說明在以下提供。
本發明之態樣係關於在可流動介電質沉積前的基板表面處理。以下的說明提供該處理方法在製程次序中有所使用的製程次序範例。本方法亦可依照說明於下列者中的可流動沉積程序而使用:美國專利案第7074690號、第 7524735號、第7582555號、第7629227號、第7888273號、第8278224號以及美國專利申請案第12/334726號、第12/964110號、第13/315123號以及第13/493936號,所有上述美國專利案與美國專利申請案在此併入作為參考文獻。說明於此的處理可由改良的成核作用而實現良好的可流動介電質沉積。
程序概要
圖1係說明涉及前處理操作之形成可流動介電膜的範例程序方法流程圖。此程序可用於半導體元件、顯示器、發光二極體、太陽能板及相似物的製造中。如上所注明的,在半導體元件製作中,此程序可用於BEOL應用與FEOL應用中。在某些實施例中,此程序可包括以絕緣材料填充高深寬比之間隙的應用。其範例包括淺溝槽隔離(shallow trench isolation,STI)、金屬間介電(inter-metal dielectric,IMD)層的形成、層間介電(inter-layer dielectric,ILD)層的形成、前金屬介電(pre-metal dielectric,PMD)層的形成、保護層(passivation layer)的形成,以及在互連階段的間隙填充。進一步的範例包括空氣間隙形成用犧牲層或剝離層體的形成。
首先,將基板提供至處理腔室(方塊101)。基板的範例包括像是矽、矽基絕緣體(silicon-on-insulator,SOI)、砷化鎵及其他類似物的半導體基板,以及玻璃與塑膠基板。在諸多實施例中,此基板包括可以是溝槽、空洞、穿孔等的一或更多間隙。圖2A~2C顯示包含間隙203之基板201的概要剖面圖示範例。首先注意圖2A,間隙203可由側壁205與底部207所界定。根據特定的整合程序,該間隙可由包括對基板上之毯覆(平面)層圖案化及蝕刻或藉著在基板上建立在其中間具有間隙之(複數)結構的多種技術所形成。在某些實施例中,間隙203的頂部定義為平坦表面209的位準。間隙的具體範例提供於圖2B與圖2C。在圖 2B,間隙203顯示在基板201上的兩閘極結構202之間。基板201可以是半導體基板,並且可包含n型摻雜與p型摻雜的區域(圖未示)。閘極結構202包括閘極204與氮化矽或氮氧化矽層211。在某些實施例中,間隙203為內凹型,也就是側壁隨著從間隙底部207向上延伸而向內成為錐形;圖2B的間隙203為內凹型間隙的範例。
圖2C顯示受填充之間隙的另一範例。此範例中,間隙203形成在矽基板201的溝槽。間隙的側壁與底部由襯裡層216(例如氮化矽或氮氧化矽層)所界定。此結構亦包括襯墊氧化矽層215與襯墊氮化矽層213。圖2C為可在STI程序期間予以填充之間隙的範例。某些情況中不存在襯裡層216。某些實施例中的矽基板201側壁係受到氧化。
圖2B與2C提供可在半導體製作程序中以介電材料填充之間隙的範例。說明於此的程序可用於填充任何需要介電填充的間隙。在某些實施例中,間隙的臨界尺寸(critical dimension)約在1~50nm的數量級,在某些情況下則約在2~30nm之間或4~20nm之間(例如13nm)。臨界尺寸指間隙開口在其最窄處的寬度。在某些實施例中,間隙的深寬比在3:1與60:1之間。根據各實施例,間隙的臨界尺寸為32nm或低於32nm及/或深寬比至少約為6:1。
如上述指出的,間隙通常由底部表面與側壁所界定。詞語「側壁」可交替用於意指「包括圓洞、細長溝槽等等之任何形狀的間隙側壁」。又,如上所指明的,除了在間隙內或替代在間隙內形成可流動膜層,說明於此的程序可用於在平坦表面上形成可流動膜層。
回到圖1,沉積表面受到處理(方塊103)。處理操作在以下進一步說明;在某些實施例中,該等處理操作涉及將一或更多沉積表面暴露於遠端生 成的電漿。如下進一步所論述,說明於此的某些處理操作改善了成核均勻度以及減少或消弭成核缺陷。
根據各實施例,基板的所有沉積表面均暴露於一處理。在某些實施例中,基板表面可優先暴露。沉積表面可為一或多材料。例如對於間隙填充而言,界定間隙的側壁與底部表面可以是一材料或包括能暴露於該處理的多材料。參照圖2C,例如若襯裡層216存在,則其可能是唯一的沉積表面。然而若襯裡層216不存在,則沉積表面可包括矽基板201、襯墊的氧化矽層215與襯墊的氮化矽層213。間隙側壁及/或底部材料的範例包括氮化矽、氧化矽、碳化矽、氮氧化矽、碳氧化矽、矽化物、鍺化矽以及裸矽或其他半導體材料。特定的範例包括SiN、SiO2、SiC、SiON、NiSi以及多晶矽。用於BEOL處理之間隙側壁及/或底部材料的進一步範例包括銅、鉭、氮化鉭、鈦、氮化鈦、釕與鈷。某些實施例中,在可流動介電質沉積之前,間隙設有襯裡層、阻障層或形成於間隙內之其他類型的保形層體所設置,使得在方塊103中受處理的沉積表面包括保形層。
回到圖1,根據各實施例,方塊103內的處理可在與後續沉積相同或不同的腔室中進行。在後者的情況中,基板於方塊103之後被傳送至沉積腔室。應當注意到某些實施例中,說明於此的處理可偕同空斷(air break)使用或在無空斷的情況下使用。如下進一步所論述,即使以冗長的佇列時間暴露於周圍環境後,說明於此的實施例仍展示出其奏效性。因此,此處理與後續的沉積可於真空下在同一腔室或叢集設備中進行,但並無需要如此進行。此特性提供了程序的靈活性。
接著,可流動的介電膜沉積在受處理的表面上(方塊105)。在諸多實施例中,這涉及將基板暴露於包含介電前驅物與共同反應物的氣體反應物 中,使得凝結的可流動膜層形成在間隙中。根據各實施例,可能發生多樣的反應機制,包括發生在間隙內的一或更多反應、以及在至少部分膜層流入間隙的情況下發生在場區的反應。依照各實施例的沉積化學物和反應機制範例說明於下;然而,此方法不限於特定的化學物或機制。若是沉積氧化矽,介電前驅物可以是含矽化合物,而共同反應物可以是像是過氧化物、臭氧、氧、水汽等等的氧化化合物。如下所進一步論述的,沉積化學物也可包括一或更多的溶劑與以及催化劑。
矽前驅物的範例如下列舉。於此說明的表面處理可能對於較為疏水性的前驅物特別奏效。例如在某些實施例中,含矽前驅物包括一或更多Si-C鍵結。
程序氣體可同步引入反應器中,或者一或更多的組成氣體可先於其他者予以引入。此反應可以是非電漿的(化學的)反應或電漿輔助的反應。在上述併入作為參考文獻的美國專利申請案第12/334726號說明了藉由電漿輔助化學氣相沉積(PECVD)程序的可流動介電膜沉積。
在基板含有至少一待填充之間隙的情況中,沉積操作可利用可流動的介電材料進行到間隙僅部分填充、或至少到間隙完全填充。在某些實施例中,在循環包括前處理操作與沉積操作、以及後沉積處理操作(若有進行)的情況下,間隙經過單一循環而填充。在其他實施例中,進行多循環反應且操作105僅部分填充間隙。
在沉積操作之後,可進行選擇性的後沉積處理操作(方塊107)。後沉積處理操作可包括一或更多操作以緻密化剛沉積的膜層及/或將剛沉積的膜層化學性地轉變為需要的介電材料。例如,後沉積處理可涉及將膜層轉變為Si-O 網狀物並緻密化該膜層的氧化電漿。在其他實施例中,對於轉變與緻密化可進行不同的操作。緻密化處理也可指固化(cure)或退火(anneal)。該後沉積處理可就地進行(也就是在沉積模組中)、或離開原處在另一模組中進行、或是此二者的結合。後沉積處理操作的進一步說明提供如下。根據各種實施例,後處理操作可影響沉積膜層的全部或僅其表面部分。例如在某些實施例中,在氧化電漿下的暴露對全部厚度的沉積膜層氧化但僅對其表面部分緻密化。在其他實施例中,先前操作中所沉積的全部厚度均緻密化。
圖3為說明多循環程序的範例流程圖,在該多循環程序中,基板於可流動介電沉積之前在獨立模組中受到前處理。此程序始於處理一或更多沉積表面(方塊301)。接著基板傳送至可流動介電沉積模組(方塊303)。在某些實施例中,此傳送可處於真空或惰性大氣中。惰性大氣的範例包括氦(He)、氬(Ar)與氮(N2)。在其他實施例中(未繪製),此前處理可在沉積模組中就地進行而不需要傳送操作。一旦處於沉積模組,則沉積可流動介電膜以部分填充基板上的一或更多間隙(方塊305)。可進行選擇性的後沉積處理(方塊307)。若已沉積需求的厚度且不需要後沉積處理,則程序結束。若要進行離地固化,則晶圓傳送至固化模組(未繪製)。此固化模組可與用於操作301的模組相同或不同。另外,程序條件(例如處理類型、程序氣體組成、相對流速、電力等等)可與操作301相同或不同。若需要更多沉積,則取決於沉積表面是否要受到處理,此程序可能回到操作301或305。注意此時沉積表面可包括在先前循環中所沉積的及可能已受處理的材料。且,若基板已自沉積模組傳送以供後沉積操作之用,則程序可回到操作303。
依照各實施例,上述的圖1與圖3提供了處理流程範例。在本技術領域具通常技術能力者將了解到:說明於此的可流動介電質沉積方法可與其他程序流程一起使用,且特定的序列以及各種操作的存在與否將根據實施方式而有所變化。
在某些實施例中,於此揭露的前沉積處理操作可在多孔性介電質上進行。在某些此等實施例中,圖1中的操作105可以是密封多孔性介電質上之孔洞的孔洞密封操作。例如在於此處全文併入作為參考文獻的美國專利申請案第14/464071號中所說明的,在已蝕刻之超低k(ultra-low k,ULK)膜層上的可流動膜層沉積可用於在金屬化之前密封ULK膜層上的孔洞。此應用的程序中,可流動介電膜可藉由孔洞內的毛細凝結而沉積。於此揭露的方法也可在孔洞密封或藉由旋塗式玻璃沉積技術所進行的其他操作前,用於預處理多孔介電質表面。
表面處理
根據各實施例,提供改善成核的前沉積處理操作。如上所說明的,前沉積處理操作可發生在任何介電沉積已發生之前。在多循環操作中,前處理可在後續沉積操作之前進行或可不在後續沉積操作之前進行。
於此說明之本方法的實施例提供在沉積期間能夠潤濕且均衡成核的親水表面。親水表面之特徵在於具有約15°或更小的潤濕接觸角。於此說明的方法提供均勻表面使得表面上各處的生成速率均勻。無此等表面的情況下,沉積的膜層可能包含成核缺陷,這可包括不佳的厚度均勻性、空隙與表面缺陷。圖4顯示沉積於基板上之毯覆的可流動氧化膜光學顯微鏡影像。影像401係在未經前處理之氧化物上所沉積的2000Å膜層五倍放大影像,而影像403係在經前處理以產生親水表面的氧化物上所沉積的2000Å膜層五倍放大影像。像是膜層上 之突起與凹陷的成核缺陷在影像401中顯而易見。此突起與凹陷意味著因為不佳的潤濕與島狀物之生成所致的不均勻膜層厚度。影像403顯示因為良好潤濕與薄片生成所致的均勻平坦且無缺陷的膜層。
儘管可有效產生親水表面,但已發現某些表面處理會在基板與介電膜之間產生高濕式蝕刻速率(wet etch rate,WER)的介面層。如此的層體可在下游整合中引發問題。例如,已知基板表面的直接氫電漿處理會導致高WER的類氧化物介面層。而說明於此的表面處理方法可在不產生厚的高WER介面層下,產生親水表面以提供後續沉積操作的較佳潤濕。在某些實施方式中,此處理可導致氫氧基(-OH)封端的表面,以含矽表面為例,此處理可導致矽醇(Si-OH)封端的表面。若有形成,則介面層會非常薄,例如約20Å或更薄。
不受限於特定理論,據信在沉積腔室中使用直接電漿的電漿處理可能因為可能出現自先前沉積物之沉積腔室中的殘存水分而氧化基板表面,導致即使在由還原程序氣體(像是氫氣)所形成之電漿的存在下,仍會形成類氧化物介面層。對基板表面的氧化損害亦可能發生。因此,在表面處理為電漿處理的實施例中係使用遠端產生的電漿。
在某些實施方式中,此處理涉及使用不同化學物的多電漿暴露操作。圖5係說明包括多電漿暴露操作之基板表面處理程序的範例流程圖。首先,遠端電漿從具有還原化學物的程序氣體所產生(方塊501)。在某些實施例中,程序氣體有含氫的還原化學物。此等範例包括自氫(H2)、與氮(N2)混合的氫(H2)、氨(NH3)、聯氨(N2H2)及其組合所產生的遠端電漿。在某些實施例中,像是氬(Ar)或氦(He)的惰性載送氣體可包括在從中產生電漿的氣體中。電漿產生器係遠離於處理腔室,使得基板不直接暴露於產生的電漿,但暴露於自電漿產生器注入處 理腔室的活化物種。在某些實施例中,活化物種在抵達基板暴露區域以前,主要是大部分或實質上全部的離子已經再結合的非離子態自由基物種。在某些實施例中,處理腔室可包括遠端產生的電漿自其進入腔室的噴淋頭;噴淋頭可促進離子態再結合。遠端電漿可自不具有含氧化合物的程序氣體產生(在本技術領域具有通常技術能力者將瞭解可能存在有微量含氧成份)。
接著將基板暴露於遠端電漿(方塊503)。在某些實施例中,基板所暴露的主要反應物種為H自由基。某些實施例中,在具有H2的程序氣體中包括N2可助於H自由基形成。儘管基板可能暴露於氮(N)自由基及/或其他物種,但H自由基仍為主要反應物種。
在暴露之後,處理腔室可選擇性地予以抽氣以例如避免像是H2與氧(O2)及其電漿物種等用於各操作中之化學物的混合(方塊505)。
接著,遠端電漿產生自含氫的氧化程序氣體(方塊507)。此等範例包括產生自水(H2O)、過氧化氫(H2O2)、醇、一或更多之H2、H2/N2、NH3、N2H2與一或更多O2、臭氧(O3)、H2O、H2O2、CO2、CO混合物等等的遠端電漿。程序氣體的範例包括H2O,H2O2,H2/O3,H2/O2,H2O/O2,NH3/O2,NH3/H2O,H2/N2/O2,H2/CO2,H2/CO,H2/N2/CO2,H2/N2/CO與H2/N2/O3。除了含氫化合物與氧化化合物之外,引入遠端電漿產生器的程序氣體可包括促進電漿產生的一或更多氣體。例如,若使用H2O,則其可由O2或像是Ar或He的另一氣體所承載。在特定範例中,可使用體積比為10:1的O2:H2O。接著基板可暴露於具有氧化化學物的含氫遠端電漿中(方塊507)。如上關於方塊503的說明,基板主要可能暴露於具有相當少量或實質上無離子態物種存在的自由基電漿物種。
在某些實施例中,此處理可涉及對單電漿化學物的暴露。圖6顯示此等處理範例的處理流程。首先,遠端電漿產生自含有一或更多還原化合物(例如H2、NH3與N2H2)與一或更多氧化化合物(例如O2、O3、H2O、H2O2、CO2與CO)程序氣體。程序氣體的範例包括H2/O3、H2/O2、H2/H2O/O2、NH3/O2、NH3/H2O、NH3/H2O/O2、H2/N2/O2、H2/CO2、H2/CO、H2/N2/CO2、H2/N2/CO與H2/N2/O3。其次,將基板暴露於遠端電漿(方塊603),如上述關於圖5的說明,基板主要可能暴露於具有相當少量或實質上無離子態物種存在的自由基電漿物種。在某些實施例中,基板可暴露於氫(H)自由基、氧(O)自由基及/或氫氧(OH)自由基。在某些實施例中,氧化化合物具有高於水之氧化電位的氧化電位。
在某些實施例中,以下的反應機制可用於方塊503/507或603以化學性地改良Si-X表面,其中X可為氧(O)、碳(C)、氮(N)等等:
在上述機制中,含氫的還原化學物還原表面汙染物、移除碳質材料並產生Si-H鍵結。含氫的氧化化學物將表面鍵結氧化以形成Si-OH鍵結而不將其氧化為Si-O封端表面。在某些實施例中,在基板上之含矽材料的氧化物少於20A,使高WER介面層的形成減到最小。
已知至少在相對低溫時,暴露於產生自下列程序氣體之遠端電漿並未適當地製備表面以提供良好的成核:H2(單階段)、H2O(單階段)、以及H2後接O2(二階段)。在後者的情況中,已確信不受限於特定理論下,不含氫之氧化環境可導致Si-O封端的表面。進一步地建立出理論:在沒有氧化劑的存在下單階段的H2無法作用,而單階段的H2O並非夠強的氧化劑。
在某些實施例中,可調整表面處理的化學物以適於處於下方的基板,使得在基板表面的劣化減到最低的同時,形成促進沉積的-OH鍵結或其他親水鍵結。在操作501及/或505或603之任一者中、電漿由其所產生的程序氣體可根據沉積表面的組成變動。例如,在可流動介電質將沉積於SiN表面上的實施例中,程序氣體可包括含氮化合物以使氮的消耗減到最小。同樣地,若表面包含碳,則程序氣體可包括含碳化合物。這在如果待處理的表面是極薄層體的表面(像是襯裡層)時,格外重要。
範例的表面處理程序條件在以下提出:處理腔室壓力:30毫托(mTorr)~10托(Torr)
遠端電漿產生器功率:25W~5000W
基板噴淋頭間隔:8mm~45mm
處理時間:1秒~240秒
溫度:-20℃~300℃
相對低的溫度(相當或低於150℃)可容許此處理在可流動介電膜得以沉積的溫度下進行。
無論表面的組成為何,參照圖5與圖6於上說明的處理可用於提供同等的沉積表面。下方的表1顯示有經表面處理與未經表面處理之裸Si(原生氧化物)、SiN與熱SiO2的接觸角度。
沉積於表面之2000Å可流動氧化膜的影像(五倍放大)提供於圖7。影像701與702分別顯示沉積於未受處理與受處理之裸矽基板上的可流動氧化膜的均勻膜層厚度。如表1所示,裸矽基板即使在處理之前也是親水性的。此表面處理使得疏水性的SiN表面成為親水性。影像703顯示在未受處理之SiN基板上、與不均勻膜層厚度有關的突起與凹陷。如影像704所示,沉積在受處理之SiN基板上的可流動氧化膜係為均勻。此表面處理也使得疏水性的熱氧化物表面成為親水性。在未經處理的情況下,熱氧化物基板係對成核作用提供了最不利的表面(影像705)。然而,在處理熱氧化物後所沉積的可流動氧化物(影像706)則未顯示成核缺陷。儘管可流動介電潤濕較難處於疏水性的表面上,但是此表面處理可提供同等的親水性封端,使得熱氧化物膜上的成核與濕潤可以和例如裸矽基板或受處理的SiN基板上的成核與潤濕同等良好。
如上所指明的,此處理在某些實施例中可於相對低的溫度進行。除了容許該處理在低溫沉積程序的沉積溫度進行或靠近該沉積溫度進行,膜層厚度也可隨降低的溫度而改善。圖8係顯示在經50℃、150℃與375℃所處理之基板上若干處所測得之可流動氧化物沉積厚度圖表。本圖表說明了厚度均勻性係 於較低的溫度得到改善。因此,在某些實施例中,本處理進行於低於約150℃、或低於約100℃、或低於約50℃的溫度。
圖9顯示像是在此說明之遠端電漿表面處理與就地電漿表面處理的比較。如上述所指明的,直接的H2電漿處理可對成核作用提供良好表面,但是也已知其會產生不需要之氧化物的厚介面層。圖9顯示了沉積在受直接電漿處理後(影像901)之SiN襯裡層與受遠端電漿處理後(影像902)之SiN襯裡層的可流動氧化膜的掃描式電子顯微鏡(SEM)影像901與902。直接電漿處理涉及了在可流動氧化物沉積腔室內對直接H2電漿的暴露。在影像901中的高WER介面層由903標明。據信此層為具有高WER的未經摻雜(undoped)氧化層(非SiCOH)。如上所論述的,這會在整合(程序)過程中引起問題。影像902並未顯示出可見的介面層,而可流動氧化物填充顯示為在904處直接地接觸SiN襯裡層。此遠端電漿表面處理為H2/N2+H2O/O2的兩階段遠端電漿處理。
直接與遠端電漿處理的對比也在包含有SiO2襯裡層表面的間隙進行。與圖9所示的SiN表面相比,氧化物表面提供更為嚴峻的前沉積表面處理考驗。已知直接H2電漿處理在可流動氧化物的沉積填充中會導致側壁孔隙性。在遠端電漿處理(H2/N2+H2O/O2)後的可流動氧化物沉積並未顯示側壁孔隙性。
在前處理與沉積之間的佇列時間影響由在具有熱氧化物表面的基板上進行前沉積處理所決定,然後容許受處理的基板在可流動氧化物沉積前於空氣或N2大氣中等待。由光學顯微鏡所判定的沉積結果顯示於下表2。
表2中的結果意指:在可流動介電質沉積之前,此處理可偕同空斷(air break)進行。
在某些實施例中,除了遠端產生的電漿或替代遠端產生的電漿,表面處理可涉及存在有紫外光的照射下對物種的暴露。又,在某些實施例中,反應物種可經由對紫外光照射的暴露而活化。
儘管以下的說明主要是針對來自氣相反應物之可流動介電膜沉積的表面處理,但是本處理並不因此而受限,而是可以和親水性及/或吸附性為必要的任何程序一起使用。此等範例包括塗佈材料、潤濕化學物潔淨或蝕刻、電鍍、傳統熱化學氣相沉積(CVD)與次大氣壓CVD。另外,本處理可做為傳統潤濕化學物的另一可選方法來產生親水表面,包括在極窄及/或高深寬比特徵部以及對於因為毛細力導致結構損害而不能容許毛細力的半導體及微機電程序。
沉積化學物與後沉積處理
對於形成矽氧化物而言,程序氣體反應物通常包括含矽化合物與氧化劑,並且亦可包括催化劑、溶劑(及/或其他界面活性劑)以及其他添加物。此氣體也可包括一或更多摻雜物的前驅物,例如含碳、含氮、含氟、含磷及/或含硼的氣體。儘管不是必須,有時也存在有惰性載送氣體。在某些實施例中,此氣體係使用液態注入系統所導入。在某些實施例中,含矽化合物與氧化劑經 由分離的入口引入或在引入至反應器前於混合杯及/或噴淋頭中結合。催化劑及/或自選的摻雜物可包含於反應物之一者中,與反應物之一者預混合或作為一獨立的反應物引入。在例如圖1的方塊105或圖3的方塊305,基板可接著暴露於程序氣體。在某些實施例中,反應器中的條件係使得含矽化合物與氧化劑反應以在基板上形成凝結的可流動膜。膜層的形成可由催化劑的存在所幫助。本方法不限於特定的反應機制,例如本反應機制可涉及凝結反應、產生可凝結之氣相產物的氣相反應、反應前之一或更多反應物的凝結反應,或這些反應的結合。此基板係在程序氣體下暴露經過一段足以沉積可流動膜層之需要量的時間。對於間隙填充來說,此沉積可進行足夠長的時間以如同需求地至少填充部分間隙或填充並滿溢於間隙。
在某些實施例中,含矽前驅物為烷氧矽烷。可使用的烷氧矽烷包括但不限於下列:Hx-Si-(OR)y,其中x=0-3,x+y=4且R為被取代的或未被取代的烷基;R’x-Si-(OR)y,其中x=0-3,x+y=4,R為被取代的或未被取代的烷基,且R’為被取代的或未被取代的烷基、烷氧基或烷氧烷烴(alkoxyalkane)基;以及Hx(RO)y-Si-Si-(OR)yHx,其中x=0-2,x+y=3,且R為被取代的或未被取代的烷基。
含矽前驅物的範例包括但不限於烷氧烷烴,例如四氧甲基環四矽氧烷(TOMCTS)、八甲基環四矽氧烷(OMCTS)、四乙氧基矽烷(TEOS)、三乙氧基矽烷(TES)、三甲氧基矽烷(TriMOS)、甲基三乙氧基正矽酸鹽(MTEOS)、四甲基正矽酸鹽(TMOS)、甲基三甲氧基矽烷(MTMOS)、二甲基二甲氧基矽烷(DMDMOS)、二乙氧基矽烷(DES)、二甲氧基矽烷(DMOS)、三苯基乙氧基矽烷 (triphenylethoxysilane)、1-(三乙氧基矽基)-2-(二乙氧基甲基矽基)乙烷、3-t-丁氧基矽醇(tri-t-butoxylsilanol)、六甲氧基二矽烷(HMODS)、六乙氧基二矽烷(HEODS)、四異氰酸酯矽烷(TICS)、雙-叔-丁基氨基矽烷(BTBAS)、氫半矽氧烷(hydrogen silsesquioxane)、叔-丁氧基二矽烷(tert-butoxydisilane)、T8-氫球矽氧烷(T8-hydridospherosiloxane)、OetaHydro POSSTM(多面寡聚物半矽氧烷(Polyhedral Oligomeric Silsesquioxane))與1,2-二甲氧基-1,1,2,2-四甲基二矽烷。含矽前驅物的進一步範例包括但不限於甲矽烷(SiH4)、二矽烷、三矽烷、六矽烷、環六矽烷與例如甲基矽烷與乙基矽烷的烷基矽烷。
在某些實施例中,摻碳的矽前驅物可添加於另一前驅物(例如做為摻雜物)使用,或單獨使用。摻碳的前驅物可包括至少一Si-C鍵結。可使用的摻碳前驅物包括但不限於下列:R’x-Si-Ry,其中x=0-3,x+y=4,且R為被取代的或未被取代的烷基,而R’為被取代的或未被取代的烷基、烷氧基或烷氧烷烴;以及SiHxR’y-Rz,其中x=1-3,y=0-2,x+y+z=4,R為被取代的或未被取代的烷基,而R’為被取代的或未被取代的烷基、烷氧基或烷氧烷烴。
摻碳前驅物的範例在以上提出,還加上包括但不限於:三甲基矽烷(3MS)、四甲基矽烷(4MS)、二乙氧基甲基矽烷(DEMS)、二甲基二甲氧基矽烷(DMDMOS)、甲基-三乙氧基矽烷(MTES)、甲基-三甲氧基矽烷、甲基-二乙氧基矽烷、甲基-二甲氧基矽烷、三甲氧基甲基矽烷(TMOMS)、二甲氧基甲基矽烷,以及雙(三甲基矽基)碳二醯亞胺(bis(trimethylsilyl)carbodiimide)的進一步範例。
在某些實施例中則使用氨基矽烷前驅物。氨基矽烷前驅物包括但不限於下列: Hx-Si-(NR)y,其中x=0-3,x+y=4且R為氫化物基的有機物。
氨基矽烷前驅物的範例在以上提出,加上包括但不限於:-叔-丁基氨基矽烷(BTBAS)或三(二甲基氨基)矽烷(tris(dimethylamino)silane)的進一步範例。
適當的氧化劑範例包括但不限於臭氧(O3)、包括過氧化氫(H2O2)、氧(O2)、水(H2O)的過氧化物、像是甲醇、乙醇、異丙醇的醇類、一氧化氮(NO)、二氧化氮(NO2)、一氧化二氮(N2O)、一氧化碳(CO)及二氧化碳(CO2)。在某些實施例中,遠端電漿產生器可提供活化的氧化劑物種。
一或更多摻雜物的前驅物、催化劑、抑制劑、緩衝劑、界面活性劑、溶劑與其他化合物可予以引入。在某些實施例中係使用質子施體(donor)催化劑。質子施體催化劑的範例包括(1)包括硝酸、氫氟酸、磷酸、硫酸、鹽酸與溴酸的酸類;(2)包括R-COOH與R-C(=O)X的羧酸衍生物,其中R為替代的或非替代的烷基、芳基、乙醯基或酚,而X為鹵化物,還有R-COOC-R羧酸酐;(3)SixXyHz,其中x=1~2、y=1~3、z=1~3以及X為鹵化物;(4)RxSi-Xy其中x=1-3以及y=1-3;而R為烷基,烷氧基,烷氧基烷烴(函Q)、芳基、乙醯基或酚;而X為鹵化物;(5)氨及包含氫氧化銨、聯氨、羥胺以及R-NH2的衍生物,其中R為替代的或非替代的烷基、芳基、乙醯基或酚。
除了上述提出的催化劑範例,可使用的含鹵素化合物包括鹵化分子,包括像是二氯基矽烷(SiCl2H2)、三氯基矽烷(SiCl3H)、甲基氯基矽烷(SiCH3ClH2)、氯基三乙氧基矽烷(chlorotriethoxysilane)、氯基三甲氧基矽烷(chlorotrimethoxysilane)、氯基甲基二乙氧基矽烷(chloromethyldimethoxysilane),、氯基甲基二甲氧基矽烷(chloromethyldimethoxysilane)、乙烯三氯基矽烷 (vinyltrichlorosilane)、二乙氧基二氯基矽烷(diethoxydichlorosilane)以及六氯基二矽氧烷(hexachlorodisiloxane)的鹵化有機分子。可使用的酸類可以是如鹽酸(HCl)、硫酸(H2SO4)與磷酸(H3PO4)的無機酸;如甲酸(HCOOH)、乙酸(CH3COOH)以及三氟乙酸(CF3COOH)的有機酸。可使用的鹼類包括氨(NH3)或氫氧化銨(NH4OH)、磷化氫(PH3);以及其他含氮或含磷的有機化合物。催化劑的額外範例為氯基-二乙氧基矽烷、甲磺酸(CH3SO3H)、三氟基甲磺酸(「triflic」,CF3SO3H)、氯基-二甲氧基矽烷、啶(pyridine)、乙醯氯化物、氯基乙酸(CH2ClCO2H)、二氯基乙酸(CHCl2CO2H)、三氯基乙酸(CCl2CO2H)、乙二酸(HO2CCO2H)、苯甲酸(C6H5CO2H)以及三乙基胺(triethylamine)。
根據各實施例,催化劑及其他反應物可同時予以引入或以特定的次序引入。例如在某些實施例中,酸性的化合物可在沉積程序起始之時引入反應器以催化水解反應,接著鹼性化合物可在水解步驟的結束點附近引入以抑制水解反應並催化凝結反應。酸性物或鹼性物可藉由常態輸送或快速輸送或吹噴而引入,以在沉積程序期間快速催化或抑制水解或凝結反應。藉由吹噴的pH值調節與改變可發生在沉積程序過程中的任何時刻,而不同的程序時間安排及次序可導致針對不同應用所需要之不同性質的膜層。催化劑的一些範例於前述提出。其他催化劑的範例包括氫氯酸(HCl)、氫氟酸(HF)、乙酸、三氟基乙酸、甲酸、二氯基矽烷、三氯基矽烷、甲基三氯基矽烷、乙基三氯基矽烷、三甲氧基氯基矽烷以及三乙氧基氯基矽烷。可使用的快速輸送方法說明於美國專利第8278224號,該專利在此併入作為參考文獻。
界面活性劑可用於在基板表面上舒緩介面張力並增加反應物的潤濕。尤其在液相凝結時,界面活性劑也可增加介電前驅物與其他反應物的混 溶性。界面活性劑的範例包括溶劑、醇類、乙二醇以及聚乙二醇。因為含碳部分通常使得前驅物較為疏水,故對摻碳的矽前驅物可使用不同的界面活性劑。
溶劑可以是非極性或極性的以及質子性的或非質子性的。溶劑可匹配於介電前驅物的選擇以改善其在氧化劑的混溶性。非極性溶劑包括烷烴及烯烴;極性的非質子性溶劑包括丙酮以及乙酸酯;而極性的質子性溶劑包括醇類以及羧酸化合物。
可引入之溶劑的範例包括可與反應物混溶的:例如異丙醇、乙醇及甲醇的醇類,或像是乙醚、羧基、腈等的其他化合物。溶劑為選擇性的,而且在某些實施例中可獨立地引入,或者與氧化劑或另一程序氣體一起引入。溶劑的範例包括但不限於甲醇、乙醇、異丙醇、丙酮、二乙基乙醚、乙腈(acetonitrile)、二甲基甲醯胺(dimethylformamide)以及二甲基亞碸(dimethyl sulfoxide)、四氫呋喃(THF)、二氯基甲烷、己烷、苯、甲苯、異庚烷以及二乙基乙醚(diethylether)。在某些實施例中,此溶劑可在其他反應物之前藉由吹噴或常態輸送而引入。在某些實施例中,特別是在前驅物與氧化劑具有低混溶性的情況中,溶劑可藉由吹噴引入反應器以促進水解反應。
有時(但並非必須)也存在惰性載送氣體。例如,氮、氦及/或氬可隨著上述說明的化合物之一者引入腔室。
如上所指出,單獨的或與一或更多反應物結合之反應物(含矽前驅物、氧化劑、溶劑、催化劑等等)的任一者可在剩餘的反應物之前引入。又,在某些實施例中,在剩餘的反應物流已經關閉之後,一或更多反應物可繼續流入反應腔室中。
反應條件可使得含矽化合物與氧化劑經受凝結反應,以在基板表面上凝結而形成可流動膜層。在某些實施例中,此反應發生於暗態或無電漿的條件下。在其他實施例中,此反應發生在遠端產生或在沉積腔室內產生之電漿的存在下。透過PECVD反應所用於間隙填充之沉積可流動膜層的方法說明在美國專利申請案第12/334726號中,該申請案於此併入做為參考文獻。
腔室壓力可介於約1與200Torr之間,在某些實施例中,腔室壓力介於10與75Torr之間。在特定實施例中,腔室壓力約為10Torr。
程序氣體成分的分壓可就成分的蒸氣壓作為特徵,且其範圍如下所述,其中Pp為在反應溫度下的反應物分壓,以及Pvp為在反應溫度下的反應物蒸氣壓。
前驅物分壓比(Pp/Pvp)=0.01~1,例如0.01~0.5
氧化劑分壓比(Pp/Pvp)=0.25~2,例如0.5~1
溶劑分壓比(Pp/PvP)=0~1,例如0.1~1
在某些實施例中,程序氣體之特徵在於具有介於0.01與0.5之間的前驅物分壓比、介於0.5與1之間的氧化物分壓比以及介於0.1與1之間的溶劑(如果存在)分壓比。在同一或其他實施例中,程序氣體之特徵如下:氧化劑:前驅物分壓比(Pp氧化劑/Pp前驅物)=0.2~30,例如:5~15
溶劑:氧化劑分壓比(Pp溶劑/Pp氧化劑)=0~30,例如:0.1~5。
在某些實施例中,程序氣體之特徵在於氧化劑:介於約5與15之間的前驅物分壓比,以及介於約0.1與5之間的溶劑:氧化劑分壓比。
某些實施例中,基板溫度約在-20℃與100℃之間。某些實施例中,溫度約在-20℃與30℃之間,例如-10℃與10℃之間。可變動壓力與溫度以調節沉 積時間;高壓低溫通常有利於快速沉積。高溫低壓則導致較慢的沉積時間。因此,增加溫度可能需要增加的壓力。在一實施例中,溫度約為5℃而壓力約為10Torr。暴露時間取決於反應條件及需要的膜層厚度。根據各實施例,沉積速度從每分鐘約100埃到每分鐘1微米。在某些實施例中,沉積時間為0.1~180秒,例如1~90秒。
在這些條件下,基板暴露於反應物中經過足以沉積一可流動膜的時間。如上關於圖3所指明的,若為單循環沉積,則全部的需求膜厚可在方塊305中沉積。在利用多沉積操作的其他實施例中,於特定循環中則僅沉積需求膜厚的一部分。根據各種實施例,在方塊305期間基板可連續暴露於反應物,或者一或更多反應物可脈衝引入或間歇性引入。也如上所指明的,在某些實施例中,包括介電前驅物、共同反應物、催化劑或溶劑的一或更多反應物可在剩餘反應物的引入之前引入。
如上所論述的,可流動的膜層可選擇性地暴露於後沉積處理(見圖1與圖3的方塊107與307)。這可於沉積腔室中就地完成或在另一腔室中完成。後沉積處理操作可涉及任何或全部可導致物理性緻密化及/或化學性轉變剛沉積膜層的一或更多操作。某些實施例中,物理性緻密化涉及以較大物種替代較小物種,其可導致體積膨脹。例如可用Si-O物種代替Si-H物種。膨脹的膜層通常仍將更為緻密。
某些實施例中,後沉積處理可在無化學轉變的情況下緻密化。某些實施例中,轉變操作可獨立進行或完全不予進行。若獨立進行,轉變操作可在緻密化操作之前或後進行。在一範例中,膜層藉由暴露反應電漿的及後接藉由在惰性環境中熱退火進一步緻密化而轉變並部分緻密化。
根據各種實施例,膜層可由純粹的熱退火、對下游或直接電漿的暴露、對紫外光或微波照射的暴露或對另一能量源的暴露而緻密化。熱退火的溫度可以是300℃或更高(取決於可允許的熱預算)。此處理可在惰性環境(Ar、He等)中進行或在可能易反應的環境中進行。可使用氧化環境(使用O2,N2O,O3,H2O,H2O2,NO,NO2,CO,CO2等),然而在某些情形中,會避開含氮化合物以防止氮結合至膜層內。在其他實施例中,則可使用氮化環境(使用N2,N2O,NH3,NO,NO2等等)並可將一定數量的氮混合至膜層內。在某些實施例則使用氧化與氮化環境的結合。含碳化學物可用於將若干數量的碳混合至沉積膜層中。根據各種實施例,緻密化膜層的組成取決於剛沉積之膜層的組成及處理化學物。例如,某些實施例中係使用氧化電漿固化將剛沉積的膠體Si(OH)x轉變為SiO網狀物。在其他實施例中,剛沉積的膠體Si(OH)x轉變為SiON網狀物。在其他實施例中,剛沉積的膠體Si(NH)x轉變為SiON網狀物。
在某些實施例中,膜層藉由暴露於遠端或直接(電感式或電容式)電漿而受到處理。這能導致可流動膜層由上至下轉變為緻密化的固態膜層。電漿可以是惰性或反應性的。氦與氬電漿為惰性電漿的範例;氧與水汽(用於例如移除碳的需求)電漿為氧化電漿的範例。亦可使用含氫電漿。含氫電漿的範例為產生自氫氣(H2)與如惰性氣體之稀釋劑的混合物的電漿。電漿暴露期間的溫度通常約為25℃或更高。在某些實施例中,氧或含氧電漿係用於移除碳。在某些實施例中,電漿暴露期間的溫度可更低,例如-15℃至25℃。
後沉積處理期間的溫度可在0至600℃內變動,其中溫度範圍的上限係由特定處理階段中的熱預算所決定。例如,在某些實施例中,圖1或圖3所示的全部程序可在約低於400℃的溫度進行。此溫度範圍相容於NiSi或NiPtSi接 點。在某些實施例中,此溫度可在約200℃至550℃內變動。壓力可在0.1Torr至10Torr間,其中高氧化劑壓力係用於移除碳。
包括快速熱處理(RTP)的其他退火程序也可用於將膜層固體化以及收縮。若使用離地程序,則可使用更高的溫度及其他能量源。離地處理包括處於像是N2,O2,H2O,Ar與He的環境中進行高溫退火(700~1000℃)。在某些實施例中,離地處理涉及將膜層暴露於例如紫外光熱處理(UVTP)程序中的紫外光照射。例如,100℃或以上(例如100℃~400℃)的溫度偕同紫外光暴露可用於固化膜層。其他包括RTP或雷射退火的閃光固化(flash curing)程序也可用於異地處理。
某些實施例中,後沉積處理可涉及已沉積之可流動膜層的部分緻密化。包含有可流動介電膜之部分緻密化的整合程序範例說明於美國專利申請案第13/315123號,該案在此併入做為參考文獻。
根據具體實施方式,可流動介電沉積可能涉及各種反應機制。根據某些實施例之沉積可流動氧化膜方法中的反應機制範例說明於下。應當注意,儘管此等反應步驟為了說明本發明的各種態樣而提供有用的架構,但說明於此的方法毋須限於特定反應機制。
在某些實施例中,總體沉積程序可用兩階段的脈絡說明:水解與凝結。第一步驟涉及藉由氧化劑之含矽前驅物的水解反應。例如,含矽前驅物之烷氧基(-OR)可由氫氧基(-OH)所取代。氫氧基與殘存的烷氧基參與可導致水與醇類分子之釋出以及Si-O-Si連結之形成的凝結反應。在此機制中,即使烷氧矽烷的前驅物含有碳,但剛沉積的膜層可能不具有可察覺的碳成分。某些實施例中,反應物的分壓受到控制以促進底部向上的填充。液態凝結可在飽和壓以下、窄間隙中發生;反應物分壓控制毛細凝結。在某些實施例中,反應物分壓設定 為略低於飽和蒸氣壓。在水解媒介中,含矽前驅物在因為毛細凝結與表面張力而優先沉積於溝槽中的水表面上形成類流體膜層,導致底部向上的填充程序。
應當注意,說明於此的方法並不限於所說明的特定反應物、產物及反應機制,而是能夠與產生可流動介電膜的其他反應物及反應機制一起使用。還應當理解,沉積與退火可涉及多個不同的同步或連續反應機制。
接著是反應物凝結、水解與可流動介電膜在沉積表面上之起始的範例。沉積表面保持在像是-15℃至30℃(例如-5℃)的較低溫度。反應物包括含矽介電前驅物、氧化劑、自選催化劑與自選溶劑。介電前驅物在表面上吸收。在前驅物與氧化劑之間的液相反應導致前驅物的水解,形成例如吸附於沉積表面之矽醇基Si(OH)x的產物並開始膜層的生成。某些實施例中,溶劑的存在可改善混溶性與表面潤濕性。
接著是形成例如Si(OH)x鏈的產物聚合作用以及形成例如交鏈的Si-O鏈的產物凝結作用。凝結反應的結果是剛沉積的介電膜。在此階段,儘管Si-H基與氫氧基可留存,但有機基可實質上隨著醇類與水釋放為副產物而自膜層移除。在某些情況下,還殘存有少量但可偵測的碳基量。整體的碳含量可少於1%(原子態)。某些實施例中,實質上沒有碳基殘留,使得傅立葉轉換紅外光光譜儀(FTIR)無法測得Si-C基。接續本範例,剛沉積的膜層可於例如氧自由基、離子等之活化氧物種的存在下退火。在某些實施例中,退火具有兩個效果:(1)膜層的氧化,將SiOH與SiH轉變為SiO;以及(2)膜層的緻密化或收縮。氧對Si-H鍵結氧化並促進實質上不具有Si-H基的SiOx網狀物形成。可提高基板溫度至例如375℃以促進膜層的收縮與氧化。在其他實施例中,氧化與收縮操作可分開進 行。某些實施例中,氧化可發生在第一溫度(例如200℃),而進一步的緻密化發生在更高的溫度(例如375℃)。
某些實施例中,緻密化可根據膜層的限制而受限:例如,在間隙中的膜層係由間隙的側壁與底部所限制,間隙的頂部為唯一的自由表面。隨著臨界尺寸減少,可利用的自由表面更少、可能的弛緩(relaxation)更少,以及形成在自由表面的殼層或高密度區域更薄。在某些狀況中,位於高密度區域下的膜層並未緻密化。儘管由側壁形成的物理限制以及外殼層阻礙了緻密化,但反應物可擴散通過外殼層而形成低密度的介電膜。例如,氧物種仍可擴散,使得即使沒有實質緻密化的情況下仍氧化SiOH基與SiH基。
根據特定的反應物種,上述說明的反應機制僅為可用於依照本發明之反應機制的一範例。例如,某些實施例中,過氧化物可以與像是烷基矽烷的含矽前驅物反應,以形成包括含碳矽醇基的可流動膜層。在其他實施例中,可使用含Si-C或含Si-N的介電前驅物作為主要介電前驅物或摻雜物前驅物以引入碳或氮至由如上所述之水解與凝結反應所形成的膠體中。例如,三乙氧基矽烷可摻雜甲基-三乙氧基矽烷(CH3Si(OCH2)3),以將碳引入剛沉積的膜層。更進一步地,某些實施例中剛沉積的膜層為主要包括帶有N-H鍵結之Si-N鍵結的氮矽化物膜。
某些實施例中,可流動介電膜層可以是矽及含氮膜層,像是矽氮化物或矽氧氮化物。此膜層可藉由在使氣相反應物能反應形成可流動膜層的條件下,將氣相反應物引入至沉積腔室中所沉積。氣相反應物可包括由電漿產生的物種。此電漿可遠端產生或在沉積腔室中產生。結合至膜層中的氮可來自於一或更多來源,像是矽與含氮的前驅物(例如三矽烷基胺(TSA)或二矽烷基胺 (DSA)),氮前驅物(如氨(NH3)或聯氨(N2H4)、或注入電漿的含氮氣體(N2,NH3,NO,NO2,N2O)。沉積之後,可流動介電膜受到處理而完成一或更多下述事項:剛沉積膜層的化學轉變與緻密化。化學轉變可包括移除部分或全部的氮成分,以轉變Si(ON)x膜層為主要是SiO的網狀物。化學轉變也可包括自膜層移除一或更多-H、-OH、-CH與-NH物種。此等膜層可如上所述的緻密化。在某些實施例中,膜層在處理之後可能主要是SiN;或者膜層受到氧化而形成SiO網狀物或SiON網狀物。後沉積轉變處理可移除氮及/或胺基。如上所述,後沉積處理可包括對熱能、化學能、電漿能、紫外光、紅外光或微波能量的暴露。
設備
本發明之方法可在廣泛類別的模組上進行。本方法可實施於任何裝配有電漿處理及/或介電膜沉積的設備,包括高密度電漿化學氣相沉積(HDP-CVD)反應器、電漿輔助化學氣相沉積(PECVD)反應器、次大氣化學氣相沉積反應器、任何針對CVD反應所裝配的腔室,以及用於脈衝沉積層(pulsed deposition layers,PDL)的腔室。
此等設備可採取諸多不同形式。通常,此設備將包括一或更多模組,其中每一模組包括可容納一或更多晶圓並適於晶圓處理的腔室或反應器(有時包括多站點)。每一腔室可針對處理而容納一或更多晶圓。此一或更多腔室保持晶圓於一或多個界定的位置(在該位置內可能伴隨有例如旋轉、震動或其他搖動的運動或無伴隨運動)。在程序中,每一晶圓係由一台座、晶圓夾具及/或其他晶圓支撐設備而保持定位。對於晶圓將受到加熱的某操作而言,此設備可包含像是加熱板的加熱器。合適之反應器範例為可購自Fremont,Çalifronia之Lam Research的SequelTM反應器、VectorTM反應器、SpeedTM反應器與GammaTM反應器。
如上所論述的,根據各種實施例,表面處理可在與可流動介電沉積相同或不同的模組中發生。在此提供者係包括用於可流動介電間隙填充的一或更多模組的半導體製造設備。圖10A顯示包括晶圓傳送系統1095與裝載閘1090、遠端電漿處理模組1070與可流動沉積模組1080的範例設備配置1060。像是後沉積固化模組及/或一或更多遠端電漿處理模組1070或可流動沉積模組1080的額外模組也可包含於其中。
可用於前處理或後處理的其他模組包括SPEED或SPEED Max,INOVA反應式前潔淨模組(Reactive Preclean Module,RPM)、Altus ExtremeFill(EFx)模組、Vector Extreme Pre-treatment模組(用於電漿、紫外光或紅外光前處理)、SOLA(用於紫外光前處理)以及Vector或Vector Extreme模組。這些模組可連接至與可流動沉積模組相同的主幹。又,如上所述的,遠端電漿處理模組與可流動沉積模組可位於不同的主幹上。系統控制器可連接至任何或全部的設備零部件;此系統控制器的擺放與連接可根據特定的實施方式變動。系統控制器的範例參照圖11而說明於下。
圖10B為適於實施所請發明之表面處理方法的設備1050概要圖示。設備1050具有電漿源1001以及透過噴淋頭組件1005而與之分離的程序腔室1003。電漿源1001連接至一或更多氣體入口1011。噴淋頭1009形成噴淋頭組件1005的底部。惰性氣體入口1013在電漿源1001的下游且在基板1015與噴淋頭1009的上游。在程序腔室1003的內部,基板1015擱置在平台(或台座)1017上。平台1017可安裝加熱/冷卻元件。儘管如上所述,諸多實施例中僅將中性物種用於 處理,但在某些實施例中平台1017亦用以施加偏壓至基板1015。在程序腔室1003中的低壓係由真空幫浦及導管1019達成。
在操作中,處理的程序氣體係經由氣體入口1011引入至電漿源1001。引至電漿源的氣體包含將在電漿源中活化以形成電漿的化學性活化物種。氣體入口1011可以是任何類型的氣體入口,且可包括多埠或多噴口。若程序氣體包括多成分氣體,則它們可預先混合或分別引入電漿源1001。電漿源1001是引入電漿源之氣體的活化物種予以產生而形成電漿之處。在圖10B中,顯示出射頻(RF)電漿源具有電感線圈1016。將電感線圈1016通電而產生電漿。設備1050可包括在噴淋頭1009上游與電漿源1001下游的氣體入口1013。這些入口可選擇性地用於例如將惰性氣體與電漿混合。若氣體入口1013存在,則其可為任何類型的氣體入口,並可包括多埠或多噴口以使混合最有效地進行。噴淋頭1009導引電漿混合物通過噴淋頭孔1021進入程序腔室1003。噴淋頭孔1021可以有任何數目與排列方式以將程序腔室1003內的電漿均勻性最大化。在容許中性物種流進入程序腔室1003的同時,噴淋頭組件1005可具有施加電壓並中斷部分或實質上全部的離子流。如同所述,基板1015可為受溫控的。如上所述,電漿可與基板反應以化學性地改良基板。
圖11為適於實施所請發明之表面處理方法的設備1100概要圖示。在本例中,設備1100也能用於可流動介電沉積。設備1100包括處理腔室1118與遠端電漿產生器1106。處理腔室1118包括台座1120、噴淋頭1114、控制系統1122與說明於下的其他零部件。圖11的範例中,設備1100亦包括RF產生器1116,然而RF產生器可能未呈現於某些實施例中。
像是H2、H2O、O2及相似物的處理試劑與像是N2、Ar、He及其他的惰性或載送氣體係由像是來源1102的各種處理試劑源供應至遠端電漿產生器1106。處理試劑源可以是容納一試劑或試劑之混合物的儲存槽。此外,也可使用設備程度來源的試劑。
任何合適的遠端電漿產生器皆可使用。例如,可使用像是全部均可由MKS Instrument,Andover,Massachusetts購得的ASTRON® i Type AX7670、ASTRON® ex Type AX7680、ASTRON® ex Type AX7685,ASTRON® hf-s Type AX7645的遠端電漿潔淨(remote plasma cleaning,RPC)單元。RPC單元通常為使用所供應之潔淨試劑以產生微弱離子化電漿的自足元件。嵌入於RPC單元的高能RF產生器提供能量至電漿中的電子。此能量接著轉移至中性潔淨試劑分子,引發會導致潔淨試劑熱分解、數量級為2000K的溫度。由於RPC單元的高RF能量及導致潔淨試劑吸附大部分該能量的特殊通道幾何結構,RPC單元可分解90%以上的已進入潔淨試劑分子。
處理試劑混合物接著流經連接管路1108進入處理腔室1118,處理腔室1118為該混合物透過噴淋頭1114而散佈以處理台座1120上的晶圓或其他基板之處。
腔室1118可包括用於感測各種材料及其各自濃度、壓力、溫度及其他程序變因、並提供程序期間之反應器條件的資訊至系統控制器1122的感測器1124。可在程序期間用以監測的腔室感測器範例包括質量流控制器、像是壓力計的壓力感測器以及置於台座的熱電耦。感測器1124亦可包括紅外偵測器或光偵測器以監測氣體在腔室中的存在。揮發性副產物與其他過量氣體透過可包括真空幫浦與閥門的出口1126自反應器1118中移除。
在某些實施例中,系統控制器1122在處理及/或其後的沉積期間係用於控制程序條件。系統控制器1122通常包括一或更多記憶體元件與一或更多 處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連結、步進馬達控制器板等等。通常有與系統控制器1122相關的使用者介面。使用者介面可包括顯示螢幕、設備及/或程序條件的圖像軟體顯示器,以及像是指標裝置、鍵盤、觸控螢幕、麥克風等等的使用者輸入元件。
某些實施例中,系統控制器1122也可在程序期間控制所有作業,包括氣體流速、腔室壓力、產生器程序變數。系統控制器1122執行包括用於控制時間安排、氣體混合物、腔室壓力、台座(與基板)溫度、以及其他特定程序變數之指令集集合的系統控制軟體。此系統控制器也可藉由調節閥門、輸送系統中的液體輸送控制器與質量流速控制器(MFCs),以及流動限制閥及排出管線而控制腔室內各種程序氣體的濃度。系統控制器執行包括用於控制時間安排、氣體與液體流速、腔室壓力、基板溫度及其他特定程序變數之指令集集合的系統控制軟體。儲存於記憶體元件上、與控制器有關的其他電腦程式可用於某些實施例。某些實施例中,系統控制器控制基板進出設備之各種零部件的傳送。
在程序序列中用於控制程序的電腦程式碼可用任何習知的電腦可讀程式語言寫成:例如組合語言、C、C++、Pascal、Fortran或其他。編譯過的物件碼或腳本由處理器執行以運行程式內所指定的任務。此系統軟體可用諸多方式設計或設置。例如,各種腔室零部件的子程式或控制用途可予以撰寫來控制對於執行所說明之程序為必要的腔室零部件運作。用於此目的的程式或程式之部分的範例包括程序氣體控制碼、壓力控制碼與電漿控制碼。
控制器參數與例如每一操作的時間點、腔室內部的壓力、基板溫度、程序氣體流速、射頻電力還有上述說明的其他處理條件相關聯。此等參數以配方的形式提供給使用者並可利用使用者介面輸入。用於監測程序的信號可由系統控制器的類比及/或數位輸入連接所提供。用於控制程序的信號輸出在設備的類比與數位輸出連結上。
在某些實施方式中,控制器是系統的一部分,也是上述範例的部件。此系統可包含半導體處理裝備,包括一或多處理設備,一或多腔室、用於處理的一或多平台,及/或特定處理零部件(晶圓台座、氣體流系統等等)。這些系統可與在半導體晶圓或基板之處理前後及期間用於控制這些系統之操作的電子設備整合。電子設備可意指能控制系統之各種零部件或子部件的「控制器」。取決於處理要求及/或系統類型,該控制器可加以設計程式來控制在此揭露的任何程序,包括程序氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、電力設定、射頻產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體輸送設定、定位及操作設定、晶圓傳送進出設備及其他傳送設備及/或連結至或接合至一特定系統乘載閘。
概括而言,控制器可定義為具有各種積體電路、邏輯、記憶體的電子設備,及/或接收指令、發出指令、控制操作、實現潔淨操作、實現終點量測及其他雷同項之軟體。積體電路可包括存有程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特定應用積體電路(ASIC)的晶片,及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可能是以各種單獨設定(或程式檔案)的形式傳遞至控制器的指令,以定義在基板上或針對基板或屬於系統之用於執行特定程序的操作參數。在某些實施例中,操作參數可以是由製程專家所定義以在一或更多層體、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓沖模的製作期間完成一或更多處理步驟之配方的一部分。
某些實施方式中,控制器可耦接至電腦或為電腦的一部分,該電腦與系統整合、耦接或網連至系統,或其組合。例如,控制器可處於雲端或為工廠主電腦系統的部分或全部,這可供晶圓處理的遠端存取之用。此電腦可實現遠端存取系統以監測製程操作的當前進展、檢視過往製程操作的歷史、自複數個製程操作中檢查趨勢或效能度量、改變正在處理的參數、設定處理步驟以 接續目前處理,或啟動新程序。在某些範例中,遠端電腦(例如伺服器)可透過包括區域網路或網際網路的電腦網路將程序配方提供至系統。遠端電腦可包括實現參數及/或設定的程式設定輸入的使用者介面,而參數及/或設定接著自遠端電腦傳輸至系統。在某些範例中,控制器接收數據形式的指令集,該數據具體載明用於在一或更多操作期間待進行之每一處理步驟的參數。應當理解到,參數可能對於待進行的程序類型以及控制器所設置接合或控制的設備類型而言為特定。因此如上所述,控制器可予以分配,例如藉由包含網接在一起並針對共同目的(例如說明於此的程序或控制)運作的一或更多個別控制器。用於此目的之受分配控制器的範例為腔室上的一或更多積體電路,該一或更多積體電路連通於一或更多位於遠端並與之結合以控制腔室程序的積體電路(像是平台級或為遠端電腦的一部分)。
範例系統可不受限地包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤濕腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜角邊緣蝕刻腔室或模組、PVD腔室或模組、CVD腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻腔室或模組、離子佈植腔室或模組、徑跡腔室或模組、以及關於或用於半導體晶圓製程及/或量產的任何其他半導體處理系統。
如上所指明的,取決於程序步驟或將由設備所進行的步驟,控制器可能連通於一或更多其他設備電路或模組、其他設備零部件、叢集設備、其他設備介面、毗連設備、鄰近設備、位於工廠各處的設備、主電腦、另一控制器、或使晶圓容器進出半導體量產工廠中之設備所在處及/或裝載埠並用於材料傳送的設備。
所揭露的方法及設備也可實施於包含用於半導體製程的光刻術及/或圖案化硬體的系統中。此外,所揭露的方法可實施於在所揭露的方法之前或後有光刻術及/或圖案化程序的程序。說明於此及其上的設備/程序可偕同光刻 圖案化設備或程序使用於例如半導體元件、顯示器、發光二極體、太陽能板及類似物的製程或量產。儘管非必須,通常此等設備/程序在通用的製程設施中將一起使用或進行。膜層的光刻圖案化通常包含部分或全部的以下步驟,而每一步驟係以若干可行的設備所實現:(1)使用旋轉或噴灑設備之工件(亦即基板)上的光阻實施;(2)使用高溫板或爐管或紫外光固化設備的光阻固化;(3)使用像是晶圓步進器的設備將光阻暴露於可見光或紫外光或X射線下;(4)使用像是濕式清洗台的設備來顯影該光阻,以利選擇性地移除光阻並從而對其圖案化;(5)藉由乾式或電漿輔助蝕刻設備將光阻圖案轉印至下方層體或工件;以及(6)使用像是RF或微波電漿的光阻剝除劑移除光阻。
儘管為清楚了解的目的將前述發明以若干細節說明,某些改變及改良顯而易見地可在附加的申請專利範圍範疇內實施。應當注意到,存在有實施本發明之程序、系統、設備的諸多其他可選方式。因此,當前的實施例係視為說明而非限制;而本發明也不受限於在此提出的細節。

Claims (21)

  1. 一種處理基板的方法,包括:在一基板表面上的可流動介電質沉積之前,進行一多步驟處理,該多步驟處理包括將該基板表面暴露於遠端產生自一含氫還原程序氣體之電漿物種的一第一操作,以及將該基板表面暴露於遠端產生自一含氫氧化程序氣體之電漿物種的一第二操作,其中該第二操作在該第一操作之後進行。
  2. 如申請專利範圍第1項所述之處理基板的方法,其中該基板的溫度在該多步驟處理期間係介於-20℃與300℃之間。
  3. 如申請專利範圍第1項所述之處理基板的方法,其中該基板的溫度在該多步驟處理期間係介於-20℃與100℃之間。
  4. 如申請專利範圍第1項所述之處理基板的方法,其中該含氫還原程序氣體包括氫(H2)、氨(NH3)及聯氨(N2H2)之一或更多者。
  5. 如申請專利範圍第4項所述之處理基板的方法,其中該含氫氧化程序氣體包括水(H2O)與過氧化氫(H2O2)之一或更多者。
  6. 如申請專利範圍第1項所述之處理基板的方法,其中該含氫氧化程序氣體包括一含氫化合物與一含氧化合物的一混合物。
  7. 如申請專利範圍第1項所述之處理基板的方法,其中該含氫氧化程序氣體包括具有一或更多氫氧基(-OH)的化合物。
  8. 如申請專利範圍第1項所述之處理基板的方法,其中該含氫氧化程序氣體為H2、NH3及N2H2之一或更多者與氧(O2)、臭氧(O3)、H2O、H2O2、二氧化碳(CO2)與一氧化碳(CO)之一或更多者的一混合物。
  9. 如申請專利範圍第1項所述之處理基板的方法,其中在該第一操作中的主要反應物種為氫自由基。
  10. 如申請專利範圍第1項所述之處理基板的方法,其中該含氫還原程序氣體實質上不包括氧。
  11. 如申請專利範圍第1項所述之處理基板的方法,更包括將該基板表面暴露於一含矽氣相前驅物以及一共同反應物,從而在該基板表面上沉積一可流動介電膜。
  12. 如申請專利範圍第11項所述之處理基板的方法,其中該含矽氣相前驅物包括一矽(Si)-碳(C)鍵結。
  13. 如申請專利範圍第11項所述之處理基板的方法,其中該含矽氣相前驅物包括一矽-烷基鍵結。
  14. 如申請專利範圍第11項所述之處理基板的方法,其中該沉積發生在與該多步驟處理相同的腔室。
  15. 如申請專利範圍第11項所述之處理基板的方法,其中該沉積發生在與該多步驟處理不同的腔室。
  16. 如申請專利範圍第15項所述之處理基板的方法,其中在該多步驟處理與該沉積之間,該基板係暴露於空氣。
  17. 如申請專利範圍第1項所述之處理基板的方法,其中該多步驟處理導致氫氧基封端的基板表面。
  18. 一種處理基板的方法,包括:在一基板表面上的可流動介電質沉積之前,進行一多步驟處理,該多步驟處理包括將該基板表面暴露於一還原環境的一第一操作,以及將該基板表面暴露於一氧化環境的一第二操作。
  19. 一種處理基板的方法,包括:在一基板表面上的可流動介電沉積之前,將該基板表面暴露於一含氫的氧化環境,其中該含氫的氧化環境產生自一程序氣體,該程序氣體包括具有高於水(H2O)者之氧化電位的一含氧氧化劑。
  20. 一種處理基板的方法,包括:處理含矽表面,從而在不形成超過20Å厚的一氧化物界面層的情況下,將潤濕接觸角度減少至小於20°。
  21. 一種處理基板的設備,包括:一腔室,包括一基板支架;一電漿產生器,遠離於該腔室;一或更多入口,通往該電漿產生器;一管線,介於該電漿產生器與該腔室之間,並可將產生在該電漿產生器中的電漿物種引導至該腔室;以及一控制器,包含用於下列者之指令:一第一操作,引入一含氫還原程序氣體至該電漿產生器並導引產生的電漿物種至該腔室;以及一第二操作,在該第一操作之後進行、引入一含氫氧化程序氣體至該電漿產生器並導引該電漿物種至該腔室。
TW103136878A 2013-10-25 2014-10-24 基板表面上的可流動介電質沉積用處理 TWI663689B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361895676P 2013-10-25 2013-10-25
US61/895,676 2013-10-25
US14/519,400 US9847222B2 (en) 2013-10-25 2014-10-21 Treatment for flowable dielectric deposition on substrate surfaces
US14/519,400 2014-10-21

Publications (2)

Publication Number Publication Date
TW201532188A TW201532188A (zh) 2015-08-16
TWI663689B true TWI663689B (zh) 2019-06-21

Family

ID=52995910

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103136878A TWI663689B (zh) 2013-10-25 2014-10-24 基板表面上的可流動介電質沉積用處理

Country Status (3)

Country Link
US (1) US9847222B2 (zh)
KR (3) KR102345229B1 (zh)
TW (1) TWI663689B (zh)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10714436B2 (en) 2012-12-12 2020-07-14 Lam Research Corporation Systems and methods for achieving uniformity across a redistribution layer
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
CN106716606B (zh) * 2014-09-26 2022-09-13 英特尔公司 用于氧化等离子体后处理以减少光刻中毒的技术及相关结构
WO2017027430A1 (en) * 2015-08-07 2017-02-16 Sba Materials, Inc. Plasma damage management
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
WO2017223323A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable amorphous silicon films for gapfill applications
WO2018017684A1 (en) * 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition of flowable silicon-containing films
WO2018063288A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Methods & apparatus for high pressure cure of flowable dielectric films
US10062561B2 (en) 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11482412B2 (en) * 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI792005B (zh) * 2019-07-23 2023-02-11 美商應用材料股份有限公司 可流動cvd薄膜之表面粗糙度
JP7304768B2 (ja) * 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
WO2021108294A2 (en) 2019-11-27 2021-06-03 Applied Materials, Inc. Processing chamber with multiple plasma units
US11721542B2 (en) 2019-11-27 2023-08-08 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
US20210175075A1 (en) * 2019-12-09 2021-06-10 Applied Materials, Inc. Oxygen radical assisted dielectric film densification
US11594401B2 (en) 2020-02-25 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor wafer with wafer chuck having fluid guiding structure
US11615984B2 (en) * 2020-04-14 2023-03-28 Applied Materials, Inc. Method of dielectric material fill and treatment
US20210395883A1 (en) * 2020-06-22 2021-12-23 Tokyo Electron Limited System and Method for Thermally Cracking Ammonia
US11955381B2 (en) 2020-06-22 2024-04-09 Applied Materials, Inc. Low-temperature plasma pre-clean for selective gap fill
TWI749955B (zh) * 2020-09-28 2021-12-11 天虹科技股份有限公司 減少非輻射復合的微發光二極體的製作方法及製作機台
TW202223991A (zh) * 2020-10-21 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於可流動間隙填充之方法及裝置
US11723293B2 (en) 2021-03-26 2023-08-08 International Business Machines Corporation Reactivation of a deposited metal liner
US20220375747A1 (en) * 2021-05-20 2022-11-24 Applied Materials, Inc. Flowable CVD Film Defect Reduction
KR20230013712A (ko) * 2021-07-19 2023-01-27 삼성전자주식회사 반도체 장치
JP2023028517A (ja) * 2021-08-19 2023-03-03 東京エレクトロン株式会社 基板処理方法
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches

Family Cites Families (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1483144A (en) 1975-04-07 1977-08-17 British Petroleum Co Protective films
JPS618945A (ja) 1984-06-25 1986-01-16 Nec Corp 半導体集積回路装置
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
DE3787533T2 (de) 1987-12-21 1994-01-20 Union Carbide Corp Verwendung von superkritischen Flüssigkeiten als Verdünner beim Aufsprühen von Überzügen.
CA2009518C (en) 1990-02-07 2000-10-17 Luc Ouellet Spin-on glass processing technique for the fabrication of semiconductor device
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5932289A (en) 1991-05-28 1999-08-03 Trikon Technologies Limited Method for filling substrate recesses using pressure and heat treatment
US5387546A (en) 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
CA2137928C (en) 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JP3165938B2 (ja) 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
CA2131668C (en) 1993-12-23 1999-03-02 Carol Galli Isolation structure using liquid phase oxide deposition
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
US5534731A (en) 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
JPH08153784A (ja) 1994-11-28 1996-06-11 Nec Corp 半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5960300A (en) 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
JPH08279495A (ja) 1995-02-07 1996-10-22 Seiko Epson Corp プラズマ処理装置及びその方法
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5747381A (en) 1996-02-12 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Technique for the removal of residual spin-on-glass (SOG) after full SOG etchback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
KR0183886B1 (ko) 1996-06-17 1999-04-15 김광호 반도체장치의 트렌치 소자분리 방법
US5775808A (en) 1996-06-19 1998-07-07 Applied Materials, Inc. Apparatus for real-time, in situ measurement of temperature and a method of fabricating and using same
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
GB2331626B (en) 1996-08-24 2001-06-13 Trikon Equip Ltd Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US5879574A (en) 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5911833A (en) 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6114224A (en) 1997-01-21 2000-09-05 Advanced Micro Devices System and method for using N2 O plasma treatment to eliminate defects at an interface between a stop layer and an integral layered dielectric
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5866945A (en) 1997-10-16 1999-02-02 Advanced Micro Devices Borderless vias with HSQ gap filled patterned metal layers
TW354417B (en) 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
US6086679A (en) 1997-10-24 2000-07-11 Quester Technology, Inc. Deposition systems and processes for transport polymerization and chemical vapor deposition
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
JP3530021B2 (ja) 1998-05-25 2004-05-24 株式会社日立製作所 真空処理装置及びその処理台
TW405223B (en) 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6316052B1 (en) 1998-08-19 2001-11-13 Anelva Corporation Method for the surface treatment of vacuum materials and surface treated vacuum
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6583638B2 (en) 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
JP2002538604A (ja) 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
ES2261210T3 (es) 1999-06-21 2006-11-16 Kuhnil Pharmaceutical Co., Ltd. Comoposicion anestesica para inyeccion intravenosa que comprende propofol.
GB2354528B (en) 1999-09-25 2004-03-10 Trikon Holdings Ltd Delivery of liquid precursors to semiconductor processing reactors
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
TW439194B (en) 2000-01-24 2001-06-07 United Microelectronics Corp Manufacturing method of shallow trench isolation region
US6776875B2 (en) 2000-02-28 2004-08-17 Applied Materials Inc. Semiconductor substrate support assembly having lobed o-rings therein
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
KR100378180B1 (ko) * 2000-05-22 2003-03-29 삼성전자주식회사 화학기계적 연마 공정용 슬러리 및 이를 이용한 반도체소자의 제조방법
US6309933B1 (en) 2000-06-05 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method of fabricating T-shaped recessed polysilicon gate transistors
KR20010111058A (ko) 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6700099B2 (en) 2000-07-10 2004-03-02 Temptronic Corporation Wafer chuck having thermal plate with interleaved heating and cooling elements, interchangeable top surface assemblies and hard coated layer surfaces
JP4654544B2 (ja) 2000-07-12 2011-03-23 日産化学工業株式会社 リソグラフィー用ギャップフィル材形成組成物
US6323123B1 (en) 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
US6439244B1 (en) 2000-10-13 2002-08-27 Promos Technologies, Inc. Pedestal design for a sputter clean chamber to improve aluminum gap filling ability
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
KR100354442B1 (ko) 2000-12-11 2002-09-28 삼성전자 주식회사 반도체 장치의 스핀 온 글래스 절연막 형성 방법
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US7001778B2 (en) 2001-03-09 2006-02-21 Symetrix Corporation Method of making layered superlattice material with improved microstructure
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6828162B1 (en) 2001-06-28 2004-12-07 Advanced Micro Devices, Inc. System and method for active control of BPSG deposition
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6620745B2 (en) 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
GB2395493B (en) 2001-11-16 2005-03-09 Trikon Holdings Ltd Forming low K dielectric layers
US20030121898A1 (en) 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
AU2003215357A1 (en) 2002-02-22 2003-09-09 Terrasimco Inc. Bladder-based apparatus and method for dispensing coatings
TW538461B (en) 2002-02-26 2003-06-21 Silicon Integrated Sys Corp Device for depositing an insulating layer in a trench
DE10211544C1 (de) 2002-03-15 2003-11-27 Infineon Technologies Ag Verfahren zur Herstellung einer Kondensatorelektrode eines Grabenkondensators aus flüssiger Phase
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6743736B2 (en) 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6909300B2 (en) 2002-05-09 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for fabricating microelectronic fabrication electrical test apparatus electrical probe tip having pointed tips
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US6812135B2 (en) 2002-10-30 2004-11-02 Taiwan Semiconductor Manufacturing Company, Ltd Adhesion enhancement between CVD dielectric and spin-on low-k silicate films
AU2003296988A1 (en) 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
KR20040074348A (ko) 2003-02-17 2004-08-25 삼성전자주식회사 박막 형성 방법 및 이를 이용한 트렌치 소자 분리막의형성 방법
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
JP2004292636A (ja) 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040229452A1 (en) 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
US7074727B2 (en) 2003-07-09 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving dielectric properties in low-k organosilicate dielectric material
KR100513801B1 (ko) * 2003-07-24 2005-09-13 주식회사 하이닉스반도체 갭필을 위한 유동성 절연막을 구비하는 반도체 소자의제조 방법
US7122481B2 (en) 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7250370B2 (en) 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
KR100673884B1 (ko) 2003-09-22 2007-01-25 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100645458B1 (ko) 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100571658B1 (ko) 2003-11-21 2006-04-17 주식회사 하이닉스반도체 반도체소자 제조 방법
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
CN100452306C (zh) 2004-01-30 2009-01-14 东京毅力科创株式会社 具有流体间隙的衬底保持器和制造衬底保持器的方法
US20050191863A1 (en) 2004-02-05 2005-09-01 Olmer Leonard J. Semiconductor device contamination reduction in a fluorinated oxide deposition process
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
JP2005229075A (ja) 2004-02-16 2005-08-25 Tokyo Electron Ltd 積層膜の改質方法及び積層膜
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7504727B2 (en) 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US7033945B2 (en) 2004-06-01 2006-04-25 Applied Materials Gap filling with a composite layer
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7153783B2 (en) 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
KR100546161B1 (ko) 2004-07-13 2006-01-24 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 제조 방법
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7476602B2 (en) 2005-01-31 2009-01-13 Texas Instruments Incorporated N2 based plasma treatment for enhanced sidewall smoothing and pore sealing porous low-k dielectric films
US7135402B2 (en) 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US8598044B2 (en) 2005-03-25 2013-12-03 Renesas Electronics Corporation Method of fabricating a semiconductor device
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7575633B2 (en) 2005-05-17 2009-08-18 Nordson Corporation Fluid dispenser with positive displacement pump
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070054505A1 (en) 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
WO2007080944A1 (ja) 2006-01-13 2007-07-19 Tokyo Electron Limited 多孔質膜の成膜方法およびコンピュータ可読記録媒体
JP2007258585A (ja) 2006-03-24 2007-10-04 Tokyo Electron Ltd 基板載置機構および基板処理装置
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
CN101079391B (zh) 2006-05-26 2012-01-25 中芯国际集成电路制造(上海)有限公司 用于半导体器件的具有高间隙填充能力的方法
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2009539268A (ja) 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7948034B2 (en) 2006-06-22 2011-05-24 Suss Microtec Lithography, Gmbh Apparatus and method for semiconductor bonding
JP2008028058A (ja) 2006-07-20 2008-02-07 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、半導体装置及び記憶媒体
US7727906B1 (en) 2006-07-26 2010-06-01 Novellus Systems, Inc. H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
US20080054466A1 (en) 2006-08-31 2008-03-06 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
KR100839529B1 (ko) 2006-09-29 2008-06-19 주식회사 하이닉스반도체 반도체소자의 소자분리막 형성 방법
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP4863296B2 (ja) 2007-06-22 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101284146B1 (ko) 2007-07-19 2013-07-10 삼성전자주식회사 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
CN101569000B (zh) 2007-09-03 2011-07-13 佳能安内华股份有限公司 衬底热处理设备和衬底热处理方法
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
JP5014080B2 (ja) 2007-11-19 2012-08-29 コバレントマテリアル株式会社 面状ヒータ
WO2009085098A1 (en) 2007-12-19 2009-07-09 Lam Research Corporation Vapor phase repair and pore sealing of low-k dielectric materials
KR20100103627A (ko) 2007-12-21 2010-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판의 온도를 제어하기 위한 방법 및 장치
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
KR100945927B1 (ko) 2008-03-05 2010-03-05 주식회사 하이닉스반도체 반도체 메모리장치의 제조방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20100000684A1 (en) 2008-07-03 2010-01-07 Jong Yong Choi Dry etching apparatus
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US7804130B1 (en) 2008-08-26 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned V-channel MOSFET
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5172567B2 (ja) 2008-09-25 2013-03-27 株式会社東芝 膜形成用組成物、絶縁膜、半導体装置およびその製造方法
US20100109155A1 (en) 2008-11-05 2010-05-06 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect integration
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR101561862B1 (ko) 2008-12-26 2015-10-21 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
JP5225957B2 (ja) 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US7947551B1 (en) 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
KR20120089792A (ko) * 2010-12-09 2012-08-13 노벨러스 시스템즈, 인코포레이티드 고 종횡비 트렌치의 바텀 업 충전
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR20130131406A (ko) 2010-12-27 2013-12-03 다우 코닝 코포레이션 경화성 실리케이트-실록산 혼합된 매트릭스 멤브레인 조성물
US8664287B2 (en) 2011-05-16 2014-03-04 Eastman Kodah Company Photocuring methods and articles prepared therefrom
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120149213A1 (en) * 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches

Also Published As

Publication number Publication date
KR20150048084A (ko) 2015-05-06
KR102427218B1 (ko) 2022-07-28
TW201532188A (zh) 2015-08-16
KR20220110153A (ko) 2022-08-05
KR102572641B1 (ko) 2023-08-31
KR102345229B1 (ko) 2021-12-29
US20150118862A1 (en) 2015-04-30
US9847222B2 (en) 2017-12-19
KR20220002827A (ko) 2022-01-07

Similar Documents

Publication Publication Date Title
KR102427218B1 (ko) 기판 표면들 상에 유동성 유전체 디포지션 처리
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
US8685867B1 (en) Premetal dielectric integration process
US10049921B2 (en) Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
TWI581368B (zh) 高深寬比凹槽中向上填充
US20150118863A1 (en) Methods and apparatus for forming flowable dielectric films having low porosity
US8728958B2 (en) Gap fill integration
US9245739B2 (en) Low-K oxide deposition by hydrolysis and condensation
US11270896B2 (en) Apparatus for UV flowable dielectric
US9916977B2 (en) Low k dielectric deposition via UV driven photopolymerization
KR20120089792A (ko) 고 종횡비 트렌치의 바텀 업 충전
KR20160028359A (ko) 가수 분해 및 응결에 의한 저-k 옥사이드 증착
TW202411455A (zh) 用於先進記憶體應用中之成形堆疊縮放解決方案的高模數碳摻雜矽氧化物膜