KR20220042442A - 실리콘-함유 막들의 열적 원자 층 증착 (thermal atomic layer deposition) - Google Patents

실리콘-함유 막들의 열적 원자 층 증착 (thermal atomic layer deposition) Download PDF

Info

Publication number
KR20220042442A
KR20220042442A KR1020227007516A KR20227007516A KR20220042442A KR 20220042442 A KR20220042442 A KR 20220042442A KR 1020227007516 A KR1020227007516 A KR 1020227007516A KR 20227007516 A KR20227007516 A KR 20227007516A KR 20220042442 A KR20220042442 A KR 20220042442A
Authority
KR
South Korea
Prior art keywords
substrate
oxygen
processing chamber
plasma processing
plasma
Prior art date
Application number
KR1020227007516A
Other languages
English (en)
Inventor
어니쉬 굽타
텅페이 미아오
아드리안 라보이
더글러스 월터 애그뉴
이안 존 커틴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220042442A publication Critical patent/KR20220042442A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Abstract

실리콘 옥사이드 막, 실리콘 나이트라이드 막, 및 실리콘 옥시나이트라이드 막은 단일 웨이퍼 플라즈마 반응기에서 열적 ALD (atomic layer deposition) 에 의해 증착될 수도 있다. 단일 웨이퍼 플라즈마 반응기는 열적 ALD 및 PEALD (plasma-enhanced atomic layer deposition) 를 수행할 수 있다. 매우 컨포멀한 막들은 열적 ALD를 사용하여 기판에 대한 손상없이 또는 최소 손상으로 높은 증착 레이트로 증착될 수도 있다. 기판은 산화 및/또는 질화 동안 상승된 온도로 가열될 수도 있다. 일부 구현 예들에서, 상승된 온도는 약 500 ℃ 내지 약 750 ℃이다. 일부 구현 예들에서, 수소 및 산소는 산화 동안 반응 물질 가스들로서 흐를 수도 있고, 여기서 수소 및 산소는 옥사이드의 형성을 구동하기 위해 발열 반응에서 반응할 수도 있다.

Description

실리콘-함유 막들의 열적 원자 층 증착 (thermal atomic layer deposition)
반도체 디바이스 제조는 마이크로프로세서들, 로직, 및 메모리 디바이스들의 제조를 포함한다. 반도체 디바이스 제조는 옥사이드 및/또는 나이트라이드 막들의 증착을 수반할 수도 있다. 반도체 산업에서 디바이스 및 피처 사이즈가 계속해서 축소되고, 또한 3-D 디바이스 구조들이 집적 회로 (IC) 설계에서 보다 보편화됨에 따라, 컨포멀한 막들을 증착하는 능력이 계속해서 중요해질 것이다. 반도체 디바이스 제조는 나이트라이드 막들의 증착을 수반할 수도 있다. ALD (Atomic Layer Deposition) 는 컨포멀한 막들의 증착에 잘 맞는 막 형성 기법 (technique) 이다. ALD 프로세스들은 열적 ALD (thermal ALD) 및 플라즈마-강화된 ALD (plasma-enhanced ALD) 를 포함할 수도 있다.
본 명세서에 제공된 배경기술은 본 개시의 맥락을 일반적으로 제시할 목적들이다. 이 배경기술에 기술되는 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술 (description) 의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 포함되었다.
본 개시의 일 양태는 실리콘 옥사이드 막을 증착하는 방법에 관한 것이다. 방법은 플라즈마 프로세싱 챔버 내에 기판을 제공하는 단계, 플라즈마 프로세싱 챔버에서 열적 ALD (thermal atomic layer deposition) 를 통해 기판 상에 제 1 실리콘 옥사이드 층을 증착하는 단계, 및 플라즈마 프로세싱 챔버 내에서 플라즈마-강화된 ALD (PEALD) 를 통해 기판 상에 제 2 실리콘 옥사이드 층을 증착하는 단계를 포함한다.
일부 구현 예들에서, 열적 ALD에 의해 제 1 실리콘 옥사이드 층을 증착하는 단계는 기판을 상승된 온도로 가열하는 단계, 기판의 표면 상에 흡착하도록 기판을 실리콘-함유 전구체에 노출하는 단계, 및 기판이 상승된 온도로 가열되는 동안 산소-함유 반응 물질과 실리콘-함유 전구체 사이의 반응을 구동하여, 기판을 산소-함유 반응 물질에 노출하여 제 1 실리콘 옥사이드 층을 형성하는 단계를 포함한다. 일부 구현 예들에서, 상승된 온도는 약 500 ℃ 내지 약 750 ℃이다. 일부 구현 예들에서, 산소-함유 반응 물질은 산소 (O2), 오존 (O3), 과산화수소 (H2O2), 물 (H2O), 또는 이들의 조합들을 포함한다. 일부 구현 예들에서, 유기실리콘 전구체는 아미노실란을 포함한다. 일부 구현 예들에서, 플라즈마 프로세싱 챔버 내의 챔버 압력은 약 7 Torr 이상이다. 일부 구현 예들에서, 열적 ALD에 의해 제 1 실리콘 옥사이드 층을 증착하는 단계는 기판을 상승된 온도로 가열하는 단계, 기판의 표면 상에 흡착하도록 기판을 실리콘-함유 전구체에 노출하는 단계, 및 기판이 상승된 온도로 가열되는 동안, 플라즈마 프로세싱 챔버 내에서 기판을 향하여 수소 (H2) 및 산소 (O2) 를 흘리는 단계를 포함하고, 수소 및 산소가 플라즈마 프로세싱 챔버 내에서 반응하고, 여기서 제 1 실리콘 옥사이드 층은 기판 상에 형성된다. 일부 구현 예들에서, PEALD에 의해 제 2 실리콘 옥사이드 층을 증착하는 단계는, 기판의 표면 상에 흡착하도록 기판을 제 2 실리콘-함유 전구체에 노출하는 단계, 및 제 2 산소-함유 반응 물질로부터 생성된 플라즈마에 기판을 노출하는 단계를 포함하고, 플라즈마는 제 2 산소-함유 반응 물질의 반응성 종과 제 2 실리콘-함유 전구체 사이의 반응을 구동하여, 제 2 실리콘 옥사이드 층을 형성한다.
본 개시의 또 다른 양태는 실리콘 옥사이드 막을 증착하는 방법에 관한 것이다. 방법은 기판을 상승된 온도로 가열하는 단계, 플라즈마 프로세싱 챔버에서 기판의 표면 상에 흡착하도록 기판을 실리콘-함유 전구체에 노출하는 단계, 및 수소 (H2) 및 산소-함유 반응 물질을 플라즈마 프로세싱 챔버 내 기판을 향해 흘리는 단계를 포함하고, 수소 및 산소-함유 반응 물질은 플라즈마 프로세싱 챔버 내에서 반응하고, 실리콘 옥사이드 막의 층이 기판 상에 형성된다.
일부 구현 예들에서, 수소 및 산소-함유 반응 물질은 발열 반응 (exothermic reaction) 에서 플라즈마 프로세싱 챔버 내에서 서로 인 시츄 (in situ) 반응하고 실리콘 옥사이드 막의 층의 형성을 구동한다. 일부 구현 예들에서, 상승된 온도는 약 500 ℃ 내지 약 650 ℃이다. 일부 구현 예들에서, 플라즈마 프로세싱 챔버의 챔버 압력은 약 7 Torr 이상이다. 일부 구현 예들에서, 산소-함유 반응 물질은 산소 (O2) 또는 오존 (O3) 을 포함한다. 일부 구현 예들에서, 방법은 플라즈마 프로세싱 챔버 내에서 수소 및 산소-함유 반응 물질로부터 생성된 플라즈마를 점화하도록 플라즈마 전력을 플라즈마 프로세싱 챔버에 인가하는 단계를 더 포함한다. 일부 구현 예들에서, 수소 및 산소-함유 반응 물질을 흘리는 단계는 산소-함유 반응 물질을 플라즈마 프로세싱 챔버 내로 연속적으로 흘리는 단계, 및 수소를 플라즈마 프로세싱 챔버 내로 규칙적인 인터벌들로 펄싱하는 단계를 포함한다. 일부 구현 예들에서, (i) 기판을 실리콘-함유 전구체에 노출하는 단계 및 (ii) 수소 및 산소-함유 반응 물질을 흘리는 단계는 열적 ALD (atomic layer deposition) 프로세스에서 순환적으로 수행된다. 일부 구현 예들에서, (i) 기판을 실리콘-함유 전구체에 노출하는 단계 및 (ii) 수소 및 산소-함유 반응 물질을 흘리는 단계는 열적 CVD (chemical vaporr deposition) 프로세스에서 연속적으로 수행된다. 일부 구현 예들에서, 방법은 플라즈마 프로세싱 챔버 내에서 PEALD를 통해 기판 상에 실리콘 옥사이드 막의 하나 이상의 부가적인 층들을 증착하는 단계를 더 포함한다.
본 개시의 또 다른 양태는 실리콘 옥사이드 막을 증착하기 위한 플라즈마 장치에 관한 것이다. 플라즈마 장치는 플라즈마 프로세싱 챔버, 기판을 지지하기 위한 기판 지지부가 상승된 온도로 가열되도록 구성된, 플라즈마 프로세싱 챔버 내의 기판 지지부, 전구체들 및 반응 물질들을 플라즈마 프로세싱 챔버 내로 전달을 위해 플라즈마 프로세싱 챔버에 유체적으로 커플링된 샤워헤드, 플라즈마 프로세싱 챔버 내에서 플라즈마에 전력을 공급하도록 구성된 RF 전력 공급부, 및 제어기를 포함한다. 제어기는 기판을 상승된 온도로 가열하는 동작, 플라즈마 프로세싱 챔버에서 기판의 표면 상에 흡착하도록 기판을 실리콘-함유 전구체에 노출하는 동작, 및 수소 (H2) 및 산소-함유 반응 물질을 플라즈마 프로세싱 챔버 내 기판을 향해 흘리는 동작을 수행하기 위한 인스트럭션들로 구성되고, 수소 및 산소-함유 반응 물질은 플라즈마 프로세싱 챔버 내에서 반응하고, 실리콘 옥사이드 막의 층이 기판 상에 형성된다.
일부 구현 예들에서, 제어기는: 플라즈마 프로세싱 챔버 내에서 수소 및 산소-함유 반응 물질로부터 생성된 플라즈마를 점화하도록 플라즈마 프로세싱 챔버에 플라즈마 전력을 인가하는 동작을 수행하기 위한 인스트럭션들로 더 구성된다. 일부 구현 예들에서, 제어기는: 플라즈마 프로세싱 챔버 내에서 PEALD를 통해 기판 상에 실리콘 옥사이드 막의 하나 이상의 부가적인 층들을 증착하는 동작들을 수행하기 위한 인스트럭션들로 더 구성된다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 기술된다.
도 1은 다양한 막들을 증착하기 위한 예시적인 열적 ALD (thermal atomic layer deposition) 퍼니스 반응기 (furnace reactor) 의 개략도를 도시한다.
도 2는 다양한 막들을 증착하기 위해 PEALD (plasma-enhanced atomic layer deposition) 를 수행하도록 구성된 예시적인 플라즈마 반응기의 개략도를 도시한다.
도 3a는 일부 구현 예들에 따라 열적 ALD 및 PEALD를 사용하여 실리콘 옥사이드 막을 증착하기 위한 예시적인 프로세스의 흐름도를 도시한다.
도 3b는 일부 구현 예들에 따라 열적 ALD를 사용하여 실리콘 옥사이드 막을 증착하기 위한 예시적인 프로세스의 흐름도를 도시한다.
도 4는 일부 구현 예들에 따라 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클 및 PEALD 사이클을 도시하는 예시적인 타이밍 시퀀스도를 예시한다.
도 5는 일부 구현 예들에 따라 함께 흐르는 (co-flow) 수소 및 산소-함유 반응 물질과 함께 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 예시한다.
도 6은 일부 구현 예들에 따른 저 RF 플라즈마 전력으로 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 예시한다.
도 7은 일부 구현 예들에 따른 산화 동안 펄싱 수소 플로우로 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 예시한다.
도 8은 일부 구현 예들에 따른 산화 동안 리모트 플라즈마 소스로부터 생성된 산소 라디칼들을 갖는 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 예시한다.
도 9는 일부 구현 예들에 따른, 실리콘 옥사이드 막을 증착하기 위한 플라즈마 산화/질화와 함께 PEALD 사이클이 이어지는 함께 흐르는 수소 및 산소를 사용한 열적 ALD 사이클을 도시하는 예시적인 타이밍 시퀀스도를 예시한다.
도 10은 일부 구현 예들에 따라 실리콘 옥사이드 막을 증착하기 위해 함께 흐르는 실리콘-함유 전구체, 수소, 및 산소-함유 반응 물질을 사용하는 열적 CVD를 도시하는 예시적인 타이밍 시퀀스도를 예시한다.
도 11은 일부 구현 예들에 따른 열적 ALD를 사용하여 실리콘 옥사이드 막을 증착하기 위한 예시적인 플라즈마 프로세싱 장치의 개략도이다.
도 12는 개시된 구현 예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
도 13은 몇몇 ALD 사이클들을 사용하는 열적 ALD에 의해 핀 구조체들 (fin structures) 상에 증착된 실리콘 옥사이드 막의 이미지를 도시한다.
본 개시에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 이하의 상세한 기술 (description) 은 본 개시가 웨이퍼 상에서 구현된다는 것을 가정한다. 그러나, 본 개시는 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 본 개시의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다.
도입
실리콘-함유 막들은 다양한 물리적, 화학적 및 기계적 특성들을 갖고 반도체 제조 프로세스들에서 종종 사용된다. 예를 들어, 실리콘 나이트라이드, 실리콘 옥사이드, 또는 실리콘 옥시나이트라이드 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 에칭 정지 층들, 유전체 막들, 및 캡슐화 층들로서 사용될 수도 있다. 예를 들어, 실리콘 옥사이드 막들은 반도체 디바이스에서 저-k 유전체 막으로서 사용될 수도 있다. 다양한 적용 예들에서, 실리콘-함유 막들은 CVD (chemical vapor deposition) 또는 ALD (atomic layer deposition) 에 의해 증착된다. 다양한 구현 예들에서, 실리콘-함유 막들은 기판의 피처들 상에 컨포멀하게 (conformally) 증착된다.
ALD는 순차적인 자기-제한 (self-limiting) 반응들을 사용하는 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면에 적어도 하나의 전구체를 전달하고 흡착하는 동작들, 및 이어서 부분적인 막 층을 형성하도록 흡착된 전구체를 하나 이상의 반응 물질과 반응시키는 동작을 포함한다. 퍼지 단계들이 통상적으로 전구체의 전달과 하나 이상의 반응 물질들의 전달 사이에 수행된다.
열적 ALD (thermal ALD) 는 흡착된 전구체와 하나 이상의 반응 물질들 사이의 반응을 유발하기 위해 열을 사용한다. 열적 ALD는 특정한 타입들의 재료들을 증착하는데 잘 작동할 수도 있지만, 열적 ALD는 종종 긴 반응 완료 시간들로 인해 느린 증착 레이트를 갖는다. 열적 ALD는 종종 매우 높은 온도들에서 수행되지만, 많은 화학적 전구체들 또는 반응 물질들은 이러한 상승된 온도들에서 분해 (예를 들어, 열분해 (pyrolysis)) 될 수도 있다.
PEALD는 플라즈마 내에서 흡착된 전구체와 반응 물질 라디칼 사이의 반응을 촉진하도록 플라즈마를 사용한다. 반응 물질 플라즈마는 흡착된 전구체와 반응하고 증착된 재료를 형성하도록 증착 챔버 내로 펄싱된다. PEALD는 보다 높은 증착 레이트를 가질 수도 있고 열적 ALD보다 낮은 온도에서 동작할 수도 있다. PEALD 프로세스들이 열적 ALD의 일부 단점들을 극복할 수도 있지만, PEALD 프로세스들은 일부 제한들을 가질 수도 있다. 예를 들어, PEALD는 기판에 플라즈마 손상 (예를 들어, 에칭, 산화) 을 유발할 수도 있고, 이러한 플라즈마 손상은 실리콘, 게르마늄, 실리콘-게르마늄, 탄소, 및 몰리브덴, 텅스텐, 구리, 코발트, 루테늄, 로듐 및 이리듐와 같은 금속들과 같은 민감한 기판 재료들 상에서 발생할 수도 있다. 부가적으로, PEALD는 특정한 화학적 전구체들과 호환되지 않을 수도 있다.
열적 ALD에 의해 막들을 증착하기 위한 종래의 방법들은 퍼니스 반응기들 또는 배치 (batch) 반응기들을 사용하여 달성된다. 일부 퍼니스 반응기들은 보다 균일한 온도 분포 및 감소된 대류 효과의 이점을 갖는 고온 벽 시스템들일 수 있다.
도 1은 다양한 막들을 증착하기 위한 예시적인 열적 원자 층 증착 퍼니스 (furnace) 반응기의 개략도를 도시한다. 열적 ALD 퍼니스 반응기 (100) 는 또한 열적 CVD 반응기로서 대체될 수 있다는 것이 이해될 것이다. 열적 ALD 퍼니스 반응기 (100) 는 열적 ALD 퍼니스 반응기 (100) 의 벽 (102) 을 둘러싸는 복수의 히터들 (110) 을 포함할 수 있다. 복수의 히터들 (110) 은 열적 ALD 퍼니스 반응기 (100) 를 따라 축 방향 온도의 일부 제어를 허용하는 복수의 가열 존들을 제공할 수 있다. 일부 구현 예들에서, 열적 ALD 퍼니스 반응기 (100) 의 온도 범위는 약 650 ℃ 내지 약 1150 ℃이도록 제어된다. 도 1의 열적 ALD 퍼니스 반응기 (100) 의 구현 예는 고온 벽 시스템이다.
열적 ALD 퍼니스 반응기 (100) 는 서로 위에 적층된 복수의 웨이퍼들 (106) 을 포함할 수 있다. 웨이퍼들 (106) 각각은 웨이퍼 지지부 (104) 에 의해 지지될 수도 있고 중력에 의해 홀딩될 수도 있다. 열적 ALD 퍼니스 반응기 (100) 의 수직 방향을 따른 웨이퍼-대-웨이퍼 간격은 균일할 수 있다. 이는 수십 또는 수백 개의 웨이퍼들 (106) 이 열적 ALD 퍼니스 반응기 (100) 를 통해 단일 실행 (run) 으로 배치 프로세싱되게 한다. 열적 ALD 퍼니스 반응기 (100) 는 수직으로 분리된 방식으로 웨이퍼들 (106) 을 홀딩하는 것으로 도시되지만, 열적 ALD 퍼니스 반응기 (100) 는 수평으로 분리된 방식으로 웨이퍼들 (106) 을 홀딩할 수 있다는 것이 이해될 것이다.
반응 물질 가스들 (130) 은 가스 유입구 (122) 를 통해 흘림으로써 열적 ALD 퍼니스 반응기 (100) 로 들어간다. 반응 물질 가스들 (130) 은 흡착을 위한 전구체들에 이어 흡착된 전구체들과 반응하기 위한 반응 물질 종들을 포함할 수 있다. 반응 물질 가스들 (130) 의 플로우의 타이밍 및 레이트는 당업계에 공지된 바와 같이, 예를 들어, 밸브들 및 질량 유량 제어기들에 의해 제어될 수 있다. 반응 물질 가스들 (130) 은 대류에 의해 열적 ALD 퍼니스 반응기 (100) 를 통해 순환하고 확산에 의해 웨이퍼들 (106) 을 향해 흐른다. 웨이퍼들 (106) 각각 상에 박막들을 증착하기 위해, 열적 ALD 퍼니스 반응기 (100) 는 저압으로 감소될 수 있고 약 700 ℃보다 높은 온도, 또는 약 700 ℃ 내지 850 ℃, 또는 약 700 ℃ 내지 약 800 ℃의 온도와 같은 바람직한 증착 온도로 가열될 수 있다. 고온은 웨이퍼들 (106) 각각 상에 박막들을 형성하도록 반응 물질 가스들 (130) 사이의 화학 반응을 구동하고, 여기서 반응 물질 가스들 (130) 은 펄스들로 순차적으로 전달될 수도 있다. 반응 물질 가스들 (130) 은 가스 유입구 (122) 를 통해 전달되고 웨이퍼들 (106) 각각을 향해 확산된다. 과잉 반응 물질 가스들 (130) 은 가스 유출구 (124) 를 통해 열적 ALD 퍼니스 반응기 (100) 를 나갈 수도 있다. 증착 온도는 충분한 쓰루풋을 위해 충분한 증착 레이트를 달성하기 위해 높게 유지되어야 한다.
실리콘 옥사이드, 실리콘 나이트라이드, 알루미늄 나이트라이드, 알루미늄 옥사이드, 및 티타늄 옥사이드와 같은 다양한 옥사이드들 및 나이트라이드들은 도 1의 열적 ALD 퍼니스 반응기 (100) 와 같은 열적 ALD 반응기를 사용하여 증착될 수도 있다. 그러나, 열적 ALD 반응기에서 이러한 옥사이드들 및 나이트라이드들의 증착은 높은 열적 예산을 필요로 할 수도 있다. 예를 들어, 프로세싱 온도들은 열적 ALD에 대해 700 ℃보다 높을 수도 있다. 이에 더하여, 열적 ALD 반응기는 웨이퍼 표면 각각에 걸쳐 그리고 반응기의 상단부로부터 반응기의 하단부로 열적 ALD 반응기를 통해 두께 변동들을 발생시키는 화학적 공핍 효과들을 겪을 수도 있다. 더욱이, 플라즈마 반응기들에서 후속하는 웨이퍼 프로세싱은 상이한 툴들 및 플랫폼들 사이의 이송을 필요로 할 수도 있고, 프로세싱 시간, 프로세싱 단계들, 비용, 및 원치 않은 재료들 또는 입자들이 웨이퍼들과 콘택트할 가능성을 증가시킨다.
도 2는 다양한 막들을 증착하기 위해 플라즈마-강화된 원자 층 증착 (plasma-enhanced atomic layer deposition) 을 수행하도록 구성된 예시적인 플라즈마 반응기의 개략도를 도시한다. 플라즈마 반응기 (200) 는 기판 (232) 을 지지하도록 구성된 기판 지지부 (230) 를 갖는 플라즈마 프로세싱 챔버 (210) 를 포함한다. 제 1 가스 (242) 는 플라즈마 프로세싱 챔버 (210) 에 커플링된 제 1 가스 유입구 (252) 를 통해 플라즈마 프로세싱 챔버 (210) 내로 전달될 수도 있고, 제 1 가스 (242) 는 기판 (232) 의 표면 상에 흡착하기 위한 전구체들을 포함할 수도 있다. 제 2 가스 (244) 는 플라즈마 프로세싱 챔버 (210) 에 커플링된 제 2 가스 유입구 또는 샤워헤드 (254) 를 통해 플라즈마 프로세싱 챔버 (210) 내로 전달될 수도 있고, 제 2 가스 (244) 는 플라즈마 생성을 위한 가스 반응 물질들을 포함할 수도 있다. 일부 구현 예들에서, 제 1 가스 (242) 는 샤워헤드 (254) 를 통해 플라즈마 프로세싱 챔버 (210) 내로 전달될 수도 있다는 것이 이해될 것이다. 반응하지 않은 가스 또는 부산물들 (246) 은 가스 유출구 또는 펌프 (256) 를 통해 플라즈마 프로세싱 챔버 (210) 를 나갈 수도 있다.
플라즈마 반응기 (200) 는 플라즈마 프로세싱 챔버 (210) 에 커플링되고 플라즈마 프로세싱 챔버 (210) 내에서 플라즈마 (250) 를 생성하도록 구성된 전력 소스 (240) 를 포함한다. 예를 들어, 전력 소스 (240) 는 샤워헤드 (254) 또는 기판 지지부 (230) 중 하나에 커플링될 수도 있다. RF 전압이 샤워헤드 (254) 의 전극에 인가될 수도 있고, 플라즈마 (250) 는 이격된 2 개의 전극들 사이에서 생성될 수도 있다. 플라즈마 (250) 는 상대적으로 저압에서 생성될 수도 있다. 플라즈마 (250) 의 사용은 플라즈마 (250) 내 라디칼들의 고 반응성으로 인해 기판 (232) 상의 막들의 성장/형성을 위한 온도를 감소시킨다.
실리콘 옥사이드, 실리콘 나이트라이드, 알루미늄 나이트라이드, 알루미늄 옥사이드, 및 티타늄 옥사이드와 같은 다양한 옥사이드들 및 나이트라이드들은 도 2의 플라즈마 반응기 (200) 와 같은 플라즈마 반응기를 사용하여 증착될 수도 있다. PEALD 프로세스들에서 낮은 증착 온도 및 라디칼들의 고 반응성은 열적 ALD 프로세스들에서 어렵거나 불가능한 많은 화학 반응 스킴들을 발생시킬 수도 있다. 그러나, PEALD 프로세스들은 민감한 기판들 상에서 플라즈마 손상 또는 플라즈마 산화와 같은 기판 손상을 유발할 수도 있다.
플라즈마 프로세싱 챔버의 열적 ALD
본 개시는 단일 웨이퍼 플라즈마 반응기에서 열적 ALD를 사용하여 기판 상의 옥사이드 및/또는 나이트라이드 막들의 증착에 관한 것이다. 옥사이드 및/또는 나이트라이드 막들은 실리콘-함유 막들일 수도 있고, 실리콘-함유 막들은 실리콘 옥사이드 (SiOx), 실리콘 나이트라이드 (SixNy), 또는 실리콘 옥시나이트라이드 (SiOxNy) 일 수도 있다. 이러한 실리콘-함유 막들이 PEALD 프로세스들에 의해 통상적으로 증착될 수도 있지만, 이러한 실리콘-함유 막들은 PEALD 프로세스들에 사용된 동일한 플라즈마 반응기 내에서 열적 ALD에 의해 증착될 수도 있다. 일부 구현 예들에서, PEALD 프로세스들과 동일한 플라즈마 반응기 내에서 수행된 열적 ALD는 종래의 열적 ALD 퍼니스 반응기들에서 사용된 고온보다 낮은 상승된 온도에서 열적 산화/질화를 구동할 수도 있다. 예를 들어, 상승된 온도는 약 500 ℃ 내지 약 750 ℃ 또는 약 500 ℃ 내지 약 650 ℃일 수도 있다. PEALD 프로세스들과 동일한 플라즈마 반응기 내에서 수행된 열적 ALD는 다른 장점들 중에서, 높은 컨포멀성 (conformality), 높은 증착 레이트, 제한된 표면 산화, 기판 피처들 (예를 들어, 필라들 (pillars), 핀들 (fins)) 의 제한된 벤딩 (bending), 및 구조체의 깊이를 따라 균일한 습식 에칭 레이트를 갖는 실리콘-함유 막들의 증착을 가능하게 할 수도 있다. 즉, 매우 컨포멀한 막들은 기판에 대한 손상/산화가 거의 없거나 전혀 없이 플라즈마 프로세싱 챔버 내에서 열적 ALD에 의해 증착될 수도 있다.
본 개시의 일부 구현 예들에서, 플라즈마 프로세싱 챔버 내 실리콘-함유 막들의 열적 ALD는 실리콘-함유 전구체 및 인 시츄로 (in situ) 서로 반응하는 복수의 가스 반응 물질들을 사용하여 달성될 수도 있다. 예를 들어, 플라즈마 프로세싱 챔버 내에서 실리콘 옥사이드 막의 열적 ALD는 발열 (exothermic) 반응을 유발하도록 기판 위에서 인 시츄로 반응하는 실리콘-함유 전구체 및 수소 (H2) 및 산소 (O2) 를 사용하여 달성될 수도 있다. 발열 반응은 개선된 증착 레이트를 위해 옥사이드 형성을 구동하기 위해 에너지를 제공할 수도 있다. 본 개시의 일부 구현 예들에서, 열적 ALD 동안 수소 및 산소를 흘리는 동안 플라즈마를 점화하기 위해 저 RF 전력이 플라즈마 프로세싱 챔버에 인가될 수도 있다. 본 개시의 일부 구현 예들에서, 실리콘-함유 막들은 열적 ALD 대신 열적 CVD를 사용하여 플라즈마 프로세싱 챔버 내에 증착될 수도 있다. 본 개시의 일부 구현 예들에서, 실리콘-함유 막들은 열적 ALD에 이어 PEALD를 사용하여 플라즈마 프로세싱 챔버 내에 증착될 수도 있다. 본 개시의 일부 구현 예들에서, 실리콘-함유 막들은 PEALD에 이어 열적 ALD를 사용하여 플라즈마 프로세싱 챔버 내에 증착될 수도 있다.
플라즈마 프로세싱 챔버 내에서 열적 ALD에 의한 실리콘-함유 막들의 증착은 그렇지 않으면 PEALD에 의한 실리콘-함유 막들의 증착에 의해 유발될 수도 있는 손상을 감소시킨다. 이는 부분적으로 열적 ALD에서 라디칼들 및 이온 종들의 낮은 존재로 인한 것일 수 있다. 더욱이, 본 개시의 플라즈마 프로세싱 챔버 내의 열적 ALD는 그렇지 않으면 고 열적 예산들에서 동작하는 종래의 열적 ALD 반응기들을 사용하여 실리콘-함유 막들의 증착에 의해 유발될 수도 있는 기판들 상의 손상을 감소시킨다. 본 개시의 플라즈마 프로세싱 챔버 내에서 열적 ALD에 의해 증착된 실리콘-함유 막들은 PEALD와 유사한 증착 레이트로 증착될 수도 있고 PEALD에 의해 증착된 막들과 같은 고품질 막을 제공할 수도 있다.
본 개시가 본 개시에서 열적 ALD를 참조하여 주로 기술되지만, 본 개시에서 "열적 ALD"는 순환적으로 발생하는 열적 ALD 반응들에 대한 반응 메커니즘들 및 연속적으로 발생하는 열적 CVD 반응들에 대한 반응 메커니즘들을 지칭할 수 있다는 것이 이해될 것이다. 이에 더하여, 본 개시가 실리콘 옥사이드 막들의 증착을 참조하여 주로 기술되지만, 본 개시는 열적 ALD를 사용하여 임의의 옥사이드 또는 나이트라이드 막들의 증착을 포괄할 수 있다는 것이 이해될 것이다.
도 3a는 일부 구현 예들에 따라 열적 ALD 및 PEALD를 사용하여 실리콘 옥사이드 막을 증착하기 위한 예시적인 프로세스의 흐름도를 도시한다. 본 명세서에 사용된 바와 같이, 용어 "실리콘 옥사이드 막"은 도핑되지 않은 실리콘 옥사이드 (예를 들어, SiOx) 막들뿐만 아니라 도핑된 실리콘 옥사이드 (예를 들어, SiOxNy) 막들을 지칭할 수도 있다. 도 3a의 프로세스 (300a) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 보다 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (300a) 의 동작들은 도 11에 도시된 플라즈마 프로세싱 장치 및/또는 도 12에 도시된 프로세스 툴에 의해 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (300a) 의 동작들은 하나 이상의 비 일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다. 도 3a 및 도 4는 이하에 함께 기술될 수도 있다.
프로세스 (300a) 의 블록 310에서, 기판이 플라즈마 프로세싱 챔버 내에 제공된다. 플라즈마 프로세싱 챔버는 열적 ALD 프로세스들, PEALD 프로세스들, 또는 이들의 조합들을 수행하도록 구성된 단일 웨이퍼 플라즈마 반응기일 수도 있다. 기판은 유전체, 전도성, 또는 반도체 재료와 같은 재료의 하나 이상의 층들을 갖는 기판들을 포함하는, 200-㎜, 300-㎜, 또는 450-㎜ 기판과 같은 실리콘 기판일 수도 있다. 일부 구현 예들에서, 실리콘 옥사이드 막들이 증착되는 기판은 PEALD에 의한 플라즈마 손상/산화에 민감한 재료를 포함할 수도 있다. 예를 들어, 재료는 실리콘 (Si), 게르마늄 (Ge), 실리콘-게르마늄 (Si-Ge), 탄소 (C), 및 금속들을 포함할 수도 있지만 이로 제한되지 않고, 예시적인 금속들은 몰리브덴 (Mo), 텅스텐 ( W), 구리 (Cu), 코발트 (Co), 루테늄 (Ru), 로듐 (Rh), 및 이리듐 (Ir) 을 포함한다. 실리콘 옥사이드 막들이 증착되는 기판은 기판의 비평면 구조체들을 지칭할 수도 있는, 하나 이상의 피처들을 포함할 수도 있다. 예를 들어, 하나 이상의 피처들은 핀들 (fins) 또는 필라들 (pillars)과 같은 수직 구조체들을 포함할 수도 있다. 일부 구현 예들에서, 하나 이상의 피처들은 배리어 층, 라이너 층 (liner layer), 또는 접착 층과 같은 하부 층을 포함할 수도 있다.
프로세스 (300a) 의 블록 320에서, 제 1 실리콘 옥사이드 층이 플라즈마 프로세싱 챔버 내에서 열적 ALD를 통해 증착된다. 임의의 적합한 수의 열적 ALD 사이클들이 PEALD를 수행하기 전에 블록 320에서 수행될 수도 있다. 열적 ALD 사이클 각각은 도즈 페이즈, 제 1 퍼지 페이즈, 열적 산화 페이즈, 및 제 2 퍼지 페이즈를 포함하는 일련의 페이즈들로 세분화될 수도 있다. 제 1 퍼지 페이즈 및 제 2 퍼지 페이즈 중 하나 또는 모두가 열적 ALD 사이클 각각에서 선택 가능하게 수행될 수도 있다는 것이 이해될 것이다. 열적 ALD를 통해 박막을 증착하는 단계는 기판을 상승된 온도로 가열하는 단계, 기판의 표면 상에 흡착하도록 기판을 전구체에 노출하는 단계, 및 하나 이상의 가스 반응 물질들과 전구체 사이의 표면 반응을 구동하기 위해 기판을 하나 이상의 가스 반응 물질에 노출하여, 열적 ALD를 통해 박막을 형성하는 단계를 포함한다. 구체적으로, 열적 ALD를 통해 제 1 실리콘 옥사이드 층을 증착하는 단계는 기판을 상승된 온도로 가열하는 단계, 기판의 표면 상에 흡착하도록 기판을 실리콘-함유 전구체에 노출하는 단계, 및 산소-함유 반응 물질과 실리콘-함유 전구체 사이의 반응을 구동하여, 기판을 산소-함유 반응 물질에 노출하여 열적 ALD를 통해 제 1 실리콘 옥사이드 층을 형성하는 단계를 포함한다.
도 4는 일부 구현 예들에 따라 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클 및 PEALD 사이클을 도시하는 예시적인 타이밍 시퀀스도를 예시한다. 도 4는 열적 ALD 사이클 (410A) 의 페이즈들에 이어 PEALD 사이클 (410B) 의 페이즈들을 도시한다. 그러나, PEALD 사이클 (410B) 의 페이즈들에 열적 ALD 사이클 (410A) 의 페이즈들이 이어질 수도 있다는 것이 이해될 것이다. 도 4는 캐리어 가스 또는 퍼지 가스 플로우, 플라즈마, 실리콘-함유 전구체 플로우, 및 산소-함유 반응 물질 플로우와 같은 다양한 프로세스 파라미터들을 도시한다. 라인들은 플로우가 턴 온되고 턴 오프될 때 또는 플라즈마가 턴 온되고 턴 오프될 때를 나타낸다. 도 4에 도시된 바와 같이, 열적 ALD 사이클 (410A) 동안, 기판은 도즈 페이즈 (457A) 동안 실리콘-함유 전구체에 노출된다. 일부 구현 예들에서, 실리콘-함유 전구체는 아미노실란과 같은 실란을 포함한다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 BTBAS (bis(tert-butylamino)silane), SAM-24 (N-(diethylaminosilyl)-N-ethylethanamine), 3DMAS (tris(dimethylamino)silane), 및 4DMAS (tetrakis(dimethylamino)silane) 를 포함할 수도 있다. 도즈 페이즈 (457A) 동안, 플라즈마는 턴 오프되고, 산소-함유 반응 물질 플로우는 턴 오프되고, 캐리어 가스는 기판을 향해 흐를 수도 있다. 그러나, 기판은 도즈 페이즈 (457A) 동안 상승된 온도로 가열될 수도 있다는 것이 이해될 것이다. 일부 구현 예들에서, 기판은 플로우 레이트 및 기판 표면적에 따라 약 0.1 초 내지 약 60 초, 약 0.2 초 내지 약 6 초, 또는 약 0.3 초 내지 약 2 초, 예컨대, 약 0.75 초의 지속 기간 동안 도즈 페이즈 (457A) 동안 실리콘-함유 전구체에 노출될 수도 있다. 일부 구현 예들에서, 실리콘-함유 전구체는 일단 활성 사이트들 (active sites) 이 실리콘-함유 전구체에 의해 점유되면, 부가적인 실리콘-함유 전구체가 기판의 표면 상에 거의 흡착되지 않거나 전혀 흡착되지 않도록 자기-제한 방식으로 기판의 표면 상에 흡착한다. 실리콘-함유 전구체가 기판의 표면의 활성 사이트들 상에 흡착될 때, 실리콘-함유 전구체의 박층이 표면 상에 형성된다. CVD 또는 CVD-유사 프로세스와 달리, 실리콘-함유 전구체는 실리콘 층을 형성하도록 분해되지 않는다.
일부 구현 예들에서, 플라즈마 프로세싱 챔버는 기판을 실리콘-함유 전구체에 노출하는 동작과 기판을 산소-함유 반응 물질에 노출하는 동작 사이에 퍼지될 수도 있다. 이에 더하여, 플라즈마 프로세싱 챔버는 기판을 산소-함유 반응 물질에 노출시킨 후 퍼지될 수도 있다. 퍼지는 다른 동작들/페이즈들에 사용된 캐리어 가스 또는 상이한 가스일 수도 있는, 스윕핑 가스를 수반할 수도 있다. 퍼지는 기판의 표면 상에 흡착하거나 반응하지 않는 증기상 (vapor phase) 의 과잉 종을 제거할 수도 있다. 도 4에 도시된 바와 같이, 플라즈마 프로세싱 챔버는 퍼지 페이즈들 (459A 및 463A) 동안 퍼지를 겪는다. 실리콘-함유 전구체 플로우는 턴 오프되고, 플라즈마는 턴 오프되고, 산소-함유 반응 물질 플로우는 턴 오프된다. 그러나, 캐리어 가스는 기판을 향해 계속해서 흐를 수도 있다. 일부 구현 예들에서, 퍼지 페이즈들 (459A 및 463A) 은 각각 플라즈마 프로세싱 챔버를 배기하기 위한 하나 이상의 배기 서브-페이즈들을 포함할 수도 있다. 대안적으로, 퍼지 페이즈들 (459A 및 463A) 각각은 일부 구현 예들에서 생략될 수도 있다는 것이 이해될 것이다. 퍼지 페이즈 (459A 및 463A) 각각은 약 0 초 내지 약 60 초 또는 약 0.01 초 내지 약 6 초와 같은 적합한 지속 기간을 가질 수도 있다.
도 4에 도시된 바와 같이, 열적 ALD 사이클 (410A) 동안, 기판은 열적 산화 페이즈 (461A) 동안 상승된 온도 및 산소-함유 반응 물질에 노출될 수도 있다. 열적 산화 페이즈 (461A) 동안 프로세스 조건들은 인식 가능하거나 적절한 증착 레이트로 열적 ALD에 의해 제 1 실리콘 옥사이드 층의 증착을 촉진하도록 튜닝될 수도 있다. 예를 들어, 열적 ALD에 의한 제 1 실리콘 옥사이드 층의 증착 레이트는 약 0.2 Å/사이클 이상, 약 0.3 Å/사이클 이상, 약 0.5 Å/사이클 이상, 또는 약 0.75 Å/사이클 이상일 수 있다. 이는 제 1 실리콘 옥사이드 층이 산화/손상으로부터 표면을 보호하도록 사용될 때 인식 가능한 증착 레이트일 수도 있다.
일부 구현 예들에서, 산소-함유 반응 물질은 산소 (O2), 오존 (O3), 과산화수소 (H2O2), 물 (H2O), 또는 이들의 조합들과 같은 산화제 가스를 포함할 수 있다. 일부 구현 예들에서, 기판을 산소-함유 반응 물질에 노출시키는 것은 발열 반응을 유발하도록 플라즈마 프로세싱 챔버 내에서 인 시츄로 반응하도록 수소 및 산소를 기판으로 흘리는 것을 포함한다. 일부 구현 예들에서, 물은 수소와 산소 사이의 반응에 의해 인 시츄로 형성될 수도 있다고 여겨진다. 수증기는 시작 반응 물질로서 플라즈마 프로세싱 챔버 내로 흐르지 않고, 플라즈마 프로세싱 챔버 내에서 인 시츄로 형성될 수도 있고 형성되지 않을 수도 있다. 본 명세서에 사용된 바와 같이, 흐르는 "수소"는 흐르는 분자 수소를 지칭하고 흐르는 "산소"는 흐르는 분자 산소를 지칭한다. 수소 및 산소는 플라즈마 프로세싱 챔버 내에서 기판을 향해 동시에 흐를 수도 있다. 수소 및 산소를 수반하는 발열 반응은 제 1 실리콘 옥사이드 층을 형성하기 위해 흡착된 실리콘-함유 전구체와의 표면 반응을 구동하기 위한 에너지를 방출할 수도 있다. 열적 산화 페이즈 (461A) 동안 수소의 플로우 레이트는 약 0 slm 내지 약 20 slm, 약 1 slm 내지 약 10 slm, 약 2 slm 내지 약 6 slm, 약 3 slm 초과, 예컨대 약 4 slm일 수도 있다. 열적 산화 페이즈 (461A) 동안 산소의 플로우 레이트는 약 0.5 slm 내지 약 20 slm, 약 1 slm 내지 약 10 slm, 또는 약 2 slm 내지 약 8 slm, 예컨대 약 5 slm일 수도 있다. 수소와 산소 사이의 플로우 레이트 비는 약 1.2 : 1 이하, 예컨대 약 0.5 : 1 내지 약 1.2 : 1일 수도 있다.
기판은 열적 산화 페이즈 (461A) 동안 적합한 지속 기간 동안 상승된 온도에 노출되고 그리고 산소-함유 반응 물질에 노출될 수도 있다. 통상적으로, 열적 ALD/CVD 챔버들의 퍼니스 또는 배치 반응기들에서 열적 산화는 특히 실리콘 옥사이드 막들에 대해 인식 가능한 증착 레이트를 획득하기 위해 적어도 10 초 지속될 수도 있다. 그러나, 본 개시의 플라즈마 프로세싱 챔버에서 열적 산화의 지속 기간은 약 10 초 미만일 수도 있다. 일부 구현 예들에서, 열적 산화 페이즈 (361A) 의 열적 산화의 지속 기간은 약 0.1 초 내지 약 6 초, 약 0.2 초 내지 약 4 초, 또는 약 0.5 초 내지 약 3 초일 수도 있다.
기판은 열적 산화 페이즈 (461A) 동안 그리고/또는 열적 산화 페이즈 (461A) 전에 상승된 온도에 노출될 수도 있다. 기판은 기판을 산소-함유 반응 물질에 노출하는 것과 동시에 상승된 온도에서 동작할 수도 있다. 일부 구현 예들에서, 상승된 온도는 약 500 ℃ 내지 약 750 ℃, 약 500 ℃ 내지 약 700 ℃, 약 500 ℃ 내지 약 650 ℃, 또는 약 550 ℃ 내지 약 650 ℃일 수도 있다. 통상적으로, 열적 ALD/CVD 챔버들의 퍼니스 또는 배치 반응기들의 온도들은 실리콘 옥사이드 막들의 증착을 위한 표면 반응들을 구동하는데 700 ℃보다 클 수도 있다. 그러나, 온도들은 본 개시의 플라즈마 프로세싱 챔버에서 열적 ALD에 의한 실리콘 옥사이드 막들의 증착을 위한 표면 반응들을 구동할 때 약 700 ℃ 이하일 수도 있다. 게다가, PEALD를 위한 많은 종래의 플라즈마 프로세싱 챔버들은 약 400 ℃ 이상의 온도들에서 동작하지 않는다. 상승된 온도에서 플라즈마 프로세싱 챔버 내 열적 ALD에 의한 증착은 약 0.2 Å/사이클 이상일 수도 있다.
기판은 열적 산화 페이즈 (461A) 동안 상승된 챔버 압력에 노출될 수도 있다. 증가된 챔버 압력은 증착 레이트를 증가시킬 수도 있고 실리콘-함유 전구체와 산소-함유 반응 물질 사이의 표면 반응을 구동할 수도 있다. 일부 구현 예들에서, 플라즈마 프로세싱 챔버의 챔버 압력은 약 7 Torr 이상, 약 10 Torr 이상, 약 12 Torr 이상, 또는 약 10 Torr 내지 약 20 Torr일 수도 있다. 통상적으로, 열적 ALD/CVD 챔버들의 퍼니스 또는 배치 반응기의 압력은 약 5 Torr 미만일 수도 있다. 그러나, 챔버 압력은 본 개시의 플라즈마 프로세싱 챔버에서 열적 ALD에 의한 증착을 위해 약 5 Torr 이상일 수도 있다. 이에 더하여, PEALD를 위한 일부 종래의 플라즈마 프로세싱 챔버들은 통상적으로 5 Torr 이상의 압력에서 동작하지 않는다.
인식 가능한 증착 레이트를 달성하기 위한 프로세스 조건들은 선택된 가스 반응 물질들에 따라 열적 산화 페이즈 (461A) 동안 상이할 수도 있다. 가스 반응 물질이 산소로 구성된 일부 구현 예들에서, 약 0.2 Å/사이클보다 큰 증착 레이트는 약 550 ℃ 내지 약 700 ℃의 온도들 및 약 12 Torr 이상의 챔버 압력들에서 달성될 수도 있다. 이러한 구현 예들은 산소-온리 (oxygen-only) 플로우로 지칭될 수도 있다. 가스 반응 물질들이 수소 및 산소로 구성된 일부 구현 예들에서, 약 0.7 Å/사이클 이상의 증착 레이트는 약 500 ℃ 내지 약 700 ℃의 온도들 및 약 7 Torr 이상의 챔버 압력들에서 달성될 수도 있다. 이러한 구현 예들은 수소와 산소의 코-플로우 (co-flow) (H2/O2) 로 지칭될 수도 있다. 수소와 산소의 코-플로우는 플라즈마 프로세싱 챔버 내에서 보다 낮은 온도들 및 압력들에서도 보다 높은 증착 레이트들을 가능하게 할 수도 있다. 구체적으로, 열적 산화는 산소-온리 플로우와 비교하여 수소 및 산소의 코-플로우를 사용하여 보다 빠른 레이트로 발생할 수도 있다.
복수의 열적 ALD 사이클들 (410A) 이 기판 상에 제 1 실리콘 옥사이드 층을 형성하도록 수행될 수도 있다. 일부 구현 예들에서, 열적 ALD에 의해 증착된 제 1 실리콘 옥사이드 층은 PEALD에 의한 증착 전에 라이너 층으로서 기능할 수도 있다. 라이너 층은 기판 손상으로부터 하부 층들을 보호할 수도 있고 그리고/또는 고 종횡비 구조체들에서 고품질 라이너를 제공할 수도 있다. 일부 구현 예들에서, 제 1 실리콘 옥사이드 층은 상대적으로 얇고 약 1 Å 내지 약 100 Å, 예컨대 약 10 Å 내지 약 100 Å일 수도 있다. 산소-전용 플로우를 수반하는 이러한 두께들에 대해, 열적 ALD 사이클들의 수는 약 5 사이클들 내지 약 50 사이클들, 약 5 사이클들 내지 약 20 사이클들, 또는 약 5 사이클들 내지 약 10 사이클들일 수도 있다.
복수의 열적 ALD 사이클들 (410A) 에 의해 증착된 제 1 실리콘 옥사이드 층은 기판에 대한 손상을 거의 또는 전혀 유발하지 않을 수도 있고 기판에 대한 산화를 거의 또는 전혀 유발하지 않을 수도 있다. 예를 들어, 실리콘 기판 산화의 양은 열적 ALD 프로세스의 산소-전용 플로우를 사용할 때 약 1 Å 내지 약 3 Å일 수도 있는 한편, 통상적인 PEALD 프로세스는 약 15 Å 내지 약 35 Å의 실리콘 기판 산화를 발생시킨다. 제 1 실리콘 옥사이드 층이 기판의 수직 구조체들 상에 증착되는 경우, 수직 구조체들 상에서 벤딩이 거의 발생하지 않거나 전혀 발생하지 않는다. 수직 구조체들의 깊이를 따른 제 1 실리콘 옥사이드 층의 습식 에칭 레이트는 균일하다. 게다가, 기판이 하나 이상의 피처들을 포함하는 경우, 제 1 실리콘 옥사이드 층의 단차 커버리지는 매우 컨포멀하다. 예를 들어, 제 1 실리콘 옥사이드 층의 단차 커버리지는 약 85 % 이상, 약 90 % 이상, 또는 약 95 % 이상일 수도 있다. 도 13은 열적 ALD를 사용하여 650 ℃에서 핀 구조체들 상에 증착된 실리콘 옥사이드 막의 이미지를 도시하고, 여기서 증착된 실리콘 옥사이드 막은 핀 구조체들의 높은 컨포멀성 및 제한된 벤딩을 나타낸다.
프로세스 (300) 의 블록 330에서, 제 2 실리콘 옥사이드 층이 플라즈마 프로세싱 챔버 내에서 PEALD를 통해 기판 상에 증착된다. 블록 320에서의 열적 ALD 동작 및 블록 330에서의 PEALD 동작은 동일한 플라즈마 프로세싱 챔버에서 수행된다. 임의의 적합한 수의 PEALD 사이클들이 열적 ALD를 수행한 후 블록 330에서 수행될 수도 있다. PEALD 사이클 각각은 도즈 페이즈, 제 1 퍼지 페이즈, 플라즈마 노출 페이즈, 및 제 2 퍼지 페이즈를 포함하는 일련의 페이즈들로 세분화될 수도 있다. 제 1 퍼지 페이즈 및 제 2 퍼지 페이즈 중 하나 또는 모두가 PEALD 사이클 각각에서 선택 가능하게 수행될 수도 있다는 것이 이해될 것이다. PEALD를 통해 박막을 증착하는 단계는, 기판의 표면 상에 흡착하도록 기판을 전구체에 노출하는 단계, 및 하나 이상의 가스 반응 물질들로부터 생성된 플라즈마에 기판을 노출하는 단계를 포함하고, 플라즈마는 하나 이상의 가스 반응 물질들의 반응성 종과 전구체 사이 반응을 구동하여, PEALD를 통해 박막을 형성한다. 구체적으로, PEALD에 의해 제 2 실리콘 옥사이드 층을 증착하는 단계는, 기판의 표면 상에 흡착하도록 기판을 실리콘-함유 전구체에 노출하는 단계, 및 산소-함유 반응 물질로부터 생성된 플라즈마에 기판을 노출하는 단계를 포함하고, 플라즈마는 산소-함유 반응 물질의 반응성 종과 실리콘-함유 전구체 사이의 반응을 구동하여, PEALD를 통해 제 2 실리콘 옥사이드 층을 형성한다. PEALD 사이클들에서 실리콘-함유 전구체는 열적 ALD 사이클들에서 실리콘-함유 전구체와 동일하거나 동일하지 않을 수도 있다. 이에 더하여, PEALD 사이클들의 산소-함유 반응 물질은 열적 ALD 사이클들의 산소-함유 반응 물질과 동일할 수도 있고 동일하지 않을 수도 있다. 예를 들어, 산소-함유 반응 물질은 산소, 오존, 또는 이들의 조합을 포함할 수도 있다.
도 4에 도시된 바와 같이, PEALD 사이클 (410B) 동안, 기판은 도즈 페이즈 (457B) 동안 실리콘-함유 전구체에 노출된다. 일부 구현 예들에서, 실리콘-함유 전구체는 아미노실란과 같은 실란을 포함한다. 도즈 페이즈 (457B) 동안, 플라즈마는 턴 오프되고, 산소-함유 반응 물질 플로우는 턴 오프되고, 캐리어 가스는 기판을 향해 흐를 수도 있다. 일부 구현 예들에서, 실리콘-함유 전구체는 일단 활성 사이트들 (active sites) 이 실리콘-함유 전구체에 의해 점유되면, 부가적인 실리콘-함유 전구체가 기판의 표면 상에 거의 흡착되지 않거나 전혀 흡착되지 않도록 자기-제한 방식으로 기판의 표면 상에 흡착한다.
일부 구현 예들에서, 플라즈마 프로세싱 챔버는 기판을 실리콘-함유 전구체에 노출하는 동작과 기판을 산소-함유 반응 물질에 노출하는 동작 사이에 퍼지될 수도 있다. 이에 더하여, 플라즈마 프로세싱 챔버는 기판을 산소-함유 반응 물질에 노출시킨 후 퍼지될 수도 있다. 퍼지는 다른 동작들/페이즈들에 사용된 캐리어 가스 또는 상이한 가스일 수도 있는, 스윕핑 가스를 수반할 수도 있다. 퍼지는 기판의 표면 상에 흡착하거나 반응하지 않는 증기상의 과잉 종을 제거할 수도 있다. 도 4에 도시된 바와 같이, 플라즈마 프로세싱 챔버는 퍼지 페이즈들 (459B 및 463B) 동안 퍼지를 겪는다. 실리콘-함유 전구체 플로우는 턴 오프되고, 플라즈마는 턴 오프되고, 산소-함유 반응 물질 플로우는 턴 오프된다. 그러나, 캐리어 가스는 기판을 향해 계속해서 흐를 수도 있다. 일부 구현 예들에서, 퍼지 페이즈들 (459B 및 463B) 은 각각 플라즈마 프로세싱 챔버를 배기하기 위한 하나 이상의 배기 서브-페이즈들을 포함할 수도 있다. 대안적으로, 퍼지 페이즈들 (459B 및 463B) 각각은 일부 구현 예들에서 생략될 수도 있다는 것이 이해될 것이다.
도 4에 도시된 바와 같이, PEALD 사이클 (410B) 동안, 기판은 플라즈마 노출 페이즈 (461B) 동안 산소-함유 반응 물질로부터 생성된 플라즈마에 노출될 수도 있다. 산소 플라즈마는 플라즈마 노출 페이즈 (461B) 동안 점화될 수도 있다. 플라즈마는 산소-함유 반응 물질로부터 생성된 이온들, 라디칼들, 대전된 중성자들, 및 다른 반응성 종을 포함할 수도 있다. 산소-함유 반응 물질로부터의 반응성 종은 제 1 실리콘 옥사이드 층 위에 제 2 실리콘 옥사이드 층을 형성하도록 흡착된 실리콘-함유 전구체와 반응할 수도 있다. 플라즈마는 인 시츄로 (in situ) 또는 리모트로 생성될 수도 있다. 실리콘-함유 전구체의 플로우는 플라즈마 노출 페이즈 (461B) 동안 산소-함유 반응 물질의 플로우가 턴 온되는 동안 턴 오프된다.
플라즈마 프로세싱 챔버 내의 프로세스 조건들은 플라즈마 노출 페이즈 (461B) 동안 산소 플라즈마에 대해 가변할 수도 있다. 일부 구현 예들에서, 기판 온도는 약 0 ℃ 내지 약 750 ℃ 또는 약 20 ℃ 내지 약 200 ℃로 유지될 수도 있다. 일부 구현 예들에서, 플라즈마 프로세싱 챔버 내 챔버 압력은 상대적으로 낮고 약 10 mTorr 내지 약 200 mTorr일 수도 있고, 또는 상대적으로 높고 약 1 Torr 내지 약 7 Torr일 수도 있다. RF 필드는 산소-함유 반응 물질의 이온들 및 라디칼들을 생성하도록 플라즈마 프로세싱 챔버에 인가된다. 다양한 구현 예들에서, 플라즈마를 생성하도록 사용된 RF 주파수는 적어도 약 13.56 ㎒, 적어도 약 27 ㎒, 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒일 수도 있지만, 다른 주파수들이 또한 사용될 수도 있다. 일부 구현 예들에서, RF 전력은 수백 W, 예를 들어 약 500 W 이하, 약 400 W 이하, 또는 약 300 W 이하일 수도 있지만, 다른 RF 전력들이 기판 면적에 따라 인가될 수도 있다는 것이 이해될 것이다. 일부 구현 예들에서, 플라즈마 노출 페이즈 (461B) 의 지속 기간은 약 0.1 초 내지 약 120 초 또는 약 1 초 내지 약 60 초일 수도 있다.
복수의 PEALD 사이클들 (410B) 이 제 1 실리콘 옥사이드 층 상에 제 2 실리콘 옥사이드 층을 형성하도록 수행될 수도 있다. 열적 ALD 사이클들 (410A) 에 의해 증착된 제 1 실리콘 옥사이드 층은 아래에 놓인 층들을 보호하기 위해 실리콘 옥사이드 막의 라이너 층을 제공할 수도 있다. 일부 구현 예들에서, 라이너 층은 상대적으로 얇고 약 10 Å 내지 약 100 Å 두께일 수도 있다. 일부 구현 예들에서, 라이너 층은 기판 손상을 제거하거나 그렇지 않으면 감소시키기 위해 연질 (soft) 층들 상의 보호 라이너로서 역할을 할 수도 있다. 일부 구현 예들에서, 라이너 층은 고 종횡비 구조체들 상에서 고품질 라이너로서 역할을 할 수도 있다. 이러한 고 종횡비 구조체들은 핀들 및 필라들을 포함할 수도 있다. 고 종횡비 구조체들은 PEALD 동작들에만 노출될 때 벤딩/손상되기 쉽다. 그러나, 제 2 실리콘 옥사이드 층 전에 열적 ALD에 의해 증착된 제 1 실리콘 옥사이드 층을 갖는 것은 높은 컨포멀성, 높은 증착 레이트, 제한된 표면 산화, 기판 피처들 (예를 들어, 필라, 핀들) 의 제한된 벤딩, 및 측벽들 상에서 균일한 습식 에칭 레이트를 제공한다. PEALD 사이클들 (410B) 에 의해 증착된 제 2 실리콘 옥사이드 층은 라이너 층 상에 실리콘 옥사이드 막의 벌크 증착으로서 이어질 수도 있다. 따라서, 다양한 구현 예들에서, 실리콘 옥사이드 막의 핵 생성은 열적 ALD에 의해 수행될 수도 있고 벌크 증착은 동일한 플라즈마 프로세싱 챔버에서 PEALD에 의해 수행될 수도 있다.
일부 구현 예들에서, 프로세스 (300a) 는 플라즈마 프로세싱 챔버 내의 질소-함유 반응 물질로부터 생성된 플라즈마에 기판을 노출하는 단계를 더 포함하고, 플라즈마는 질소-함유 반응 물질의 반응성 종과 적어도 제 2 실리콘 옥사이드 층 사이의 반응을 구동하여, 적어도 제 2 실리콘 옥사이드 층을 실리콘 옥시나이트라이드 층으로 변환한다. 일부 구현 예들에서, 질소-함유 반응 물질은 질소 (N2), 암모니아 (NH3), 또는 이들의 조합들을 포함할 수도 있다. 질소 플라즈마는 실리콘 옥시나이트라이드 층을 형성하도록 제 1 실리콘 옥사이드 층 및 제 2 실리콘 옥사이드 층 중 하나 또는 모두의 질화를 유발할 수도 있다.
실리콘 옥사이드의 전술한 질화에 부가하여 또는 대안적으로, 프로세스 (300a) 는 플라즈마 프로세싱 챔버 내에서 열적 ALD 또는 PEALD에 의해 제 1 실리콘 옥사이드 층 및 제 2 실리콘 옥사이드 층 상에 실리콘 나이트라이드 층을 증착하는 것을 포함할 수도 있다. 따라서, 제 1 실리콘 옥사이드 층, 제 2 실리콘 옥사이드 층, 및 실리콘 나이트라이드 층의 조합은 총괄적으로 (collectively) 실리콘 옥시나이트라이드 막을 형성한다. 다양한 구현 예들에서, 실리콘 옥사이드 및 실리콘 나이트라이드 층들은 실리콘 옥사이드/실리콘 나이트라이드의 나노 라미네이트들을 형성하도록 교번하는 방식으로 증착될 수도 있다. 일부 구현 예들에서, 프로세스 (300a) 는 제 1 실리콘 옥사이드 층, 제 2 실리콘 옥사이드 층, 및 실리콘 나이트라이드 층으로부터 실리콘 옥시나이트라이드 막을 형성하도록 기판을 어닐링하는 단계를 더 포함한다.
도 3b는 일부 구현 예들에 따라 열적 ALD를 사용하여 실리콘 옥사이드 막을 증착하기 위한 예시적인 프로세스의 흐름도를 도시한다. 도 3b의 프로세스 (300b) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 보다 적은, 또는 부가적인 동작들로 수행될 수도 있다. 프로세스 (300b) 의 동작들은 도 11에 도시된 플라즈마 프로세싱 장치 및/또는 도 12에 도시된 프로세스 툴에 의해 수행될 수도 있다. 일부 구현 예들에서, 프로세스 (300b) 의 동작들은 하나 이상의 비 일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다. 도 3b 및 도 5 내지 도 10은 이하에 함께 기술될 수도 있다.
프로세스 (300b) 의 블록 350에서, 기판이 상승된 온도로 가열된다. 기판은 열적 ALD 전 및 동안 상승된 온도로 가열될 수도 있다. 이는 열적 ALD에서 전구체들과 반응 물질들 사이의 표면 반응들을 구동하도록 기판이 상승된 온도로 가열되게 한다. 일부 구현 예들에서, 기판에 인가된 상승된 온도는 약 500 ℃ 내지 약 750 ℃, 약 500 ℃ 내지 약 700 ℃, 약 500 ℃ 내지 약 650 ℃, 또는 약 550 ℃ 내지 약 650 ℃일 수도 있다. 일부 구현 예들에서, 플라즈마 프로세싱 챔버의 압력은 약 7 Torr 이상, 약 10 Torr 이상, 또는 약 12 Torr 이상일 수도 있다. 챔버 압력은 실리콘 옥사이드 막 층의 증착 레이트를 제어하기 위해 추가 노브 (knob) 를 제공할 수도 있다.
일부 구현 예들에서, 기판을 상승된 온도로 가열하기 전에, 기판은 플라즈마 프로세싱 챔버 내로 제공될 수도 있다. 플라즈마 프로세싱 챔버는 열적 ALD 프로세스들, PEALD 프로세스들, 또는 이들의 조합들을 수행하도록 구성된 단일 웨이퍼 플라즈마 반응기일 수도 있다. 기판은 유전체, 전도성, 또는 반도체 재료와 같은 재료의 하나 이상의 층들을 갖는 기판들을 포함하는, 200-㎜, 300-㎜, 또는 450-㎜ 기판과 같은 실리콘 기판일 수도 있다. 일부 구현 예들에서, 실리콘 옥사이드 막들이 증착되는 기판은 PEALD에 의한 플라즈마 손상에 민감한 재료를 포함할 수도 있다. 예를 들어, 재료는 실리콘, 게르마늄, 실리콘-게르마늄, 탄소, 및 금속들을 포함할 수도 있지만, 예시적인 금속들은 몰리브덴, 텅스텐, 구리, 코발트, 루테늄, 로듐, 및 이리듐을 포함할 수도 있다. 실리콘 옥사이드 막들이 증착되는 기판은 핀들 또는 필라들과 같은 하나 이상의 피처들을 포함할 수도 있다. 일부 구현 예들에서, 하나 이상의 피처들은 배리어 층, 라이너 층 (liner layer), 또는 접착 층과 같은 하부 층을 포함할 수도 있다.
프로세스 (300b) 의 블록 360에서, 플라즈마 프로세싱 챔버에서 기판의 표면 상에 흡착하도록 기판이 실리콘-함유 전구체에 노출된다. 일부 구현 예들에서, 실리콘-함유 전구체는 아미노실란과 같은 실란을 포함한다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 BTBAS, N-SAM-24, 3DMAS, 및 4DMAS를 포함할 수도 있다. 일부 구현 예들에서, 기판이 상승된 온도로 가열되는 동안 기판은 실리콘-함유 전구체에 노출된다.
도 5는 일부 구현 예들에 따라 함께 흐르는 (co-flow) 수소 및 산소-함유 반응 물질과 함께 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 예시한다. 제 1 열적 ALD 사이클 (510A) 은 도즈 페이즈 (557A), 이어서 제 1 퍼지 페이즈 (559A), 이어서 열적 산화 페이즈 (561A), 및 이어서 제 2 퍼지 페이즈 (563A) 를 포함할 수도 있다. 제 2 열적 ALD 사이클 (510B) 은 도즈 페이즈 (557B), 이어서 제 1 퍼지 페이즈 (559B), 이어서 열적 산화 페이즈 (561B), 및 이어서 제 2 퍼지 페이즈 (563B) 를 포함할 수도 있다. 도 5에 도시된 바와 같이, 기판은 열적 ALD 사이클 (510A/510B) 의 도즈 페이즈 (557A/557B) 동안 실리콘-함유 전구체에 노출될 수도 있고, 도즈 페이즈 (557A/557B) 의 지속 기간은 플로우 레이트 및 기판 표면적에 따라 약 0.1 초 내지 약 60 초, 약 0.2 초 내지 약 6 초, 또는 약 0.3 초 내지 약 2 초, 예컨대 약 0.75 초일 수도 있다. 실리콘-함유 전구체는 일단 활성 사이트들 (active sites) 이 실리콘-함유 전구체에 의해 점유되면, 부가적인 실리콘-함유 전구체가 기판의 표면 상에 거의 흡착되지 않거나 전혀 흡착되지 않도록 자기-제한 방식으로 기판의 표면 상에 흡착한다. 도즈 페이즈 (557A/557B) 동안, 플라즈마는 턴 오프되고, 산소-함유 반응 물질이 기판으로 흐르지 않고, 캐리어 가스가 기판을 향해 흐를 수도 있다.
일부 구현 예들에서, 플라즈마 프로세싱 챔버는 기판을 실리콘-함유 전구체에 노출하는 동작과 플라즈마 프로세싱 챔버 내로 수소 및 산소-함유 반응 물질을 흘리는 동작 사이에 퍼지될 수도 있다. 이에 더하여, 플라즈마 프로세싱 챔버는 수소 및 산소-함유 반응 물질의 플로우가 중단된 후 퍼지될 수도 있다. 퍼지는 다른 동작들/페이즈들에 사용된 캐리어 가스 또는 상이한 가스일 수도 있는, 스윕핑 가스를 수반할 수도 있다. 퍼지는 기판의 표면 상에 흡착하거나 반응하지 않는 증기상 (vapor phase) 의 과잉 종을 제거할 수도 있다. 도 5에 도시된 바와 같이, 플라즈마 프로세싱 챔버는 퍼지 페이즈들 (559A, 563A, 559B, 및 563B) 동안 퍼지를 겪는다. 실리콘-함유 전구체 플로우는 턴 오프되고, 플라즈마는 턴 오프되고, 수소 플로우는 턴 오프되고, 산소-함유 반응 물질 플로우는 턴 오프된다. 그러나, 캐리어 가스는 기판을 향해 계속해서 흐를 수도 있다. 일부 구현 예들에서, 퍼지 페이즈들 (559A, 563A, 559B, 및 563B) 은 플라즈마 프로세싱 챔버를 배기하기 위한 하나 이상의 배기 서브-페이즈들을 각각 포함할 수도 있다. 대안적으로, 퍼지 페이즈들 (559A, 563A, 559B, 및 563B) 각각은 일부 구현 예들에서 생략될 수도 있다는 것이 이해될 것이다. 퍼지 페이즈 (559A, 563A, 559B, 및 563B) 각각은 약 0 초 내지 약 60 초 또는 약 0.01 초 내지 약 6 초와 같은 적합한 지속 기간을 가질 수도 있다.
도 3b를 다시 참조하면, 프로세스 (300b) 의 블록 370에서, 수소 및 산소-함유 반응 물질은 플라즈마 프로세싱 챔버 내에서 기판을 향해 흐른다. 수소 및 산소-함유 반응 물질은 플라즈마 프로세싱 챔버 내에서 반응하고, 실리콘 옥사이드 막의 층이 기판 상에 형성된다. 수소 및 산소-함유 반응 물질은 플라즈마 프로세싱 챔버 내로 동시에 흐를 수도 있다. 일부 구현 예들에서, 산소-함유 반응 물질은 산소 또는 오존을 포함한다. 예를 들어, 산소-함유 반응 물질은 산소를 포함하여, 수소와 산소의 코-플로우 (H2/O2) 를 제공한다. 어떠한 이론에도 제한되지 않고, 수소 및 산소-함유 반응 물질은 발열 반응에서 플라즈마 프로세싱 챔버 내에서 서로 인 시츄 반응한다. 수소와 산소-함유 반응 물질 간의 반응이 발열 반응에서 물을 형성하는 것이 가능하다. 발열 반응은 실리콘 옥사이드 막을 형성하기 위해 흡착된 실리콘-함유 전구체의 열적 산화를 구동할 수도 있는 에너지를 방출한다. 수소의 플로우 레이트 및 산소-함유 반응 물질의 플로우 레이트는 열적 산화를 촉진하기 위해 목표된 플로우 레이트 비에 따라 제어될 수도 있다. 일부 구현 예들에서, 수소와 산소-함유 반응 물질 간의 플로우 레이트 비는 약 1.2 : 1 이하, 예컨대 약 0.5 : 1 내지 약 1.2 : 1일 수도 있다. 일부 구현 예들에서, 수소의 플로우 레이트는 약 0 slm 내지 약 20 slm, 약 1 slm 내지 약 10 slm, 약 2 slm 내지 약 6 slm, 약 3 slm 초과, 예컨대 약 4 slm일 수도 있다. 산소-함유 반응 물질의 플로우 레이트는 약 0.5 slm 내지 약 20 slm, 약 1 slm 내지 약 10 slm, 또는 약 2 slm 내지 약 8 slm, 예컨대 약 5 slm일 수도 있다.
수소 및 산소-함유 반응 물질의 플로우 동안, 기판은 상승된 온도로 유지된다. 기판은 수소 및 산소-함유 반응 물질이 플라즈마 프로세싱 챔버 내에서 흡착된 실리콘-함유 전구체와의 반응을 구동하도록 기판을 향해 흐르는 동안 상승된 온도에 있어서, 실리콘 옥사이드 막의 층을 형성한다. 상승된 온도는 인식 가능한 증착 레이트로 실리콘 옥사이드 막의 층의 형성을 촉진할 수도 있다. 어떠한 이론에도 제한되지 않고, 상승된 온도로 가열된 기판과 함께 수소와 산소-함유 반응 물질 사이의 인 시츄 발열 반응은 인식 가능한 증착 레이트로 실리콘 옥사이드 막의 층의 형성을 구동하기 충분한 에너지를 제공할 수도 있다. 일부 구현 예들에서, 함께 흐르는 수소 및 산소-함유 반응 물질을 사용하는 실리콘 옥사이드 막의 층의 증착 레이트는 약 0.7 Å/사이클 이상일 수도 있다.
도 5에 도시된 바와 같이, 열적 ALD 사이클 (510A/510B) 의 열적 산화 페이즈 (561A/561B) 동안 기판이 상승된 온도로 가열되는 동안 수소 및 산소-함유 반응 물질이 기판을 향해 흐를 수도 있다. 상승된 온도로 가열된 기판과 결합된 수소와 산소-함유 반응 물질 간의 인 시츄 발열 반응은 열적 산화 페이즈 (561A/561B) 동안 산화를 구동하기 위한 에너지를 제공할 수도 있다. 더욱이, 플라즈마 프로세싱 챔버 내 온도 및 압력은 열적 산화 페이즈 (561A/561B) 동안 약 0.7 Å/사이클 이상의 증착 레이트로 실리콘 옥사이드 막의 층의 증착을 인에이블하도록 제어될 수도 있다. 열적 산화 페이즈 (561A/561B) 의 지속 기간은 약 0.1 초 내지 약 6 초, 약 0.2 초 내지 약 4 초, 또는 약 0.5 초 내지 약 3 초일 수도 있다. 예를 들어, 수소 및 산소-함유 반응 물질이 함께 흐르는 열적 산화 페이즈 (561A/561B) 의 지속 기간은 약 0.5 초 내지 약 1 초, 예컨대 약 0.8 초일 수도 있다. 열적 산화 페이즈 (561A/561B) 동안, 플라즈마는 턴 오프되고 실리콘-함유 전구체 플로우는 턴 오프된다. 그러나, 캐리어 가스, 수소, 및 산소-함유 반응 물질 플로우는 턴 온될 수도 있다.
예시적인 프로세스 시간들 및 프로세스 조건들은 열적 ALD에서 함께 흐르는 수소 및 산소에 대해 표 1에 도시된다.
프로세스 시간 도즈 0.2 내지 2 초
도즈 후 퍼지 0.15 내지 2 초
변환 시간 0.5 내지 2 초
산화 후 시간 0 내지 1 초
프로세스 조건들 실리콘 함유 전구체 플로우 1500 sccm
퍼지 가스 흐름 25000 내지 65000 sccm
O2 플로우 2000 내지 5000 sccm
H2 플로우 2000 내지 5000 sccm
압력 9 Torr 내지 17.5 Torr
온도 500 ℃ 내지 750 ℃
퍼지 가스 Ar 및/또는 N2
일부 구현 예들에서, 프로세스 (300b) 는 플라즈마 프로세싱 챔버 내에서 상기 수소 및 상기 산소-함유 반응 물질로부터 생성된 플라즈마를 점화하도록 플라즈마 전력을 상기 플라즈마 프로세싱 챔버에 인가하는 단계를 더 포함한다. 일부 구현 예들에서, 플라즈마는 수소 및 산소의 이온들, 라디칼들, 및 다른 반응성 종 (예를 들어, H* 및 O*) 을 포함할 수도 있다. 일부 구현 예들에서, 플라즈마는 캐리어 가스의 이온들, 라디칼들, 및 다른 반응성 종들 (예를 들어, Ar+) 을 더 포함할 수도 있다. 플라즈마 프로세싱 챔버에 인가된 플라즈마 전력은 상대적으로 작을 수도 있다. 일부 구현 예들에서, 플라즈마 프로세싱 챔버에 인가된 플라즈마 전력은 약 300 W 이하, 약 200 W 이하, 또는 약 10 W 내지 약 200 W를 포함할 수도 있다. 즉, 플라즈마는 보다 많은 라디칼들 및 보다 적은 이온들을 포함할 수도 있다. 다양한 구현 예들에서, 플라즈마를 생성하도록 사용된 RF 주파수는 적어도 약 13.56 ㎒, 적어도 약 27 ㎒, 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒일 수도 있지만, 다른 주파수들이 또한 사용될 수도 있다. 어떠한 이론에도 제한되지 않고, 저 RF 전력은 수소와 산소-함유 반응 물질 사이의 발열 반응으로부터의 에너지로 저 RF 플라즈마를 점화할 수도 있다. 수소와 산소-함유 반응 물질 사이의 인 시츄 발열 반응 없이, 플라즈마는 상대적으로 낮은 RF 전력들에서 점화되지 않을 수도 있다. 즉, 수소와 산소-함유 반응 물질의 연소 반응은 플라즈마 프로세싱 챔버에서 저 RF 플라즈마를 생성하는데 기여할 수도 있다. 안정한 플라즈마는 상대적으로 낮은 RF 전력들로 유지될 수도 있다. 저 RF 플라즈마는 기판에 대한 손상을 제한할 수도 있고 특히 임의의 민감한 (sensitive) 기판에 대한 손상을 제한할 수도 있다. 저 RF 플라즈마는 실리콘 옥사이드 막 층의 증착 및 특성들을 향상시키거나 적어도 조정할 수도 있다. 일부 구현 예들에서, 저 RF 플라즈마는 증착 레이트를 조절하고 보다 높은 습식 에칭 레이트들을 제공할 수도 있다. 일부 구현 예들에서, 저 RF 플라즈마는 보다 컨포멀한 막들, 보다 낮은 동작 온도들, 및/또는 보다 높은 증착 레이트들을 제공할 수도 있다.
도 6은 일부 구현 예들에 따른 저 RF 플라즈마 전력으로 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 예시한다. 도 6은 도즈 페이즈 (657A), 제 1 퍼지 페이즈 (659A), 열적 산화 페이즈 (661A), 및 제 2 퍼지 페이즈 (663A) 를 포함하는 제 1 열적 ALD 사이클 (610A) 을 도시한다. 도 6은 또한 도즈 페이즈 (657B), 제 1 퍼지 페이즈 (659B), 열적 산화 페이즈 (661B), 및 제 2 퍼지 페이즈 (663B) 를 포함하는 제 2 열적 ALD 사이클 (610B) 을 도시한다. 도 6의 열적 ALD 사이클들 (610A/610B) 각각에 대한 위상들의 양태들은 도 5의 열적 ALD 사이클들 (510A/510B) 에 기술될 수 있다.
열적 산화 페이즈 (661A/661B) 에서, 플라즈마는 오프가 아니라 턴 온된다. 플라즈마 전력은 약 300 W 이하, 약 200 W 이하, 또는 약 10 W 내지 약 200 W인 저 RF 플라즈마 전력일 수 있다. 저 RF 플라즈마 전력의 인가는 수소 및 산소-함유 반응 물질이 기판을 향해 흐르는 동안 그리고 기판이 상승된 온도로 가열되는 동안 발생한다. 산소 라디칼들과 같은 플라즈마의 반응성 종은 실리콘 옥사이드를 형성하도록 흡착된 실리콘-함유 전구체와 반응할 수도 있다.
프로세스 (300b) 의 블록 370에서 일부 구현 예들에서, 기판을 향해 수소 및 산소-함유 반응 물질을 흘리는 것은 플라즈마 프로세싱 챔버 내로 산소-함유 반응 물질을 연속적으로 흘리는 것 및 플라즈마 프로세싱 챔버 내로 규칙적인 인터벌들 (intervals) 로 수소를 펄싱하는 것을 포함할 수도 있다. 산소-함유 반응 물질이 기판을 향해 동시에 그리고 연속적으로 흐르는 동안 수소는 규칙적인 인터벌들로 펄싱될 수도 있다. 예를 들어, 일정한 산소 플로우는 플라즈마 프로세싱 챔버 내로 펄싱된 수소 플로우와 결합될 수도 있다. 일부 구현 예들에서, 수소의 펄스들은 약 0.1 초 내지 약 1 초, 약 0.1 초 내지 약 0.8 초, 또는 약 0.2 초 내지 약 0.6 초 지속되는 규칙적인 인터벌들로 플라즈마 프로세싱 챔버 내로 도입될 수도 있다. 수소를 펄싱하는 것은 연속적이 아니라 펄스들로 발생하는 수소 및 산소-함유 반응 물질의 연소 반응들을 용이하게 할 수도 있다. 수소를 펄싱하는 것은 실리콘 옥사이드 막 층의 증착 및 특성에 영향을 줄 수도 있다. 수소를 펄싱할 때, 열적 산화 페이즈의 지속 기간은 보다 길 수도 있다. 어떠한 이론에도 제한되지 않고, 이는 막 특성들을 구동하기 위해 목표되는 한 진행되는 펄싱된 발열 반응(들)을 허용한다.
도 7은 일부 구현 예들에 따른 산화 동안 펄싱 수소 플로우로 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 예시한다. 도 7은 도즈 페이즈 (757A), 제 1 퍼지 페이즈 (759A), 열적 산화 페이즈 (761A), 및 제 2 퍼지 페이즈 (763A) 를 포함하는 제 1 열적 ALD 사이클 (710A) 을 도시한다. 도 7은 또한 도즈 페이즈 (757B), 제 1 퍼지 페이즈 (759B), 열적 산화 페이즈 (761B), 및 제 2 퍼지 페이즈 (763B) 를 포함하는 제 2 열적 ALD 사이클 (710B) 을 도시한다. 도 7의 열적 ALD 사이클들 (710A/710B) 각각에 대한 페이즈들의 양태들은 도 5의 열적 ALD 사이클들 (510A/510B) 에 기술될 수 있다.
열적 산화 페이즈 (761A/761B) 에서, 수소 플로우는 연속적이기보다는 펄싱된다. 산소-함유 반응 물질 플로우는 연속적이고 펄싱된 수소 플로우와 동시에 일어난다. 통상적으로, 펄싱된 수소 플로우의 펄스들은 구형파의 형태일 수 있다. 펄싱된 수소 플로우의 펄스들은 규칙적인 인터벌들로 일어날 수 있고, 규칙적인 인터벌들 각각은 약 0.1 초 내지 약 1 초, 약 0.1 초 내지 약 0.8 초, 또는 약 0.2 초 내지 약 0.6 초 지속될 수 있다. 일부 구현 예들에서, 열적 산화 페이즈 (761A/761B) 의 총 지속 기간은 0.5 초 이상, 1 초 이상, 또는 약 1 초 내지 약 30 초일 수도 있다. 열적 산화 페이즈 (761A/761B) 의 총 지속 기간은 막 특성들을 구동하기 위해 펄싱된 발열 반응들을 허용하도록 보다 길 수도 있다는 것이 이해될 것이다. 듀티 사이클은 온 및 오프 시간의 총합 동안 플로우가 턴 온되는 온 시간 (Ton) 의 백분율을 지칭할 수 있고, 여기서 T는 열적 산화 페이즈 (761A/761B) 동안 T = Ton + Toff이다. 일부 구현 예들에서, 펄싱된 수소 플로우의 듀티 사이클은 약 1 % 내지 약 99 %, 약 5 % 내지 약 95 %, 약 15 % 내지 약 90 %, 또는 약 25 % 내지 약 75 %일 수 있다.
일부 구현 예들에서, 프로세스 (300b) 의 블록 370에서 기판을 향해 수소 및 산소-함유 반응 물질을 흘리는 것은 리모트 플라즈마 소스의 산소-함유 반응 물질로부터 산소 라디칼들을 생성하는 단계, 플라즈마 프로세싱 챔버 내로 산소 라디칼들을 도입하는 단계, 및 플라즈마 프로세싱 챔버 내로 수소를 흘리는 단계를 포함한다. 순수한 산소 가스 대신, 산소의 라디칼들은 수소 및 흡착된 실리콘-함유 전구체와 반응하도록 보다 반응성 종을 제공할 수도 있다. 어떠한 이론에도 제한되지 않고, 산소 라디칼들은 하이드록실 라디칼들 또는 물을 형성하도록 수소와 반응할 수도 있고, 하이드록실 라디칼들 또는 물은 흡착된 실리콘-함유 전구체의 산화를 촉진할 수도 있다. 일부 구현 예들에서, 산소 라디칼들은 산소 가스 또는 오존으로부터 생성된다. 일부 구현 예들에서, 리모트 플라즈마 소스는 플라즈마 프로세싱 챔버의 업스트림에 위치되고, 리모트 플라즈마 소스는 유도 결합 플라즈마 생성기 또는 용량 결합 플라즈마 생성기와 같은 임의의 적합한 플라즈마 생성기일 수 있다.
도 8은 일부 구현 예들에 따른 산화 동안 리모트 플라즈마 소스로부터 생성된 산소 라디칼들을 갖는 실리콘 옥사이드 막을 증착하기 위한 열적 ALD 사이클들을 도시하는 예시적인 타이밍 시퀀스도를 예시한다. 도 8은 도즈 페이즈 (857A), 제 1 퍼지 페이즈 (859A), 열적 산화 페이즈 (861A), 및 제 2 퍼지 페이즈 (863A) 를 포함하는 제 1 열적 ALD 사이클 (810A) 을 도시한다. 도 8은 또한 도즈 페이즈 (857B), 제 1 퍼지 페이즈 (859B), 열적 산화 페이즈 (861B), 및 제 2 퍼지 페이즈 (863B) 를 포함하는 제 2 열적 ALD 사이클 (810B) 을 도시한다. 도 8의 열적 ALD 사이클들 (810A/810B) 각각에 대한 위상들의 양태들은 도 5의 열적 ALD 사이클들 (510A/510B) 에 기술될 수 있다.
열적 산화 페이즈 (861A/861B) 에서, 산소 라디칼들은 순수한 산소 가스 대신 플라즈마 프로세싱 챔버 내로 도입된다. 수소 플로우는 플라즈마 프로세싱 챔버 내로 산소 라디칼들의 플로우와 동시에 연속적일 수도 있다. 그러나, 일부 구현 예들에서, 수소 플로우가 펄싱될 수도 있다는 것이 이해될 것이다. 열적 산화 페이즈 (861A/861B) 에서, 리모트 플라즈마 전력은 오프가 아니라 턴 온된다. RF 전력은 플라즈마 프로세싱 챔버로부터 업스트림에 산소 라디칼들을 생성하도록 리모트 플라즈마 소스에 인가될 수도 있다.
일부 구현 예들에서, 프로세스 (300b) 의 블록 380에서, 프로세스 (300b) 는 플라즈마 프로세싱 챔버 내에서 PEALD를 수행하는 것을 더 포함한다. 예를 들어, 프로세스 (300b) 는 플라즈마 프로세싱 챔버 내에서 PEALD를 통해 기판 상에 실리콘 옥사이드 막의 하나 이상의 부가적인 층들을 증착하는 단계를 더 포함할 수 있다. 이에 더하여 또는 대안적으로, 프로세스 (300b) 는 궁극적으로 실리콘 옥시나이트라이드 막을 형성하기 위해 플라즈마 프로세싱 챔버 내에서 열적 ALD 또는 PEALD에 의해 실리콘 옥사이드 막의 층 상에 실리콘 나이트라이드 막의 하나 이상의 층들을 증착하는 단계를 포함할 수 있다. 일부 구현 예들에서, 프로세스 (300b) 의 블록 380에서, PEALD 사이클은 플라즈마 노출 페이즈 동안 실리콘 옥사이드 막의 층을 실리콘 옥시나이트라이드 막으로 변환하도록 질소-함유 반응 물질의 플라즈마에 기판을 노출하는 것을 포함할 수 있다. 열적 ALD에 의해 증착된 실리콘 옥사이드 막 층은 기판의 아래에 놓인 층들을 보호하는 라이너 층으로서 기능할 수도 있고, 실리콘 옥사이드 및/또는 실리콘 나이트라이드의 후속 층들은 라이너 층 위에 벌크 증착될 수도 있다. 열적 ALD에 의해 증착된 실리콘 옥사이드 막의 층은 높은 컨포멀성, 높은 증착 레이트, 제한된 표면 산화, 기판 피처들 (예를 들어, 필라들, 핀들) 의 제한된 벤딩, 및 측벽들 상에서 균일한 습식 에칭 레이트를 나타낼 수도 있다. 그러나, 일부 구현 예들에서, 플라즈마 프로세싱 챔버 내에서 PEALD를 수행하는 것은 플라즈마 프로세싱 챔버 내에서 열적 ALD 전에 발생할 수도 있다. 즉, 실리콘 옥사이드 막 층들은 PEALD에 의해 증착될 수도 있고 이어서 열적 ALD에 의해 증착된 실리콘 옥사이드 막의 부가적인 층들이 이어질 수도 있다.
도 9는 일부 구현 예들에 따라, 실리콘-함유 막을 증착하기 위한 플라즈마 산화/질화와 함께 PEALD 사이클이 이어지는 함께 흐르는 수소 및 산소를 사용한 열적 ALD 사이클을 도시하는 예시적인 타이밍 시퀀스도를 예시한다. 그러나, PEALD 사이클은 일부 구현 예들에서 열적 ALD 사이클 전에 수행될 수도 있다는 것이 이해될 것이다. 도 9는 도즈 페이즈 (957A), 제 1 퍼지 페이즈 (959A), 열적 산화 페이즈 (961A), 및 제 2 퍼지 페이즈 (963A) 를 포함하는 열적 ALD 사이클 (910A) 을 도시한다. 도 9는 또한 도즈 페이즈 (957B), 제 1 퍼지 페이즈 (959B), 플라즈마 산화/질화 페이즈 (961B), 및 제 2 퍼지 페이즈 (963B) 를 포함하는 PEALD 사이클 (910B) 을 도시한다. 도 9의 열적 ALD 사이클 (910A) 에 대한 페이즈들의 양태들은 도 5의 열적 ALD 사이클 (510A/510B) 에 기술될 수 있다. 도 9의 PEALD 사이클 (910B) 에 대한 위상들의 양태들은 도 4의 PEALD 사이클 (410B) 에 기술될 수 있다.
플라즈마 산화/질화 페이즈 (961B) 에서, 기판은 산소 플라즈마 또는 질소 플라즈마에 노출될 수도 있다. 플라즈마 질화가 발생하면, 하나 이상의 질소-함유 반응 물질들이 기판을 향해 흐를 수도 있고 플라즈마가 턴 온될 수도 있다. 예를 들어, 하나 이상의 질소-함유 반응 물질들은 N2/NH3를 포함할 수도 있다. 플라즈마 질화는 실리콘 옥사이드 막 층 위에 실리콘 나이트라이드 막 층을 증착할 수도 있다. 일부 구현 예들에서, 플라즈마 질화는 실리콘 옥사이드를 실리콘 옥시나이트라이드로 변환할 수도 있다. 플라즈마 산화가 발생하면, 하나 이상의 산소-함유 반응 물질들이 기판을 향해 흐를 수도 있고 플라즈마가 턴 온된다. 예를 들어, 하나 이상의 산소-함유 반응 물질들은 O2를 포함할 수도 있다. 플라즈마 산화는 실리콘 옥사이드 막의 층 위에 실리콘 옥사이드 막의 부가적인 층을 증착할 수도 있다.
일부 구현 예들에서, 프로세스 (300b) 의 블록들 (360 및 370) 에서, 기판을 실리콘-함유 전구체에 노출하고 수소 및 산소-함유 반응 물질을 흘리는 것이 순환적 방식이 아니라 연속적인 방식으로 발생할 수도 있다. 구체적으로, 기판을 실리콘-함유 전구체에 노출하고 수소 및 산소-함유 반응 물질을 흘리는 것은 열적 ALD 프로세스 대신 열적 CVD 프로세스에서 발생한다. 간략하게, 열적 ALD 반응들은 (a) 흡착된 전구체 층을 형성하기 위한 전구체의 전달 동작, (b) 선택 가능한 퍼지 동작, (c) 가열된 기판 상의 반응 물질(들)의 전달 동작, (d) 선택 가능한 퍼지 동작, 및 (e) 막이 목표된 두께에 도달할 때까지 동작 (a) 내지 동작 (d) 를 반복하는 동작을 순환적으로 수행하는 것을 수반한다. 그러나, 열적 CVD 반응은 기판이 가열되는 동안 전구체 및 반응 물질(들)을 연속적으로 전달하는 것을 수반한다. CVD 반응들은 기판 표면 상에 반응 생성물들을 증착하는 가스상 반응들이다. 따라서, 본 개시의 반응 메커니즘은 열적 ALD에서 순환적으로 전달되기보다는 연속적으로 전달되는 실리콘-함유 전구체, 수소, 및 산소-함유 반응 물질을 사용하는 열적 CVD를 수반할 수도 있다.
도 10은 일부 구현 예들에 따라 실리콘-함유 막을 증착하기 위해 함께 흐르는 실리콘-함유 전구체, 수소, 및 산소-함유 반응 물질을 갖는 열적 CVD를 도시하는 예시적인 타이밍 시퀀스도를 예시한다. 열적 CVD 프로세스 (1010) 는 사이클들의 일련의 페이즈들로 분해되지 않는다. 캐리어 가스는 기판으로 연속적으로 흐르고, 실리콘-함유 전구체는 기판으로 연속적으로 흐르고, 수소 가스는 기판으로 연속적으로 흐르고, 산소-함유 반응 물질은 기판으로 연속적으로 흐른다. 실리콘-함유 전구체의 전달, 수소의 전달, 및 산소-함유 반응 물질의 전달은 순차적으로 발생하지 않고 별도의 상들로 발생하지 않는다. 플라즈마는 열적 CVD 프로세스 (1010) 동안 턴 오프된다.
도 4 내지 도 10에 기술된 임의의 전술한 기법들은 일련의 ALD 사이클들 및/또는 CVD 반응들에서 함께 혼합될 수도 있다는 것이 이해될 것이다. 즉, 열적 ALD에 의한 실리콘-함유 막의 증착은 펄싱된 수소 플로우를 갖는 하나 이상의 사이클들, 수소 및 산소-함유 반응 물질이 함께 흐르는 하나 이상의 사이클들, 저 RF 전력을 인가하는 하나 이상의 사이클들, 산소 라디칼들을 사용한 하나 이상의 사이클들, 플라즈마 산화/질화를 위한 하나 이상의 PEALD 사이클들, 및 실리콘-함유 전구체, 수소, 및 산소-함유 반응 물질을 사용한 열적 CVD 반응들의 하나 이상의 기간들을 수반할 수도 있다. 이러한 기법들은 실리콘-함유 막을 증착할 때 임의의 시퀀스로 적용될 수도 있다.
장치
본 명세서에 기술된 방법들은 임의의 적합한 장치 또는 장치의 조합에 의해 수행될 수도 있다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 구현 예들에서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다. 본 개시에서, 열적 ALD/CVD 및 PEALD/PECVD는 단일 스테이션/챔버에서 수행될 수도 있다.
도 11은 일부 구현 예들에 따른 열적 ALD를 사용하여 실리콘-함유 막을 증착하기 위한 예시적인 플라즈마 프로세싱 장치의 개략도이다. 플라즈마 장치 또는 프로세스 스테이션 (1100a) 은 저압 분위기를 유지하기 위한 플라즈마 프로세싱 챔버 (1102) 를 포함한다. 복수의 플라즈마 장치들 또는 프로세스 스테이션들 (1100a) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 12는 멀티-스테이션 프로세싱 툴 (1200) 의 구현 예를 도시한다. 일부 구현 예들에서, 이하에 상세히 논의된 것을 포함하는, 플라즈마 장치 또는 프로세스 스테이션 (1100a) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 시스템 제어기들 (1150) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다. 플라즈마 장치 또는 프로세스 스테이션 (1100a) 은 열적 ALD 및 PEALD, 열적 CVD 및 PEALD, 열적 ALD 및 PECVD, 또는 열적 CVD 및 PECVD를 수행하도록 구성될 수 있다. 일부 구현 예들에서, 플라즈마 장치 또는 프로세스 스테이션 (1100a) 은 기판 (1112) 상에 실리콘 옥사이드 막을 증착하기 위해 하나 이상의 PEALD 사이클들 및 하나 이상의 열적 ALD 사이클들을 수행하도록 구성될 수 있다.
플라즈마 장치 또는 프로세스 스테이션 (1100a) 은 분배 샤워헤드 (1106) 로 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (1101a) 과 유체로 연통한다. 반응 물질 전달 시스템 (1101a) 은 샤워헤드 (1106) 로의 전달을 위해 증기상의 실리콘-함유 전구체와 같은 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (1104) 를 포함한다. 일부 구현 예들에서, 반응 물질 전달 시스템 (1101a) 은 샤워헤드 (1106) 로의 전달을 위해 산소-함유 반응 물질 (예를 들어, 산소) 을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (1104) 를 포함한다. 일부 구현 예들에서, 반응 물질 전달 시스템 (1101a) 은 샤워헤드 (1106) 로의 전달을 위해 수소와 산소-함유 반응 물질 (예를 들어, 산소) 을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (1104) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (1120) 은 프로세스 가스들의 혼합 용기 (1104) 로의 도입을 제어할 수도 있다. 산소-함유 반응 물질의 플라즈마는 또한 샤워헤드 (1106) 로 전달될 수도 있고 또는 플라즈마 장치 또는 프로세스 스테이션 (1100a) 내에서 생성될 수도 있다. 샤워헤드 (1106) 는 플라즈마 프로세싱 챔버 (1102) 내로 실리콘-함유 전구체들 및 반응 물질들의 전달을 위해 플라즈마 프로세싱 챔버 (1102) 에 유체로 커플링될 수도 있다.
예로서, 도 11의 구현 예는 혼합 용기 (1104) 로 공급될 액체 반응물질을 기화시키기 위한 기화 지점 (1103) 을 포함한다. 일부 구현 예들에서, 기화 지점 (1103) 은 가열된 기화기일 수도 있다. 일부 구현 예들에서, 기화 지점 (1103) 의 다운스트림 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (1104) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (1103) 의 다운스트림 파이프는 혼합 용기 (1104) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는, 상승하는 온도 프로파일을 갖는다. 일부 구현 예들에서, 액체 전구체 또는 액체 반응 물질은 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기 (1104) 의 업스트림의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 구현 예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱 (flashing) 함으로써 반응물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있어서, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (1103) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (1104) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (1106) 에 바로 장착될 수도 있다.
일부 구현 예들에서, 기화 지점 (1103) 의 업스트림에 LFC (liquid flow controller) 가 플라즈마 장치 또는 프로세스 스테이션 (1100a) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (thermal Mass Flow Meter) 을 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 구현 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 구현 예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (1106) 는 기판 (1112) 을 향해 프로세스 가스들을 분배한다. 도 11에 도시된 구현 예에서, 기판 (1112) 은 샤워헤드 (1106) 밑에 위치되고 기판 지지부 (1108) 상에 놓인 것으로 도시되고, 기판 지지부 (1108) 는 기판 (1112) 을 지지하도록 구성된다. 기판 지지부 (1108) 는 증착 동작들 동안 그리고 증착 동작들 사이에 기판 (1112) 을 홀딩하고 이송하기 위해 척, 포크, 또는 리프트 핀들 (미도시) 을 포함할 수도 있다. 척은 정전 척, 기계적 척, 또는 산업계에서 그리고/또는 연구를 위해 사용할 수 있는 다양한 다른 타입들의 척일 수도 있다. 샤워헤드 (1106) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (1112) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 구현 예들에서, 기판 지지부 (1108) 는 기판 (1112) 과 샤워헤드 (1106) 사이의 볼륨에 기판 (1112) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 구현 예들에서, 기판 지지부 높이는 적합한 시스템 제어기 (1150) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 기판 지지부 (1108) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 프로세스에 포함된 플라즈마 활성화 사이클들 동안 가변되게 할 수도 있다. 프로세싱 페이즈의 종료시, 기판 지지부 (1108) 는 기판 지지부 (1108) 로부터 기판 (1112) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
일부 구현 예들에서, 기판 지지부 (1108) 는 히터 (1110) 를 통해 상승된 온도로 가열되도록 구성될 수도 있다. 일부 구현 예들에서, 기판 지지부 (1108) 는 개시된 구현 예들에 기술된 바와 같은 실리콘 옥사이드 막들의 증착 동안, 약 700 ℃ 미만, 예컨대 약 500 ℃ 내지 약 750 ℃ 또는 약 500 ℃ 내지 약 650 ℃의 온도로 가열될 수도 있다. 또한, 일부 구현 예들에서, 장치 또는 프로세스 스테이션 (700a) 에 대한 압력 제어가 버터플라이 밸브 (1118) 에 의해 제공될 수도 있다. 도 11의 구현 예에 도시된 바와 같이, 버터플라이 밸브 (1118) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀한다. 그러나, 일부 구현 예들에서, 플라즈마 프로세싱 챔버 (1102) 의 압력 제어는 또한 플라즈마 프로세싱 챔버 (1102) 로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다. 일부 구현 예들에서, 플라즈마 프로세싱 챔버 (1102) 내 압력은 개시된 구현 예들에 기술된 바와 같이 실리콘 옥사이드 막들의 증착 동안 약 7 Torr 이상, 약 10 Torr 이상, 또는 약 12 Torr 이상으로 제어될 수도 있다.
일부 구현 예들에서, 샤워헤드 (1106) 의 위치는 기판 (1112) 와 샤워헤드 (1106) 사이의 체적을 가변하도록 기판 페데스탈 (1108) 에 대해 조정될 수도 있다. 또한, 기판 지지부 (1108) 및/또는 샤워헤드 (1106) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 구현 예들에서, 기판 지지부 (1108) 는 기판 (1112) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 구현 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 시스템 제어기들 (1150) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
상기 논의된 바와 같이 플라즈마가 사용될 수도 있는 일부 구현 예들에서, 샤워헤드 (1106) 및 기판 지지부 (1108) 는 플라즈마 프로세싱 챔버 (1102) 의 플라즈마에 전력을 공급하기 위해 RF (radio frequency) 전력 공급부 (1114) 및 매칭 네트워크 (1116) 와 전기적으로 통신한다. 일부 구현 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (1114) 및 매칭 네트워크 (1116) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 일부 구현 예들에서, RF 전력 공급부 (1114) 및 매칭 네트워크 (1116) 는 플라즈마 프로세싱 챔버 (1102) 내에서 상기 수소 및 상기 산소-함유 반응 물질로부터 생성된 플라즈마를 점화하도록 플라즈마 전력을 상기 플라즈마 프로세싱 챔버 (1102) 에 인가하도록 동작될 수도 있다. RF 전력 공급부 (1114) 에 의해 인가된 예시적인 플라즈마 전력들은 약 300 W 이하, 약 200 W 이하, 또는 약 10 W 내지 약 200 W일 수도 있다. 유사하게, RF 전력 공급부 (1114) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 구현 예들에서, RF 전력 공급부 (1114) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 인식될 것이다.
일부 구현 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인시츄로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 전압 센서, 전류 센서 (예를 들어, VI 프로브들) 중 하나 이상에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (Optical Emission Spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 구현 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 구현 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 구현 예들에서, 제어기 (1150) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 구현 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 전구체 가스 (예를 들어, 실리콘-함유 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 가스 및/또는 전구체 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변조하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 산소와 같은 산소-함유 반응 물질 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 수소 가스의 플로우를 변조하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 일부 구현 예들에서, 제 4 레시피는 산소-함유 반응 물질의 플라즈마를 점화하기 위한 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 개시된 구현 예들의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
특정한 구현 예들에서, 제어기 (1150) 는 본 개시에 기술된 동작들을 수행하기 위한 인스트럭션들을 갖는다. 예를 들어, 제어기 (1150) 는: 플라즈마 프로세싱 챔버 (1102) 내에서 기판 (1112) 의 표면 상에 흡착하도록 기판 (1112) 을 실리콘-함유 전구체에 노출시키고, 플라즈마 프로세싱 챔버 (1102) 내 기판 (1112) 을 향해 수소 및 산소-함유 반응 물질을 흘리고, 그리고 기판 (1112) 을 상승된 온도로 가열하는 동작들을 수행하기 위한 인스트럭션들로 구성될 수도 있고, 여기서 수소 및 산소-함유 반응 물질은 플라즈마 프로세싱 챔버 (1102) 내에서 서로 반응하고, 실리콘 옥사이드 막의 층이 기판 (1112) 상에 형성된다. 일부 구현 예들에서, 상승된 온도는 약 500 ℃ 내지 약 650 ℃이고 산소-함유 반응 물질은 산소이다. 일부 구현 예들에서, 제어기 (1150) 는: 플라즈마 프로세싱 챔버 (1102) 내에서 PEALD를 통해 기판 (1112) 상에 실리콘 옥사이드 막의 하나 이상의 부가적인 층들을 증착하는 동작을 수행하기 위한 인스트럭션들로 더 구성된다. 일부 구현 예들에서, 수소 및 산소-함유 반응 물질을 흘리기 위한 인스트럭션들로 구성된 제어기 (1150) 는: 산소-함유 반응 물질을 플라즈마 프로세싱 챔버 (1102) 내로 연속적으로 흘리고, 플라즈마 프로세싱 챔버 (1102) 내로 수소를 규칙적인 인터벌들로 펄싱하는 동작들을 수행하기 위한 인스트럭션들로 구성된다. 일부 구현 예들에서, 제어기 (1150) 는 도 12의 시스템 제어기 (1250) 에 대해 이하에 기술된 임의의 특징들을 포함할 수도 있다.
도 12는 개시된 구현 예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다. 멀티-스테이션 프로세싱 툴 (1200) 은 이송 모듈 (1203) 을 포함할 수도 있다. 이송 모듈 (1203) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 세정, 가압된 분위기를 제공한다. 이송 모듈 (1203) 상에는 이 맥락에서 프로세싱 챔버들 또는 반응기들 또는 툴 모듈들 또는 모듈들로서 지칭되는, 멀티-스테이션 반응기들 (1207, 1208, 및 1209) 이 장착된다. 반응기 각각은 PEALD, 열적 ALD, PECVD, 또는 열적 CVD와 같은 증착 프로세스들을 수행할 수 있다. 반응기들 (1207, 1208, 및 1209) 중 하나 이상은 소킹/세정, 플라즈마 처리, 에칭, 어닐링, 또는 다른 동작들을 수행할 수도 있다. 반응기들 (1207, 1208, 및 1209) 은 개시된 구현 예들에 따라 순차적으로 또는 비순차적으로 동작들을 수행할 수도 있는 복수의 스테이션들 (1211, 1213, 1215, 및 1217) 을 포함할 수도 있다. 도시된 반응기 (1207, 1208, 또는 1209) 가 4 개의 스테이션들을 갖는 것으로 도시되지만, 본 개시에 따른 반응기는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 구현 예들에서, 반응기는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 구현 예들에서 반응기는 3 개 이하의 스테이션들을 가질 수도 있다. 스테이션 각각은 PEALD, 열적 ALD, PECVD, 또는 열적 CVD에 의한 증착을 위해 구성될 수도 있고, 또는 증착 프로세스의 상이한 페이즈들을 위해 구성될 수도 있다. 스테이션 각각은 상승된 온도로 가열되도록 구성된 기판 지지부뿐만 아니라 가스를 전달하기 위한 샤워헤드 또는 가스 유입구들을 포함할 수도 있다.
멀티-스테이션 프로세싱 툴 (1200) 은 또한 프로세싱 전 및 프로세싱 후에 기판들이 저장되는 하나 이상의 기판 소스 모듈들 (1201) 을 포함한다. 대기 이송 챔버 (1219) 내의 대기 로봇 (1204) 은 먼저 위해 하나 이상의 기판 소스 모듈들 (1201) 로부터 로드 록들 (1221) 으로 기판들을 제거한다. 도시된 구현 예는 로드 록들 (1221) 을 포함하지만, 일부 구현 예들에서, 기판의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다. 이송 모듈 (1203) 내 기판 이송 디바이스 (1205), 예컨대 로봇 암 유닛은 로드 록들 (1221) 로부터 반응기들 (1207, 1208, 및 1209) 사이에서 기판들을 이동시킨다. 이는 가압된 (예를 들어, 진공) 분위기에서 이루어질 수 있다. 멀티-스테이션 프로세싱 툴 (1200) 은 본 개시에 기술된 프로세스들 중 하나 이상, 뿐만 아니라 소킹/세정, 플라즈마 처리, 어닐링, 등과 같은 다른 동작들을 수행할 수도 있다. 이러한 프로세스들은 진공 브레이크를 도입하지 않고 멀티-스테이션 프로세싱 툴 (1200) 내에서 수행될 수도 있다.
도 12는 또한 멀티-스테이션 프로세싱 툴 (1200) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1250) 를 포함할 수도 있다. 시스템 제어기 (1250) 는 하나 이상의 메모리 디바이스들, 하나 이상의 대용량 저장 디바이스들, 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서가 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어 하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 구현 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 동작들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 동작들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 동작들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 동작 또는 동작들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
도 12의 구현 예를 다시 참조하면, 일부 구현 예들에서, 시스템 제어기 (1250) 는 멀티-스테이션 프로세싱 툴 (1200) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1250) 는 대용량 저장 디바이스에 저장되고 메모리 디바이스 내로 로딩되어 프로세서 상에서 실행되는 시스템 제어 소프트웨어를 실행한다. 대안적으로, 제어 로직은 제어기 (1250) 에 하드코딩될 (hard coded) 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (1258) 는 타이밍, 가스의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치를 제어하기 위한 인스트럭션들, 및 멀티-스테이션 프로세싱 툴 (1200) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그램 언어로 코딩될 수도 있다.
일부 구현 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, 열적 ALD 사이클의 페이즈 각각 또는 PEALD 사이클의 페이즈 각각은 시스템 제어기 (1250) 에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. ALD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 ALD 레시피 페이즈에 포함될 수도 있다. 일부 구현 예들에서, ALD 레시피 페이즈들은 ALD 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록, 순차적으로 배열될 수도 있다.
시스템 제어기 (1250) 와 연관된 대용량 저장 디바이스 및/또는 메모리 디바이스에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 구현 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 상에 기판을 로딩하고 기판과 멀티-스테이션 프로세싱 툴 (1200) 의 다른 부분들 사이의 간격을 제어하도록 사용되는 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 일부 구현 예들에서, 제어기는 플라즈마 프로세싱 챔버 내에서 열적 ALD에 의해 제 1 실리콘 옥사이드 층을 증착하고, 동일한 플라즈마 프로세싱 챔버에서 PEALD에 의해 제 2 실리콘 옥사이드 층을 증착하기 위한 인스트럭션들을 포함한다. 일부 구현 예들에서, 제어기는 도즈 페이즈에서 기판으로 실리콘-함유 전구체를 전달하고 열적 산화 페이즈에서 기판을 향해 수소와 산소를 코-플로우함으로써 실리콘 옥사이드 층을 증착하기 위한 인스트럭션들을 포함한다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 스로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다. 일부 구현 예들에서, 제어기는 실리콘 옥사이드 층의 열적 ALD를 수행하기 전에 적어도 약 7 Torr이도록 플라즈마 프로세싱 챔버 내에 챔버 압력을 제공하기 위한 인스트럭션들을 포함한다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다. 특정한 구현 예들에서, 제어기는 열적 ALD 사이클의 열적 산화 페이즈 동안 기판을 상승된 온도로 가열하기 위한 인스트럭션들을 포함하고, 상승된 온도는 약 500 ℃ 내지 약 650 ℃이다.
플라즈마 제어 프로그램은 본 명세서의 구현 예들에 따라 하나 이상의 프로세스 스테이션들의 RF 전력 레벨들 및 노출 시간들을 설정하기 위한 코드를 포함할 수도 있다. 일부 구현 예들에서, 제어기는 수소와 산소가 함께 흐를 때 열적 ALD 사이클의 열적 산화 페이즈 동안 약 10 W 내지 약 200 W의 RF 전력 레벨에서 플라즈마를 점화하기 위한 인스트럭션들을 포함한다.
일부 구현 예들에서, 시스템 제어기 (1250) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 구현 예들에서, 시스템 제어기 (1250) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1250) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 멀티-스테이션 프로세싱 툴 (1200) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들과 같은) 압력 센서들, 써모커플들, 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (1250) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 가스 플로우 조성, 플로우 레이트들, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 구현 예들에 따라 실리콘 옥사이드 막의 열적 ALD 또는 열적 CVD를 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (1250) 는 통상적으로 장치가 개시된 구현 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 구현 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능, 비일시적 매체가 시스템 제어기에 커플링될 수도 있다.
상기 기술된 다양한 하드웨어 및 방법 구현 예들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다.
결론
전술한 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술되지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 세부사항들로 한정되지 않을 것이다.

Claims (29)

  1. 실리콘 옥사이드 막을 증착하는 방법에 있어서,
    플라즈마 프로세싱 챔버 내에 기판을 제공하는 단계;
    상기 플라즈마 프로세싱 챔버 내에서 열적 ALD (thermal atomic layer deposition) 를 통해 기판 상에 제 1 실리콘 옥사이드 층을 증착하는 단계; 및
    상기 플라즈마 프로세싱 챔버 내 PEALD (plasma-enhanced atomic layer deposition) 를 통해 상기 기판 상에 제 2 실리콘 옥사이드 층을 증착하는 단계를 포함하는, 실리콘 옥사이드 막 증착 방법.
  2. 제 1 항에 있어서,
    상기 제 1 실리콘 옥사이드 층을 열적 ALD에 의해 증착하는 단계는,
    상승된 온도로 상기 기판을 가열하는 단계;
    상기 기판의 표면 상에 흡착하도록 상기 기판을 실리콘-함유 전구체에 노출시키는 단계; 및
    상기 제 1 실리콘 옥사이드 층을 형성하기 위해 상기 산소-함유 반응 물질과 상기 실리콘-함유 전구체 사이의 반응을 구동하도록 상기 기판이 상기 상승된 온도로 가열되는 동안 상기 기판을 산소-함유 반응 물질에 노출시키는 단계를 포함하는, 실리콘 옥사이드 막 증착 방법.
  3. 제 2 항에 있어서,
    상기 상승된 온도는 약 500 ℃ 내지 약 750 ℃인, 실리콘 옥사이드 막 증착 방법.
  4. 제 2 항에 있어서,
    상기 산소-함유 반응 물질은 산소 (O2), 오존 (O3), 과산화수소 (H2O2), 물 (H2O), 또는 이들의 조합들을 포함하는, 실리콘 옥사이드 막 증착 방법.
  5. 제 2 항에 있어서,
    상기 실리콘-함유 전구체는 아미노실란을 포함하는, 실리콘 옥사이드 막 증착 방법.
  6. 제 1 항에 있어서,
    상기 플라즈마 프로세싱 챔버 내의 챔버 압력은 약 7 Torr 이상인, 실리콘 옥사이드 막 증착 방법.
  7. 제 1 항에 있어서,
    상기 제 1 실리콘 옥사이드 층을 열적 ALD에 의해 증착하는 단계는,
    상승된 온도로 상기 기판을 가열하는 단계;
    상기 기판의 표면 상에 흡착하도록 상기 기판을 실리콘-함유 전구체에 노출시키는 단계; 및
    상기 기판이 상기 상승된 온도로 가열되는 동안 상기 플라즈마 프로세싱 챔버 내에서 상기 기판을 향해 수소 (H2) 및 산소 (O2) 를 흘리는 단계로서, 상기 수소와 상기 산소는 상기 플라즈마 프로세싱 챔버 내에서 반응하고, 상기 제 1 실리콘 옥사이드 층은 상기 기판 상에 형성되는, 상기 수소 및 산소를 흘리는 단계를 포함하는, 실리콘 옥사이드 막 증착 방법.
  8. 제 1 항에 있어서,
    상기 제 2 실리콘 옥사이드 층을 PEALD에 의해 증착하는 단계는,
    상기 기판의 표면 상에 흡착하도록 상기 기판을 제 2 실리콘-함유 전구체에 노출시키는 단계; 및
    제 2 산소-함유 반응 물질로부터 생성된 플라즈마에 상기 기판을 노출시키는 단계로서, 상기 플라즈마는 상기 제 2 실리콘 옥사이드 층을 형성하기 위해 상기 제 2 산소-함유 반응 물질의 반응성 종과 상기 제 2 실리콘-함유 전구체 사이의 반응을 구동하는, 상기 플라즈마에 상기 기판을 노출시키는 단계를 포함하는, 실리콘 옥사이드 막 증착 방법.
  9. 제 8 항에 있어서,
    질소-함유 반응 물질로부터 생성된 플라즈마에 상기 기판을 노출시키는 단계를 더 포함하고, 상기 플라즈마는 적어도 상기 제 2 실리콘 옥사이드 층을 실리콘 옥시나이트라이드 층으로 변환하도록 상기 질소-함유 반응 물질의 반응성 종과 적어도 상기 제 2 실리콘 옥사이드 층 사이의 반응을 구동하는, 실리콘 옥사이드 막 증착 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 플라즈마 프로세싱 챔버 내에서 열적 ALD 또는 PEALD에 의해 상기 제 1 실리콘 옥사이드 층 및 상기 제 2 실리콘 옥사이드 층 상에 실리콘 나이트라이드 층을 증착하는 단계를 더 포함하고, 상기 제 1 실리콘 옥사이드 층, 상기 제 2 실리콘 옥사이드 층, 및 상기 실리콘 나이트라이드 층은 총괄적으로 (collectively) 실리콘 옥시나이트라이드 막을 형성하는, 실리콘 옥사이드 막 증착 방법.
  11. 실리콘 옥사이드 막을 증착하는 방법에 있어서,
    기판을 상승된 온도로 가열하는 단계;
    플라즈마 프로세싱 챔버에서 상기 기판의 표면 상에 흡착하도록 상기 기판을 실리콘-함유 전구체에 노출시키는 단계; 및
    상기 플라즈마 프로세싱 챔버 내에서 상기 기판을 향해 수소 (H2) 및 산소-함유 반응 물질을 흘리는 단계를 포함하고, 상기 수소 및 상기 산소-함유 반응 물질은 상기 플라즈마 프로세싱 챔버 내에서 반응하고, 실리콘 옥사이드 막의 층이 상기 기판 상에 형성되는, 실리콘 옥사이드 막 증착 방법.
  12. 제 11 항에 있어서,
    상기 수소 및 상기 산소-함유 반응 물질은 발열 반응 (exothermic reaction) 에서 상기 플라즈마 프로세싱 챔버 내에서 서로 인 시츄 (in situ) 반응하고 상기 실리콘 옥사이드 막의 상기 층의 형성을 구동하는, 실리콘 옥사이드 막 증착 방법.
  13. 제 11 항에 있어서,
    상기 상승된 온도는 약 500 ℃ 내지 약 650 ℃인, 실리콘 옥사이드 막 증착 방법.
  14. 제 11 항에 있어서,
    상기 플라즈마 프로세싱 챔버 내의 챔버 압력은 약 7 Torr 이상인, 실리콘 옥사이드 막 증착 방법.
  15. 제 11 항에 있어서,
    상기 산소-함유 반응 물질은 산소 (O2) 또는 오존 (O3) 을 포함하는, 실리콘 옥사이드 막 증착 방법.
  16. 제 11 항에 있어서,
    상기 플라즈마 프로세싱 챔버 내에서 상기 수소 및 상기 산소-함유 반응 물질로부터 생성된 플라즈마를 점화하도록 플라즈마 전력을 상기 플라즈마 프로세싱 챔버에 인가하는 단계를 더 포함하는, 실리콘 옥사이드 막 증착 방법.
  17. 제 16 항에 있어서,
    상기 플라즈마 프로세싱 챔버에 인가된 상기 플라즈마 전력은 약 10 W 내지 약 200 W인, 실리콘 옥사이드 막 증착 방법.
  18. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 수소 및 상기 산소-함유 반응 물질을 흘리는 단계는,
    상기 산소-함유 반응 물질을 상기 플라즈마 프로세싱 챔버 내로 연속적으로 흘리는 단계; 및
    상기 수소를 규칙적인 인터벌들로 상기 플라즈마 프로세싱 챔버 내로 펄싱하는 단계를 포함하는, 실리콘 옥사이드 막 증착 방법.
  19. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    (i) 상기 기판을 상기 실리콘-함유 전구체에 노출하는 단계 및 (ii) 상기 수소 및 상기 산소-함유 반응 물질을 흘리는 단계는 열적 ALD (atomic layer deposition) 프로세스에서 순환적으로 수행되는, 실리콘 옥사이드 막 증착 방법.
  20. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 기판을 상기 실리콘-함유 전구체에 노출시킨 후 그리고 상기 수소 및 상기 산소-함유 반응 물질을 흘리기 전에 상기 플라즈마 프로세싱 챔버를 퍼지하는 단계; 및
    상기 수소 및 상기 산소-함유 반응 물질을 흘린 후 상기 플라즈마 프로세싱 챔버를 퍼지하는 단계를 더 포함하는, 실리콘 옥사이드 막 증착 방법.
  21. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    (i) 상기 기판을 상기 실리콘-함유 전구체에 노출하는 단계 및 (ii) 상기 수소 및 상기 산소-함유 반응 물질을 흘리는 단계는 열적 CVD (chemical vapor deposition) 프로세스에서 연속적으로 수행되는, 실리콘 옥사이드 막 증착 방법.
  22. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 플라즈마 프로세싱 챔버 내에서 PEALD를 통해 상기 기판 상에 상기 실리콘 옥사이드 막의 하나 이상의 부가적인 층들을 증착하는 단계를 더 포함하는, 실리콘 옥사이드 막 증착 방법.
  23. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    실리콘 옥시나이트라이드 막을 형성하기 위해 상기 플라즈마 프로세싱 챔버 내에서 열적 ALD 또는 PEALD에 의해 상기 실리콘 옥사이드 막의 상기 층 상에 실리콘 나이트라이드 막의 하나 이상의 층들을 증착하는 단계를 더 포함하는, 실리콘 옥사이드 막 증착 방법.
  24. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 실리콘 옥사이드 막의 상기 층을 실리콘 옥시나이트라이드 막으로 변환하기 위해 상기 기판을 질소-함유 반응 물질의 플라즈마에 노출시키는 단계를 더 포함하는, 실리콘 옥사이드 막 증착 방법.
  25. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    수소 및 상기 산소-함유 반응 물질을 흘리는 단계는,
    리모트 플라즈마 소스의 상기 산소-함유 반응 물질로부터 산소 라디칼들을 생성하는 단계;
    상기 산소 라디칼들을 상기 플라즈마 프로세싱 챔버 내로 도입하는 단계; 및
    상기 플라즈마 프로세싱 챔버 내로 상기 수소를 흘리는 단계를 포함하는, 실리콘 옥사이드 막 증착 방법.
  26. 실리콘 옥사이드 막을 증착하기 위한 플라즈마 장치에 있어서,
    플라즈마 프로세싱 챔버;
    기판을 지지하기 위한 상기 플라즈마 프로세싱 챔버 내의 기판 지지부로서, 상기 기판 지지부는 상승된 온도로 가열되도록 구성되는, 상기 기판 지지부;
    상기 플라즈마 프로세싱 챔버 내로 전구체들 및 반응 물질들의 전달을 위해 상기 플라즈마 프로세싱 챔버에 유체적으로 커플링된 샤워헤드;
    상기 플라즈마 프로세싱 챔버 내의 플라즈마에 전력 공급하도록 (power) 구성된 RF 전력 공급부; 및
    제어기를 포함하고,
    상기 제어기는,
    상승된 온도로 상기 기판을 가열하는 동작;
    상기 플라즈마 프로세싱 챔버에서 상기 기판의 표면 상에 흡착하도록 상기 기판을 실리콘-함유 전구체에 노출하는 동작; 및
    상기 플라즈마 프로세싱 챔버 내에서 상기 기판을 향해 수소 (H2) 및 산소-함유 반응 물질을 흘리는 동작을 수행하기 위한 인스트럭션들로 구성되고, 상기 수소 및 상기 산소-함유 반응 물질은 상기 플라즈마 프로세싱 챔버 내에서 반응하고, 실리콘 옥사이드 막의 층이 상기 기판 상에 형성되는, 실리콘 옥사이드 막 증착을 위한 플라즈마 장치.
  27. 제 26 항에 있어서,
    상기 제어기는,
    상기 플라즈마 프로세싱 챔버 내에서 상기 수소 및 상기 산소-함유 반응 물질로부터 생성된 플라즈마를 점화하도록 플라즈마 전력을 상기 플라즈마 프로세싱 챔버에 인가하는 동작을 수행하기 위한 인스트럭션들로 더 구성되는, 실리콘 옥사이드 막 증착을 위한 플라즈마 장치.
  28. 제 26 항에 있어서,
    상기 제어기는,
    상기 플라즈마 프로세싱 챔버 내에서 PEALD를 통해 상기 기판 상에 상기 실리콘 옥사이드 막의 하나 이상의 부가적인 층들을 증착하는 동작을 수행하기 위한 인스트럭션들로 더 구성되는, 실리콘 옥사이드 막 증착을 위한 플라즈마 장치.
  29. 제 26 항에 있어서,
    수소 및 상기 산소-함유 반응 물질을 흘리기 위한 인스트럭션들로 구성된 상기 제어기는,
    상기 산소-함유 반응 물질을 상기 플라즈마 프로세싱 챔버 내로 연속적으로 흘리는 동작; 및
    상기 수소를 규칙적인 인터벌들로 상기 플라즈마 프로세싱 챔버 내로 펄싱하는 동작을 수행하기 위한 인스트럭션들로 구성되는, 실리콘 옥사이드 막 증착을 위한 플라즈마 장치.
KR1020227007516A 2019-08-06 2020-07-24 실리콘-함유 막들의 열적 원자 층 증착 (thermal atomic layer deposition) KR20220042442A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962883556P 2019-08-06 2019-08-06
US62/883,556 2019-08-06
PCT/US2020/043459 WO2021025874A1 (en) 2019-08-06 2020-07-24 Thermal atomic layer deposition of silicon-containing films

Publications (1)

Publication Number Publication Date
KR20220042442A true KR20220042442A (ko) 2022-04-05

Family

ID=74503958

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227007516A KR20220042442A (ko) 2019-08-06 2020-07-24 실리콘-함유 막들의 열적 원자 층 증착 (thermal atomic layer deposition)

Country Status (5)

Country Link
US (1) US20220275510A1 (ko)
JP (1) JP2022544104A (ko)
KR (1) KR20220042442A (ko)
CN (1) CN114207184A (ko)
WO (1) WO2021025874A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113363405B (zh) * 2021-06-29 2022-06-03 昆山梦显电子科技有限公司 一种显示面板的制备方法、显示面板及显示装置
KR20240032126A (ko) * 2021-07-09 2024-03-08 램 리써치 코포레이션 실리콘-함유 막들의 플라즈마 강화 원자 층 증착
WO2023114401A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation Atomic layer deposition pulse sequence engineering for improved conformality for low temperature precursors
TW202348830A (zh) * 2022-02-22 2023-12-16 美商蘭姆研究公司 熱膜沉積

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
KR20130135261A (ko) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
CN102479672B (zh) * 2010-11-22 2013-10-23 中芯国际集成电路制造(上海)有限公司 形成氮氧化硅层的方法
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
TWI661076B (zh) * 2016-10-06 2019-06-01 南韓商圓益Ips股份有限公司 複合膜製造方法
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD

Also Published As

Publication number Publication date
US20220275510A1 (en) 2022-09-01
CN114207184A (zh) 2022-03-18
JP2022544104A (ja) 2022-10-17
WO2021025874A1 (en) 2021-02-11

Similar Documents

Publication Publication Date Title
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20200033978A (ko) 유전체 막의 기하학적으로 선택적인 증착
KR20220042442A (ko) 실리콘-함유 막들의 열적 원자 층 증착 (thermal atomic layer deposition)
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230220544A1 (en) In-feature wet etch rate ratio reduction
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱
US20220384186A1 (en) Methods to enable seamless high quality gapfill
WO2021113257A1 (en) In-situ pecvd cap layer
WO2023114401A1 (en) Atomic layer deposition pulse sequence engineering for improved conformality for low temperature precursors
WO2023076524A1 (en) Atomic layer deposition seam reduction