JP2020516060A - 高アスペクト比トレンチをアモルファスシリコン膜で間隙充填するための2段階プロセス - Google Patents

高アスペクト比トレンチをアモルファスシリコン膜で間隙充填するための2段階プロセス Download PDF

Info

Publication number
JP2020516060A
JP2020516060A JP2019552586A JP2019552586A JP2020516060A JP 2020516060 A JP2020516060 A JP 2020516060A JP 2019552586 A JP2019552586 A JP 2019552586A JP 2019552586 A JP2019552586 A JP 2019552586A JP 2020516060 A JP2020516060 A JP 2020516060A
Authority
JP
Japan
Prior art keywords
silicon
liner layer
substrate
feature
silicon film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019552586A
Other languages
English (en)
Other versions
JP2020516060A5 (ja
JP7171604B2 (ja
Inventor
プラミット マンナ,
プラミット マンナ,
シーシー チアン,
シーシー チアン,
ルイ チェン,
ルイ チェン,
アブヒジット バス マリック,
アブヒジット バス マリック,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020516060A publication Critical patent/JP2020516060A/ja
Publication of JP2020516060A5 publication Critical patent/JP2020516060A5/ja
Application granted granted Critical
Publication of JP7171604B2 publication Critical patent/JP7171604B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

高アスペクト比トレンチなどの半導体デバイスフィーチャを、アモルファスシリコン膜で間隙充填する方法が、提供される。最初に、第1の表面にフィーチャが形成された基板が、処理チャンバ内に配置される。次に、共形堆積プロセスが実行されて、共形シリコンライナー層を、フィーチャの側壁およびフィーチャ間の基板の露出した第1の表面上に堆積させる。次に、流動性堆積プロセスが実行されて、流動性シリコン層を共形シリコンライナー層上に堆積させる。次に、硬化プロセスが実行されて、流動性シリコン層のシリコン密度を増加させる。本明細書で説明する方法は、一般に、高品質のアモルファスシリコン膜でフィーチャ間のシームのない間隙充填を実現する、共形シリコン堆積と流動性シリコン堆積の2段階プロセスによって、全体的なエッチング選択性を向上させる。【選択図】図2C

Description

[0001]本開示の例は、一般に、半導体製造プロセスに関し、より具体的には、アモルファスシリコン膜で半導体デバイスの高アスペクト比トレンチを間隙充填する方法、およびそれにより形成されるデバイスに関する。
[0002]多くの半導体デバイスの製造プロセスでは、ボイドなしで、例えば10:1を超える高アスペクト比の狭いトレンチを充填する必要がある。そのようなプロセスの一例は、シャロートレンチアイソレーション(STI)であり、この場合、膜は、高品質である必要があり、またトレンチ全体にわたって非常に低いリーク電流を有する必要がある。半導体デバイス構造の寸法が縮小し続け、アスペクト比が増加するにつれて、後硬化プロセスが、ますます困難になり、充填されたトレンチ全体にわたって組成が変化する膜が生じる。
[0003]アモルファスシリコン(a−Si)は一般に酸化ケイ素(SiO)やアモルファスカーボン(a−C)などの他の膜に比べて良好なエッチング選択性を提供するため、従来、アモルファスシリコン(a−Si)が、半導体製造プロセスで使用されてきた。ただし、プラズマ化学気相堆積(PECVD)や共形堆積などの従来のa−Si堆積方法は、シームが高アスペクト比トレンチ内に形成されるため、高アスペクト比トレンチを間隙充填するために使用することができない。シームは、側壁間のトレンチに形成される間隙を含み、間隙は、後硬化プロセス中にさらに開いて、最終的にスループットの低下または半導体デバイスの故障さえ引き起こす。さらに、a−SiのPECVDは、一般に、トレンチの底部にボイドを生じさせ、これもまた、デバイス性能の低下や故障に至る可能性すらある。
[0004]したがって、シームのない膜成長を提供することができる、半導体デバイスの高アスペクト比トレンチを間隙充填する方法が必要である。
[0005]高アスペクト比トレンチなどの半導体デバイスフィーチャを、アモルファスシリコン膜で間隙充填する方法が、提供される。最初に、第1の表面にフィーチャが形成された基板が、処理チャンバ内に配置される。次に、共形堆積プロセスが実行されて、共形シリコンライナー層を、フィーチャの側壁およびフィーチャ間の基板の露出した第1の表面上に堆積させる。次に、流動性堆積プロセスが実行されて、流動性シリコン層を共形シリコンライナー層上に堆積させる。次に、硬化プロセスが実行されて、流動性シリコン層のシリコン密度を増加させる。本明細書で説明する方法は、一般に、高品質のアモルファスシリコン膜でフィーチャ間のシームのない間隙充填を実現する、共形シリコン堆積と流動性シリコン堆積の2段階プロセスによって、全体的なエッチング選択性を向上させる。
[0006]一例では、半導体デバイスを製造する方法が開示されている。この方法は、側壁および底面を有する少なくとも1つのフィーチャが表面に形成されている基板を提供することと、基板表面上、少なくとも1つのフィーチャの側壁および底面上にシリコンライナー層を共形堆積させることと、少なくとも1つのフィーチャを流動性シリコン膜で充填することと、シリコンライナー層および流動性シリコン膜を硬化させて、シリコンライナー層および流動性シリコン膜を固化し、実質的にシームのない間隙充填部を形成することと、を含む。
[0007]別の例では、半導体デバイスを製造する方法が開示されている。この方法は、側壁および底面を有する少なくとも1つのフィーチャが表面に形成されている基板を提供することと、約5%未満の水素濃度を有するシリコンライナー層を、基板表面上ならびに少なくとも1つのフィーチャの側壁および底面上に共形堆積させることと、少なくとも1つのフィーチャを、約30%を超える水素濃度を有する流動性シリコン膜で充填することと、シリコンライナー層および流動性シリコン膜を硬化させて、シリコンライナー層および流動性シリコン膜を固化し、約10%〜約15%の水素濃度を有する実質的にシームのない間隙充填部を形成することと、を含む。
[0008]さらに別の例では、半導体デバイスが開示されている。半導体デバイスは、側壁および底面を有する少なくとも1つのフィーチャが表面に形成されている基板と、基板表面上ならびに少なくとも1つのフィーチャの側壁および底面上に配置された、約5%未満の水素濃度を有する共形シリコンライナー層と、共形シリコンライナー層上に配置された、約30%を超える水素濃度を有する流動性シリコン膜と、を含む。
[0009]本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより詳細な説明が、実施例を参照することによって得られ、それらのいくつかが、添付の図面に示されている。しかしながら、添付の図面は、本開示の典型的な実施例のみを示し、したがって本開示の範囲を限定すると見なされるべきではなく、本開示は他の同等に有効な実施例を認め得ることに、留意されたい。
本明細書で説明される一例による方法を要約するフロー図である。 図1の方法による半導体デバイスの製造段階を示す。 図1の方法による半導体デバイスの製造段階を示す。 図1の方法による半導体デバイスの製造段階を示す。 図1の方法による半導体デバイスの製造段階を示す。 一実施形態による処理チャンバの概略断面図である。
[0013]理解を容易にするために、可能な場合には、図面に共通の同一の要素を示すために、同一の参照番号が使用されている。さらに、一つの実施例の要素は、本明細書で説明される他の実施例での利用のために有利に適合され得る。
[0014]高アスペクト比トレンチなどの半導体デバイスフィーチャを、アモルファスシリコン膜で間隙充填する方法が、提供される。最初に、第1の表面にフィーチャが形成された基板が、処理チャンバ内に配置される。次に、共形堆積プロセスが実行されて、共形シリコンライナー層を、フィーチャの側壁およびフィーチャ間の基板の露出した第1の表面上に堆積させる。次に、流動性堆積プロセスが実行されて、流動性シリコン層を共形シリコンライナー層上に堆積させる。次に、硬化プロセスが実行されて、流動性シリコン層のシリコン密度を増加させる。本明細書で説明する方法は、一般に、高品質のアモルファスシリコン膜でフィーチャ間のシームのない間隙充填を実現する、共形シリコン堆積と流動性シリコン堆積の2段階プロセスによって、全体的なエッチング選択性を向上させる。
[0015]図1は、アモルファスシリコン膜で半導体デバイスの高アスペクト比トレンチを間隙充填する方法100を要約するフロー図である。図2A〜図2Dは、図1の方法100による半導体デバイス200の製造段階を示す。図2A〜図2Dに示されるような、アモルファスシリコン膜で半導体デバイス200の高アスペクト比トレンチを間隙充填する段階に従って、方法100が以下に説明される。以下の説明では、基板上に形成された高アスペクト比トレンチに言及する。しかしながら、本明細書で説明される方法は、他の半導体デバイスフィーチャ間の間隙充填にも適用可能である。フィーチャは、一般に、トレンチおよび円筒形ビアを含むがこれらに限定されない任意の適切な形状を有する。一般に、「フィーチャ」とは、意図的な表面不規則性を意味する。フィーチャの適切な例には、上部、2つの側壁および底部を有するトレンチ、上部および2つの側壁を有するピークが含まれるが、これらに限定されない。フィーチャは、任意の適切なアスペクト比、すなわちフィーチャの深さとフィーチャの幅の比率を有することができる。いくつかの例では、アスペクト比は、約5:1以上、約10:1以上、約15:1以上、約20:1以上、約25:1以上、約30:1以上、約35:1以上、または約40:1以上である。
[0016]方法100は、工程102において、複数のフィーチャ212(トレンチとして示されている)を有する基板210を処理チャンバ内に配置することにより、開始する。処理チャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能な堆積チャンバなどの堆積チャンバを含んでもよい。基板210は、任意の適切なサイズ、例えば、200または300mmの基板であり得、例えば、シリコン、ゲルマニウム、およびそれらの組み合わせから形成され得る。フィーチャ212は、基板表面213から深さ(D)の底面214まで延びている。フィーチャ212は、フィーチャ212の幅(W)を画定する第1の側壁216および第2の側壁218を有する。図2Aに示されるように、基板210は、複数のフィーチャ212を有する。基板210は、1つ以上のフィーチャ212、または図示されたものとは異なる他のフィーチャを有することができることが、予期されている。
[0017]続いて、方法100は、工程104において、図2Bに示すように、フィーチャ212内に、より具体的には、基板表面213、底面214、第1の側壁216、および第2の側壁218上に、シリコンライナー層220を共形堆積する。一例では、シリコンライナー層220は、基板表面213、底面214、第1の側壁216、および第2の側壁218上に接触して堆積される。シリコンライナー層220は、一般に、約6パーセント(%)未満、例えば約5%未満の水素(H)濃度を有するアモルファスシリコンを含む。
[0018]一例では、シリコンライナー層220は、熱化学気相堆積(CVD)によって堆積される。熱CVDプロセスは、一般に、基板表面213を第1の前駆体に曝し、処理環境に熱を供給して第1の前駆体を活性化することによって、開始する。第1の前駆体は、一般に、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、およびテトラシラン(Si10)のうちの1つ以上を含む。第1の前駆体の流量は、一般に、約10標準立方センチメートル毎分(sccm)から約1000sccmの間である。シリコンライナー層220を堆積するための熱CVDプロセス中、処理環境の温度は、一般に、摂氏約300度(℃)〜約500℃であり、圧力は、一般に、約10トール〜約600トールである。処理環境および/または基板210を加熱するための熱エネルギーは、処理チャンバの基板支持体に埋め込まれた抵抗ヒーターを使用して供給される。しかしながら、ランプなどの他の加熱機構を追加的または代替的に利用してもよい。
[0019]シリコンライナー層220は、熱CVDを使用した堆積に関して説明されているが、他の堆積プロセスも予期されている。別の例において、シリコンライナー層220は、原子層堆積(ALD)により堆積される。
[0020]共形堆積されたシリコンライナー層220は、一般に、基板表面213、フィーチャ212の底面214、第1の側壁216、および第2の側壁218を覆うのに適した厚さであるが、以下に説明する第2の堆積プロセス中に追加量のアモルファスシリコンを堆積するための間隔を残している。フィーチャ212が約20ナノメートル(nm)の幅(W)を有する例では、第1の側壁216および第2の側壁218に堆積されたシリコンライナー層220間に4nmの間隔が存在するように、シリコンライナー層220は一般に約8nmの厚さを有する。一例では、第1の側壁216および第2の側壁218に堆積されたシリコンライナー層の合計厚さは、幅(W)の約90%以下、例えば幅(W)の約80%以下、例えば幅(W)の75%以下、幅(W)の70%以下、幅(W)の65%以下、幅(W)の60%以下である。別の例では、第1の側壁216および第2の側壁218に堆積されたシリコンライナー層の合計厚さは、幅(W)の約90%〜50%の範囲内、例えば幅(W)の約85%〜60%、例えば幅(W)の約85%〜70%である。
[0021]工程106において、図2Cに示されるように、フィーチャ212は、流動性シリコン膜222で充填される。一例では、流動性シリコン膜222は、シリコンライナー層220上に接触して堆積される。流動性シリコン膜222は、一般に、約30%を超える水素(H)濃度を有するアモルファスシリコンを含む。流動性シリコン膜222は、フィーチャ212内に実質的にシームが形成されないように、フィーチャ212内の残りの間隔を充填する。流動性シリコン膜222は、任意の適切なプロセスによって堆積させることができる。
[0022]一例では、流動性シリコン膜222は、PECVDプロセスによって堆積される。PECVDプロセスは、シリコンライナー層220と同じ処理チャンバで形成されてもよいし、または異なる処理チャンバで形成されてもよい。一般に、PECVDプロセスは、通常1つ以上の種を含む反応性ガスに基板表面213を曝すことによって、開始する。例えば、反応性ガスは、一般に、第2の前駆体と、第1の前駆体の希釈ガスまたはキャリアガスとして使用されるプラズマガスとを含む。第2の前駆体は、一般に、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、およびテトラシラン(Si10)のうちの1つ以上を含む。プラズマガスは、一般に、ヘリウム(He)、アルゴン(Ar)、水素ガス(H)、クリプトン(Kr)、窒素ガス(N)、酸素ガス(O)、オゾン(O)、またはアンモニア(NH)のうちの1つ以上を含む。
[0023]プラズマは、処理チャンバ内で生成または点火されてもよいし(例えば、インシトゥプラズマ)、または処理チャンバの外部で生成されて、処理チャンバ内に流し込まれてもよい(例えば、遠隔プラズマ)。プラズマの点火に使用される高周波(RF)電力は、一般に、約10ワット(W)から約200Wの間である。流動性シリコン膜を堆積するためのPECVDプロセス中、処理環境の温度は、一般に、約−100℃〜約50℃であり、圧力は、一般に、約1トール〜約10トールである。
[0024]流動性シリコン膜222は、一般に、フィーチャ212内の残りの間隔を充填するのに適した厚さである。フィーチャ212が約20nmの幅(W)を有する上述の例では、フィーチャ212内にシームのない間隙充填を提供するために、流動性シリコン膜222は、一般に、約4nmの厚さを有する。
[0025]流動性シリコン膜222の堆積後、工程108で、シリコンライナー層220および流動性シリコン膜222が硬化されて固化し、図2Dに示すように、実質的にシームのない間隙充填層224を形成する。硬化処理の例には、熱硬化および紫外線硬化が含まれる。しかしながら、他の適切な硬化技術も予期されている。
[0026]一例では、シリコンライナー層220および流動性シリコン膜222を、約100℃から約1000℃の間の温度でのチャンバ内熱硬化プロセスまたは高速熱プロセス(RTP)処理方法などの熱硬化プロセスに曝すことによって、シリコンライナー層220および流動性シリコン膜222のアモルファスシリコンが、硬化される。より詳細には、熱硬化がチャンバ内で起こるとき、温度は一般に約100℃から約500℃の間であり、プロセスがRTPプロセスであるとき、温度は約800℃から約1000℃、例えば900℃の間である。
[0027]別の例では、シリコンライナー層220および流動性シリコン膜222のアモルファスシリコンは、シリコンライナー層220および流動性シリコン膜222をUV硬化プロセスに曝すことによって、硬化される。UV硬化プロセス中の温度は、一般に、約10℃から約400℃の間である。UV硬化プロセスは、任意の適切な時間、例えば10分以下で行われ得る。
[0028]さらに別の例では、シリコンライナー層220および流動性シリコン膜222のアモルファスシリコンは、シリコンライナー層220および流動性シリコン膜222をプラズマ硬化プロセスに曝すことによって、硬化される。アモルファスシリコン膜を硬化させるためのプラズマ曝露は、流動性シリコン膜222を堆積するためのPECVDプロセスの例で使用されるプラズマとは別のプラズマ曝露を含む。一例では、プラズマ種は、上述の例示的なPECVDプロセスで使用されるプラズマ種と同じである。別の例では、プラズマ種は、異なるプラズマ種であってもよい。容量結合プラズマ(CCP)源が使用される例では、プラズマ出力は、約100W〜約1000Wである。誘導結合プラズマ(ICP)源が使用される例では、プラズマ出力は、約2000W〜約10000Wである。
[0029]工程108の硬化プロセスの後、シリコンライナー層220および流動性シリコン膜222は、1つの均一な間隙充填層224になる。硬化工程の結果として、流動性シリコン膜222の水素濃度は、水素ガス放出の結果として、30パーセント超から20パーセント未満、例えば15〜20パーセントまで減少する。流動性シリコン膜222の水素濃度の減少は、流動性シリコン膜の品質を改善する。シリコンライナー層220の水素濃度は、硬化プロセス中、6パーセント未満、例えば5パーセント未満のままである。したがって、均一な間隙充填層224は、ボイドおよびシームが減少または除去される一方で、品質が向上している。
[0030]基板210は、一般に、シリコンライナー層220および流動性シリコン膜222を形成する前および/または後に追加の処理工程を受ける。例えば、基板210は、追加のアニーリングまたは硬化プロセス、堆積プロセス、エッチングプロセス、めっきプロセスなどを受けてもよい。
[0031]図3は、一実施形態による処理チャンバ300の概略断面図である。例示的な処理チャンバは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能である。以下に説明するチャンバは例示的なチャンバであり、他の製造業者からのチャンバを含む他のチャンバが、本開示の態様とともに使用されてもよく、または本開示の態様を達成するように変更されてもよいことを、理解されたい。
[0032]プラズマ処理チャンバ300は、チャンバ本体302、基板支持アセンブリ305、および基板支持アセンブリ305の向かい側に配置され、それらの間にプロセス容積部306を画定するガス分配アセンブリ304を含む。ガス分配アセンブリは、基板支持体305上に配置された基板310上への膜の堆積を促進するために、プラズマ処理チャンバ300のプロセス容積部306にガスを均一に分配するように構成される。ガス分配アセンブリ304は、ハンガープレート319から吊るされたガス分配マニホールド318に、ガス流量コントローラ320からガスを供給するガス入口通路317を含む。ガス分配マニホールド318は、複数の穴またはノズル(図示せず)を含み、そこを通って処理中にガス混合物が、プロセス容積部306に注入される。ガス分配アセンブリ304が、RFリターン322に接続されて、基板支持体308に印加されたRFエネルギーがプロセス容積部306内に電界を生成できるようにすることができ、電界は、基板310の処理用のプラズマを生成するために使用される。あるいは、グランド322は、RF電源であってもよい。電源320は、DCエネルギー源を提供してもよく、一方、電源321は、プラズマ生成および/または基板310のチャッキングを促進するためにRFエネルギー源を提供してもよい。
[0033]基板支持アセンブリ305は、基板支持体308、基部315、基部315を基板支持体108に接続するステム314、および駆動システム303を含む。基板支持アセンブリ305は、プラズマ処理チャンバ300の内部容積部内に配置される。基板支持体308は、基板310を支持する上面309と、ステム314を基板支持体308に取り付けるための下面311とを有する。基板支持体308は、チャンバ本体302の外部に位置する駆動システム303に結合されたステム314によってプロセス容積部306内に移動可能に配置されている。ステム314および基部315は、駆動システム303およびベローズ(図示せず)に接続されて、基板支持体308を上昇、下降、および/または回転させることができる。
[0034]処理中、プロセスガスが、処理チャンバ300に供給されて、上述の態様に従って膜を堆積させる。
[0035]共形堆積プロセス、流動性堆積プロセス、および硬化プロセスなどの上述のプロセスは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能なProducer(登録商標)チャンバなどの単一のチャンバで実行されてもよいし、または上述のプロセスは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能なCentura(登録商標)などの、様々な機能を実行する複数のチャンバを備えるクラスタツールの様々なチャンバで実行されてもよい。
[0036]本開示の実施形態は、半導体デバイスの高アスペクト比トレンチを間隙充填するための高品質アモルファスシリコン膜を提供する。アモルファスシリコン間隙充填部は、シームがなく、全体で約10%から約15%の間の低い水素濃度を有し、したがって高いシリコン密度を有する。このシームのない高密度アモルファスシリコン間隙充填により、エッチング選択性が向上する。例えば、開示されたシリコン間隙充填のエッチング選択性は、酸化ケイ素(SiO)のエッチング選択性よりも大きい。さらに、シームのない高密度アモルファスシリコン間隙充填により、高アスペクト比トレンチ内のボイドが減少または除去され、全体的なデバイス性能が向上する。より詳細には、本明細書に記載の方法は、共形シリコン堆積とそれに続く流動性シリコン堆積という、2つのシリコン堆積プロセスを含む。流動性シリコンは、シームのない間隙充填をもたらすが、流動性シリコンは、より高い水素濃度を有し、これは硬化時に収縮をもたらす。2つの堆積プロセスを実行することにより、得られたデバイスの間隙充填部は、シリコン密度が増加し、均一性が向上し、シームがなくなる。
[0037]上記は、本開示の実施例に向けられているが、本開示の基本的な範囲から逸脱することなく、本開示の他のさらなる実施例を考え出すこともでき、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 半導体デバイスを製造する方法であって、
    側壁および底面を有する少なくとも1つのフィーチャが表面に形成された基板を、処理チャンバ内に配置することと、
    前記基板の前記表面ならびに前記少なくとも1つのフィーチャの前記側壁および前記底面の上に、シリコンライナー層を共形堆積させることと、
    前記少なくとも1つのフィーチャを流動性シリコン膜で充填することと、
    前記シリコンライナー層および前記流動性シリコン膜を硬化させて、前記シリコンライナー層および前記流動性シリコン膜を固化し、実質的にシームのない間隙充填部を形成することと、
    を含む方法。
  2. 前記シリコンライナー層を共形堆積させることが、熱による堆積によって行われ、前記少なくとも1つのフィーチャを前記流動性シリコン膜で充填することが、プラズマ化学気相堆積によって行われる、請求項1に記載の方法。
  3. 前記シリコンライナー層を共形堆積させることが、
    前記少なくとも1つのフィーチャが前記表面に形成された前記基板を、第1の前駆体に曝すことを含み、前記少なくとも1つのフィーチャが前記表面に形成された前記基板を、前記第1の前駆体に曝すことが、摂氏約300度から約550度の間の温度と、約10トールから約600トールの間の圧力で起こり、前記第1の前駆体が、シラン、ジシラン、トリシラン、およびテトラシランのうちの1つ以上を含む、請求項1に記載の方法。
  4. 前記少なくとも1つのフィーチャを前記流動性シリコン膜で充填することが、
    前記シリコンライナー層を上に有する前記基板を、第2の前駆体に曝すことを含み、前記シリコンライナー層を上に有する前記基板を曝すことが、摂氏約−100度から約50度の間の温度と、約1トールから約10トールの間の圧力と、約10ワットから約200ワットの間のRF電力で起こり、前記第2の前駆体が、シラン、ジシラン、トリシラン、およびテトラシランのうちの1つ以上を含む、請求項1に記載の方法。
  5. 前記シリコンライナー層が、5パーセント未満の水素を含む、請求項1に記載の方法。
  6. 前記流動性シリコン膜が、30%を超える水素を含む、請求項5に記載の方法。
  7. 前記硬化後、前記シリコンライナー層および前記流動性シリコン膜が、約10パーセントから約15パーセントの間の水素を含む、請求項6に記載の方法。
  8. 前記硬化が、熱硬化、UV硬化、およびプラズマ硬化からなる群から選択される、請求項1に記載の方法。
  9. 半導体デバイスを製造する方法であって、
    側壁および底面を有する少なくとも1つのフィーチャが表面に形成された基板を、処理チャンバ内に配置することと、
    前記基板の前記表面ならびに前記少なくとも1つのフィーチャの前記側壁および前記底面の上に、約5パーセント未満の水素濃度を有するシリコンライナー層を共形堆積させることと、
    前記少なくとも1つのフィーチャを、約30パーセントを超える水素濃度を有する流動性シリコン膜で充填することと、
    前記シリコンライナー層および前記流動性シリコン膜を硬化させて、前記シリコンライナー層および前記流動性シリコン膜を固化し、約10パーセントから約15パーセントの間の水素濃度を有する実質的にシームのない間隙充填部を形成することと、
    を含む方法。
  10. 前記シリコンライナー層を共形堆積させることが、
    前記少なくとも1つのフィーチャが前記表面に形成された前記基板を、第1の前駆体に曝すことを含み、前記少なくとも1つのフィーチャが前記表面に形成された前記基板を、前記第1の前駆体に曝すことが、摂氏約300度から約550度の間の温度と、約10トールから約600トールの間の圧力で起こる、請求項9に記載の方法。
  11. 前記第1の前駆体が、シラン、ジシラン、トリシラン、およびテトラシランのうちの1つ以上を含む、請求項10に記載の方法。
  12. 前記少なくとも1つのフィーチャを前記流動性シリコン膜で充填することが、
    前記シリコンライナー層を上に有する前記基板を、第2の前駆体に曝すことを含み、前記シリコンライナー層を上に有する前記基板を曝すことが、摂氏約−100度から約50度の間の温度と、約1トールから約10トールの間の圧力と、約10ワットから約200ワットの間のRF電力で起こる、請求項9に記載の方法。
  13. 前記第2の前駆体が、シラン、ジシラン、トリシラン、およびテトラシランのうちの1つ以上を含む、請求項12に記載の方法。
  14. 側壁および底面を有する少なくとも1つのフィーチャが表面に形成された基板と、
    前記基板の前記表面ならびに前記少なくとも1つのフィーチャの前記側壁および前記底面の上に配置された共形シリコンライナー層であって、約5パーセント未満の水素濃度を有する共形シリコンライナー層と、
    前記共形シリコンライナー層の上に配置された流動性シリコン膜であって、約30パーセントを超える水素濃度を有する流動性シリコン膜と、
    を備える半導体デバイス。
  15. 前記共形シリコンライナー層が、前記基板の前記表面、前記少なくとも1つのフィーチャの前記側壁、および前記底面に接触して配置され、前記流動性シリコン膜が、前記共形シリコンライナー層に接触して配置されている、請求項14に記載の半導体デバイス。
JP2019552586A 2017-03-31 2018-03-27 高アスペクト比トレンチをアモルファスシリコン膜で間隙充填するための2段階プロセス Active JP7171604B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762479353P 2017-03-31 2017-03-31
US62/479,353 2017-03-31
PCT/US2018/024508 WO2018183287A1 (en) 2017-03-31 2018-03-27 Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film

Publications (3)

Publication Number Publication Date
JP2020516060A true JP2020516060A (ja) 2020-05-28
JP2020516060A5 JP2020516060A5 (ja) 2021-05-06
JP7171604B2 JP7171604B2 (ja) 2022-11-15

Family

ID=63671032

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019552586A Active JP7171604B2 (ja) 2017-03-31 2018-03-27 高アスペクト比トレンチをアモルファスシリコン膜で間隙充填するための2段階プロセス

Country Status (5)

Country Link
US (2) US10460933B2 (ja)
JP (1) JP7171604B2 (ja)
KR (2) KR20230162158A (ja)
CN (1) CN110431661B (ja)
WO (1) WO2018183287A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023026329A1 (ja) * 2021-08-23 2023-03-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER
WO2019094481A1 (en) 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11776980B2 (en) * 2020-03-13 2023-10-03 Applied Materials, Inc. Methods for reflector film growth
US11476268B2 (en) 2020-05-29 2022-10-18 Micron Technology, Inc. Methods of forming electronic devices using materials removable at different temperatures
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
WO2023178203A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Seam-free and crack-free deposition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005236160A (ja) * 2004-02-23 2005-09-02 Toyota Motor Corp 半導体装置およびその製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000188325A (ja) * 1998-12-22 2000-07-04 Sharp Corp 半導体装置の製造方法
JP3309827B2 (ja) * 1999-04-20 2002-07-29 株式会社デンソー 可動部を有する基板の製造方法及び力学量センサの製造方法
WO2005088694A1 (ja) * 2004-03-16 2005-09-22 Ishikawajima-Harima Heavy Industries Co., Ltd. 半導体装置の製造方法
US7109097B2 (en) * 2004-12-14 2006-09-19 Applied Materials, Inc. Process sequence for doped silicon fill of deep trenches
US7470617B2 (en) * 2007-03-01 2008-12-30 Intel Corporation Treating a liner layer to reduce surface oxides
KR100894101B1 (ko) 2007-09-07 2009-04-20 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7674684B2 (en) 2008-07-23 2010-03-09 Applied Materials, Inc. Deposition methods for releasing stress buildup
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
JP2010114360A (ja) * 2008-11-10 2010-05-20 Toshiba Corp 半導体装置及びその製造方法
KR20110024513A (ko) * 2009-09-02 2011-03-09 주식회사 하이닉스반도체 반도체 소자 제조 방법
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US7947551B1 (en) * 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US20120202315A1 (en) * 2011-02-03 2012-08-09 Applied Materials, Inc. In-situ hydrogen plasma treatment of amorphous silicon intrinsic layers
JP5977002B2 (ja) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 トレンチの埋め込み方法および半導体集積回路装置の製造方法
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6059085B2 (ja) * 2013-05-27 2017-01-11 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
JP6174943B2 (ja) * 2013-08-22 2017-08-02 東京エレクトロン株式会社 凹部を充填する方法
US20150064929A1 (en) 2013-09-05 2015-03-05 United Microelectronics Corp. Method of gap filling
KR102335891B1 (ko) 2013-12-26 2021-12-03 어플라이드 머티어리얼스, 인코포레이티드 유동성 막들의 광-보조 증착
US9837271B2 (en) * 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
JP2016092051A (ja) 2014-10-30 2016-05-23 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
US10483102B2 (en) * 2017-04-07 2019-11-19 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005236160A (ja) * 2004-02-23 2005-09-02 Toyota Motor Corp 半導体装置およびその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023026329A1 (ja) * 2021-08-23 2023-03-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
US20180286674A1 (en) 2018-10-04
US10699903B2 (en) 2020-06-30
WO2018183287A1 (en) 2018-10-04
US20200051815A1 (en) 2020-02-13
CN110431661B (zh) 2023-09-22
KR20230162158A (ko) 2023-11-28
US10460933B2 (en) 2019-10-29
CN110431661A (zh) 2019-11-08
KR20190126380A (ko) 2019-11-11
KR102606653B1 (ko) 2023-11-24
JP7171604B2 (ja) 2022-11-15

Similar Documents

Publication Publication Date Title
JP7171604B2 (ja) 高アスペクト比トレンチをアモルファスシリコン膜で間隙充填するための2段階プロセス
US11041239B2 (en) Film forming method for SiC film
US7919416B2 (en) Method of forming conformal dielectric film having Si-N bonds by PECVD
KR20200104923A (ko) 실리콘 질화물 박막들을 위한 처리 방법들
KR102655396B1 (ko) 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
CN110476222B (zh) 用于硅间隙填充的两步工艺
TW202305161A (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
CN112219261A (zh) 利用h2等离子体的可流动膜固化
US10643841B2 (en) Surface modification to improve amorphous silicon gapfill
JP2023536422A (ja) 窒化ケイ素膜の多層堆積及び処理
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
JP2024504165A (ja) パルス高周波無線周波数(hfrf)プラズマを使用した間隙充填処理
KR102241937B1 (ko) 반도체 소자의 갭필 방법
US20230386829A1 (en) Low temperature silicon oxide gap fill
KR102513404B1 (ko) SiCN막의 형성 방법
TW202412066A (zh) 低溫氧化矽間隙填充
KR20240055067A (ko) 방향성 선택적 증착
KR20240008945A (ko) 유동성 cvd 막 결함 감소

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210326

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210326

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221004

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221102

R150 Certificate of patent or registration of utility model

Ref document number: 7171604

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150