TWI508177B - 以原子層沉積輔助同形電漿浸潤離子佈植的新穎方法 - Google Patents

以原子層沉積輔助同形電漿浸潤離子佈植的新穎方法 Download PDF

Info

Publication number
TWI508177B
TWI508177B TW098103573A TW98103573A TWI508177B TW I508177 B TWI508177 B TW I508177B TW 098103573 A TW098103573 A TW 098103573A TW 98103573 A TW98103573 A TW 98103573A TW I508177 B TWI508177 B TW I508177B
Authority
TW
Taiwan
Prior art keywords
precursor
substrate
dopant
plasma
layer
Prior art date
Application number
TW098103573A
Other languages
English (en)
Other versions
TW200941585A (en
Inventor
Hiroji Hanawa
Seon-Mee Cho
Majeed A Foad
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200941585A publication Critical patent/TW200941585A/zh
Application granted granted Critical
Publication of TWI508177B publication Critical patent/TWI508177B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

以原子層沉積法輔助同形電漿浸潤離子佈植的新穎方法
本發明係有關於一種製造半導體元件的方法,且特別係有關於一種以原子層沉積法輔助電漿佈植而在基板上形成摻雜區之方法。
在半導體製造過程中,通常需要將不純物放入純物質中。此種稱為「摻雜(doping)」的製程可使材料具有欲求性質,例如提高導電性。在許多製程中,將各種原子或離子佈植於半導體或半導體衍生物基板中是有利的。例如,一般常將硼、磷和砷的原子或離子佈植於矽基板以產生「摻雜」區,作為固態電晶體的源區和汲區。在一些例子中,在進行摻雜之前,會先使基板上需進行摻雜的區域進行「非晶質化(amorphizing)」處理。藉由轟擊矽、鍺或氬原子,打亂基板的晶形結構並產生通道,使摻質可穿透至基板的更深處。在其它的應用中,可使用氮、氧、氫、碳、氟和各種金屬(例如,銦、銻、鈷和鎳)作為摻質來控制導電性,或是界面處的擴散程度。
一般有兩種方式可佈植摻質。在一些處理方式中,摻質被佈植於基板的表面上,之後進行熱處理使摻質擴散進入基板中。在另一些處理方式中,摻質被游離成電漿,之後利用電場驅使具能量的粒子進入基板中,之 後,將基板進行熱處理以使摻質分佈更均勻,並藉由大量高速穿過的離子修補晶體結構中的破裂部份。在此兩種型態的處理方式中,熱處理使基板退火,促使摻質及周圍晶體中的空隙位置的原子移動至晶格節點(lattice point)。在控制電性的應用方面,此種移動「活化」了摻質,藉由產生可傳遞穿過晶格的摻質的電性來控制電性,且一般可強化晶體,此種技術對擴散控制應用而言是重要的。
一般理想的情沉是使目標區域的摻質均勻分佈。在控制導電性的應用方面,均勻分佈的摻質保證目標區有均勻的性質。在擴散控制的應用方面,均勻分佈的摻質保證不使不希望移動的原子,具有開放性的擴散方式。在非晶質化的應用方面,均勻分佈的摻質保證之後摻質路徑的密度為均勻的。在佈植後所進行的熱處理可促使摻質平均分佈於目標區。
近半世紀以來,半導體工業皆遵循著摩爾定律:積體電路上的電晶體密度,約每兩年會增長一倍。產業依循著此條路持續地演進,使得基板上需要更小特徵圖形。現在所製造的堆疊型電晶體(stack transistor),尺寸為50至100奈米(nm),下一世代的元件尺寸約為40奈米,且元件的設計朝向20奈米或更小的尺寸努力。隨著元件持續地變小,基板上的特徵圖形深寬比(aspect ratio)(高度對寬度的比率)同時增加。現在所生產元件特徵結構的深寬比約達到4:1,將來的元件會需要高達 100:1或更高的深寬比。
較高的深寬比和較小的元件尺寸使摻質佈植製程面臨挑戰。例如,經常需要將摻質佈植在基板電場區(field region)中溝槽之底部或側面,以形成特徵結構。高能佈植製程具有方向性,電場傾向於將離子以與基板表面正交(orthogonal)的方向驅動,離子會輕易地撞擊基板的電場區,並可短距離穿透溝槽。但電偏壓會驅使離子朝向電場區表面或溝槽側壁移動,不使離子穿透溝槽底部。高能佈植會驅使離子朝溝槽的底部移動,但一般不會達到同形(conformal)佈植的目標,且可能會造成在溝槽底部和電場區出現過多佈植(相較於側壁而言)。
第1A-1D圖繪示了使用傳統佈植技術的基板。第1A圖繪示了在佈植製程中周圍具有特徵電場區的基板100。在不使用電漿的製程下,可佈植層102,主要在電場區的上方,且可佈植層104在溝槽的底部,但任何在側壁的佈植皆進行速度緩慢,且層102在佈植開始後,會朝本身的方向成長,減少進入溝槽的機會。第1C圖繪示在退火後的佈植層102和104(分別為層106和108)。在傳統的佈植技術中,層106特徵為具有經常性膨脹,且層108繪示了佈植材料集中在角落的傾向。在一些製程中,基板可旋轉以改變入射的角度,如第1D圖所示,如此可增加前驅物材料穿透溝槽的機會。此會在部份的側壁112增加佈植110。然而,此方法所帶來的好處很少,特別是對於具有非常高深寬比的結構,因 為驅使離子移動的電場線與表面正交,所以旋轉的平台不會產生同形佈植或摻雜。
所以,需要一種可對具有高深寬比結構之基板進行同形摻雜的方法。
本發明具體實施方式提供了一種處理基板的方法,包含:將該基板放置於處理腔室中;提供第一前驅物材料至該處理腔室中;使該第一前驅物材料反應,而在該基板上形成第一前驅物層;提供第二前驅物材料至該處理腔室中;使該第二前驅物材料反應而在該基板上形成摻質原子層;重覆此循環直到該摻質原子層達到目標厚度;及藉由加熱該基板,將該摻質原子層擴散進入該基板。
本發明之具體實施方式更提供了一種處理具有多個溝槽之基板的方法,包含:將該基板放置在處理腔室內;提供製程氣體混合物至該處理腔室中,其中該製程氣體混合物包含摻質前驅物;在該處理腔室中將該摻質前驅物游離成電漿,且該電漿包含有多數摻質離子;產生電場,該電場可使該些摻質離子穿透該基板上該些溝槽的效果極大化;及將該些摻質離子同形沉積在該基板上。
本發明之具體實施方式更提供了一種在半導體基板之表面上形成摻雜區的方法,包含:將該基板放置在處理 腔室內;提供催化性前驅物至該處理腔室內;將該催化性前驅物游離成等向性電漿;使該催化性前驅物反應而在該基板上形成催化性前驅物層;提供淨化氣體(purge gas)至該處理腔室中;提供摻質前驅物至該處理腔室中;將該摻質前驅物游離成等向性電漿;使該摻質前驅物反應而在該基板上形成摻質層;重覆此前驅物循環直到該摻質層達到目標厚度;及經由加熱該基板而使該摻質層擴散進入該基板中。
本發明之具體實施方式係有關於一種在基板進行同形摻雜的方法。此方法大致提供在基板上同形沉積摻質源,並接著施以電磁能量處理而使該摻質擴散進入基板中,並使摻質活化。可使用任何可在具有高深寬比特徵(例如大於3:1)的基板上同形沉積摻質源薄膜的沉積方法,例如,使用原子層沉積法(atomic layer deposition;ALD)、弱電漿輔助化學氣相沉積法(chemical vapor deposition enhanced by weak plasma;WPCVD)、電漿輔助原子層沉積法(plasma-assisted atomic layer deposition;PAALD),將摻質沉積在基板上,並接著進行退火處理。半導體元件的製造方法中,在具有特別高深寬比的洞(holes)或溝槽(trenches)之基板電場區(field region)中,形成同形摻雜區的方面持續面臨挑戰。用於 金屬和介電層沉積處理中的ALD,是一種可成功地在高深寬比特徵元件上形成同形層的方法。本發明之具體實施方式提供一種採用ALD技術,於有或無電漿輔助的情況下,同形沉積摻質原子在基板上的製程。
本發明之具體實施方式,在摻雜製程中使用ALD製程來沉積摻質之同形層。在本發明之一組具體實施例中,同形摻質層被沉積在具有非常高深寬比之多個洞或溝槽的基板上。之後,利用退火製程使摻質進入基板。退火製程是設計來使摻質擴散進入基板中,並使摻質「活化」,或促使摻質佔據晶體結構中的晶格節點。沉積方法可為ALD、WPCVD或PAALD處理方法。退火製程可為快速熱處理製程,在此製程中基板快速加熱至目標溫度,並保持在此溫度一段預定的時間,之後並迅速冷卻。退火製程也可為瞬間(spike)退火製程(基板經過瞬間高溫處理)、雷射退火製程、脈衝電磁波能量退火製程或爐管退火製程。
利用原子層沉積法(ALD)進行同形摻雜(conformal doping)
ALD處理典型的特徵在於自我局限(self-limiting)的表面催化化學「半反應」(half-reactions),其中第一前驅物與基板反應並沉積於基板上,且之後,第二前驅物可與所沉積之第一前驅物反應,以在基板上沉積出欲求組分的原子層。該等技術利用依序送入之前驅物脈衝(其中含 有欲求元素)的兩級、三級、四級或更高級數之循環,來沉積金屬層、金屬氧化物層、金屬氮化物層和具有更複雜化學成分的金屬衍生物層。
一般而言,會將第一前驅物以脈衝方式通入至反應腔室中,並沉積在位於反應腔室中的基板表面。此種第一前驅物一般為催化性物質,用以促進在基板上形成同形單層(conformal monolayer)。第一前驅物與基板表面上的多個反應位置(reaction sites)反應,直到所有的反應位置都被消耗完畢,反應才停止,一般會在表面上留下一層催化性物質的單層(monolayer)。多餘的第一前驅物會以噴入不會發生反應的淨化氣體方式,將多餘的第一前驅物自反應腔室中移除。之後,第二前驅物被以脈衝方式通入至反應腔室中。第二前驅物可為其它種催化性物質,或可為已沉積在表面上之物質的前驅物,例如摻質前驅物(dopant precursor)。第二前驅物與吸附的催化性物質反應,以產生第二前驅物的單層(monolayer)。此單層可為催化性物質或是目標沉積物,例如金屬物質或摻質物質。也可利用其它前驅物,以各種自我局限(self-limiting)的沉積方式來發展形成單層沉積。用重覆的循環迴圈沉積一層又一層的單層,直到形成理想厚度的平滑同形層為止。
在金屬氧化物的沉積處理中,第一前驅物一般為含氧化合物,係選擇用來使表面停止產生羥基。此羥基可催化與含金屬化合物間的反應,以便在表面上沉積出金屬 氧化物的同形單層。第二前驅物一般為含金屬的化合物,特徵為具有相對大型的配體,例如烷胺基,可以相對溫和的反應脫離。當金屬與基板上局部位置處的路易士鹼(例如,吸附的羥基)合成時,可使某些配體以揮發性化合物形式脫離,而使第二前驅物得以沉積於基板上。
當所有的反應位置被消耗完之後,反應即停止,且任何多餘的金屬前驅物會從反應室中移除。ALD被稱為「自我局限」的原因在於,在消耗了所有可進行表面催化反應的反應位置而產生單層沉積後,即不會再進行其它反應。此技術可於具有非常高深寬比的結構上沉積同形層。
之後,以脈衝方式通入氧氣前驅物,與吸附之金屬前驅物反應並使遺留的配體脫離,在基板的表面上產生金屬氧化物單層,並在表面上留下催化性羥基。同樣的,當可進行反應的位置被消耗完之後,反應即停止。此種金屬前驅物/氧氣前驅物的循環可重覆進行,沉積一層又一層的單層,直到形成理想厚度的平滑同形層為止。
同時,以ALD技術沉積金屬的方法也為已知。催化性物質一般為還原劑,可使表面上停止產生氫原子。先以還原劑對表面進行前處理。之後,金屬前驅物即可被吸附在基板上,接著以脈衝方式將還原劑通入反應器中。還原劑可使基板上形成金屬單層。
在本發明之一組具體實施例中,以ALD方式對基板進行同形(conformal)摻雜,接著進行退火處理。第2圖係依據本發明之具體實施例所繪示,利用ALD方式進行同 形摻雜的製程200。將同形摻質源層沉積在基板表面至理想的厚度,並使同形摻質源層驅使進入基板且活化該基板,必要時可經由退火處理來活化。摻質源層可為磷、砷、氟、硼、金屬或矽酸鹽層,可依據實施例的不同而使用適合的摻質。在一些具體實施例中,使用帽蓋層有助於進行退火製程,例如快速或瞬間(spike)熱製程,雷射或脈衝式電磁能量處理,或爐管退火處理。
在本發明之具體實施例中,硼、磷或砷等源層可經由ALD方式沉積。在步驟202中,提供欲進行摻雜的基板至處理腔室中,並放置在基板支撐件上。基板可由真空或電磁方式固定位置。基板支撐件被設計成可經由基板支撐件的表面,將化合物運送至基板的背面,以進行熱控制或控制背側面和邊緣的沉積。另外,基板支撐件本身也可以電阻式加熱或冷卻,或經由在支撐件之通道內流動的熱控制介質,進行基板背面的直接熱控制。基板可具有非常高深寬比(例如大於約10:1)的洞或特徵結構。處理腔室被配置成可進行一或多種沉積、清潔、熱處理或電磁能量製程。在步驟204中,基板的表面可選擇性地進行前處理,使基板達到可進行製程的狀況。例如,表面可使用液體組成物或電漿前處理清潔製程進行清潔。在第一次ALD循環開始之前,也可進行基板處理,以在基板表面上沉積出反應位置(reactive sites)。
在步驟206中,第一前驅物(可為催化性前驅物)被通入處理腔室(其中有欲進行摻雜之基板)。若第一前驅物 為氧化劑,則會反應形成末端為羥基之層,而使與基板上摻質鍵結的殘留配體被釋出而脫離。若第一前驅物為氮化劑,也可以相同的方式使末端胺基脫離。若摻質源為矽酸鹽層,例如硼矽酸鹽玻璃(borosilicate glass,BSG)、磷矽酸鹽玻璃(phosphosilicate glad,PSG)或硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG),則可使用含矽化合物作為第一前驅物。可用於此步驟的前驅物包含,但不限於,O2、O3、N2O、H2O、醇類(即ROH,其中R為脂肪族、環形或芳香族有機官能基)、過氧化物(有機和無機)、羧酸或氧之自由基化合物(即,O、O2、O3和OH自由基),可由加熱、熱電阻線(hot wire),和/或電漿方式產生。合適的含氮前驅物包含,但不限於,NH3、N2、聯胺(即,N2H4或MeN2H3)、胺(即,RR’R”N,其中R、R’、R”可為氫或相同或不同的有機官能基)、苯胺(即,C6H5NH2)、有機和無機疊氮(即,MeN3、Me3SiN3、NaN3或Cp2CoN3)和氮之自由基化合物(即,N3、N2、N、NH或NH2自由基),可由加熱、熱電阻線(hot wire),和/或電漿方式產生。合適的矽前驅物包含,但不限於,矽烷、官能基化之矽烷(即,烷基、烷氧基或烷胺基矽烷)、矽醇和官能基化的矽醇。
在步驟208中,將第二前驅物(可為摻質的前驅物)通入至處理腔室中(其中包括有欲進行摻雜的基板)。第二前驅物可為含硼化合物、含磷化合物、含砷化合物、含金屬之化合物或含氟化合物。一般來說,對此方面有用 的化合物為氫化物,例如硼烷、膦或胂,或具有機基團(例如烷基、環烷基或芳香基)的硼烷、硼化物、硼酸鹽、膦、磷化物、磷酸鹽、胂、砷化物或砷酸鹽。另外,也可以使用特徵為雜元素取代基之部份,例如胺基、烷胺基或芳香胺基的摻質前驅物。一般來說,可基於化合物在標準CVD製程中的可利用性,和在合理製程情況下吸附於基板表面上的能力,來選擇適用於ALD製程之化合物。可用以沉積磷摻質源層的典型前驅物的兩個實例為三甲基磷化物(trimethylphosphide)和三甲基磷酸鹽(trimethylphosphate)。
可以一或多個脈衝方式將含硼、磷或砷的化合物通入至反應腔室中,並吸附在基板上。例如,有機硼化物可吸附於末端為羥基的基板表面,並使某些有機成份脫離。在前驅物將所有反應位置實質消耗完畢後,過多的前驅物會以淨化(purge)或直接抽出腔室方式去除,以準備進行下一階段的循環。
第二前驅物會與吸附在基板表面的第一前驅物反應,直到反應位置被實質消耗完畢。之後,第二前驅物可以淨化方式從反應腔體中去除。若想使用單純的氧化物或氮化物層作為摻質源,在第二前驅物沉積之後,在基板表面會覆蓋一層完全為摻質源材料的同形單層。若需要更厚的化學沉積層,可提供連續的前驅物以逐步完成沉積的ALD製程。
如步驟210中所繪示,可重覆進行前驅物循環,直到 摻質源層達到理想的厚度為止。在步驟212中,當達到理想的厚度之後,即退火處理基板以將摻質擴散至基板中,同時活化摻質並修補晶格的損傷。步驟212可在一或多個處理循環中執行,例如快速加熱基板至目標溫度,將此溫度保持一段設定時間,並迅速將基板降至周圍溫度,或使基板的溫度瞬間升高。在本發明之其它的具體實施例中,此種熱處理的循環可為相同或不同。
上述多種ALD製程的具體實施方式是有用的。在一些具體實施例中,在每一個前驅物循環之間,經由關閉所有入口和抽真空,將壓力降低或淨空腔體對製程會有幫助。在一些具體實施例中,前驅物的輸入方式中,可以在每個前驅物沉積的循環之間,通入非反應性氣體進行驅氣。在其它的具體實施例中,淨化氣體可經由一或多個前驅物輸入途徑,連續性地進入處理腔室中。處理腔室在停止前驅物氣體流後,隨後通入淨化氣體,以除去過多的前驅物。在其它的具體實施例中,該等技術特徵可結合使用。前述之具體實施例都可用以在基板上沉積同形的摻質層。
利用電漿沉積進行同形摻雜
可利用電漿輔助型沉積製程來執行同形摻雜,如第3圖所繪示。製程方法300開始於步驟302:將具有高深寬比特徵的基板放置在處理腔室內的基板支撐件上。基板可由真空或電磁方式固定位置,且基板支撐件可如之 前所述方式設置,使可進行基板的熱控制。如前述,處理腔室被設計成可進行一或多種的沉積、清理、熱處理或是電磁能量製程。
在步驟304中,在處理腔室中通入摻質前驅物材料。當摻質前驅物被游離成電漿且活化後可選擇吸附在基板的表面。對本發明有用的摻質前驅物包含,但不限於,硼化合物(即,硼烷、硼酸鹽或硼化物)、磷化合物(即,膦、磷酸鹽或磷化物)、砷化合物(即,胂、砷酸鹽或砷化物)、矽化合物(即,矽烷、矽氧烷、矽醇)、氮化合物(N2、NH3、N2O)以及氫氣和氧氣。可能對形成同形摻雜之具體實施例(例如製程300)有用的化合物實例為硼烷、二硼烷、膦、胂、矽烷、氮氣、氫氣和氧氣。
在步驟306中,摻質前驅物被游離成電漿。此電漿可為電容耦合式電漿,但較佳為電感耦合式電漿。可經由創造出電場,並使反應混合物穿過電場中而產生電感耦合式電漿。上述電場通常是穿過線圈的振盪電流所產生,線圈置於含有材料(例如,將被游離的摻質前驅物)的通道周圍。相對低的功率可產生較佳的振盪電場,例如低於約1000瓦,最佳為低於500瓦。此種低功率電漿(或弱電漿),增加了前驅物與基板反應或吸附在基板上的趨勢,同時可將不希望在處理裝置中產生的沉積量減至最小。振盪電場的頻率一般為約13.56MHz,即無線電頻率(radio frequency;RF)。此類型的電感耦合式電漿可在處理腔室、鄰近處理腔室的迴圈(loop)或遠端電漿產 生裝置中產生。
如在步驟308中所示,可對透過施加RF功率至與部份腔室耦接的電感器所產生之電感耦合式電漿上再外加電偏壓。電偏壓可經由以高通或低通濾波器(high-or low-pass filter)施加RF功率(或DC功率),至一或多個接近反應空間的組件(例如,氣體分配器(gas distributor)、基板支撐件或腔壁)而產生。電偏壓較佳的方向為離子向基板推進的方向,且較佳為使用弱電偏壓(即,低於500瓦)。此種狀態下,可使充電粒子深深地穿透進溝槽中,而非轉向撞擊側壁,使充電粒子可沉積在基板表面,而非僅佈植進入表面。雖然,較佳的情況為使用等向性反應混合物,但弱電偏壓的應用可促進離子穿透溝槽,而非在側壁上沉積。用此種方式可同時達成同形佈植和摻雜的目的。
除了電感耦合方式外,也可以電容耦合方式產生電漿,其中可在電容器的極板之間產生電場。與上述在處理腔室產生電偏壓方法相似,可將電壓施加在反應腔室之一或更多組件上,以產生電場。一般為使用RF功率,但也可使用DC功率。在此具體實施方式中,使用弱電漿較佳。
接著在步驟310中,將摻質源材料沉積在基板表面。在步驟312中,對基板進行退火,以活化摻質並將摻質擴散進入基板的晶狀結構中。
電漿輔助型ALD法
一些同形摻雜的具體實施例,可受益於使用電漿輔助型ALD法(plasma-assisted ALD;PAALD)。在PAALD製程中,前驅物與基板或/和其它吸附在基板上的前驅物間的反應,可經由游離前驅物物質而被促進或增強。與摻質沉積層的反應可因電漿的產生而更輕易地進行。電漿可為電感式或電容式耦合電漿,可選擇施加或不施加電偏壓。
第4圖為依據本發明之具體實施例所繪示之PAALD製程方法400流程圖。在步驟402中,具有高深寬比特徵的基板,如上述般,放置在處理腔室中的基板支撐件上。在步驟404中,可選擇性地對部份基板表面進行前處理(例如,濕式清潔法、電漿清潔法或施加官能基端基(即,羥基、氨基或氫原子端基)),以清理基板表面,或使基板表面達到可進行製程的狀況。在步驟406中,將第一前驅物(可為前述之催化性前驅物)通入至處理腔室中。腔室可在通入第一前驅物前進行淨化或排空(如前所述)。
在步驟408中,第一前驅物(可為催化性前驅物)被游離成電漿以幫助沉積。電漿可由電容式耦合方式產生,較佳為電感耦合式,並可在處理腔室、鄰近處理腔室或在處理腔室遠端的裝置中產生。產生電漿的RF功率之頻率為13.56MHz。如上所述,RF功率(較佳的功率級為小於1000瓦,更佳為小於200瓦)被施加在具有可被游離 的材料(例如第一前驅物)的通道中之電感器上。弱電漿有助於將前驅物沉積於基板表面。電漿可由電偏壓產生,但較佳為非電偏壓或電中性產生的電漿。非電偏壓所產生之電漿在處理腔室中常為等向性,可進行同形摻雜。弱電偏壓(例如以低於500瓦的功率所產生),也可實質在高深寬比的結構進行同形沉積。
如果需要的話,可將RF功率施加在一或多個前驅物上以增進效果。例如,如果需要的話,可以RF功率活化摻質前驅物,且在應用於氧或氮源,或其它催化性前驅物時,RF功率為不連續的,催化性前驅物可被RF功率活化或游離成電漿,於此可形成氧或氮電漿。一些具體實施例的特徵為使用超過兩種前驅物,將RF功率施加在許多不同的組合的各種前驅物上,可能有助於製程進行。
如果需要的話,也可在驅氣步驟410和416中使用RF功率。在驅氣步驟使用RF功率,可有助於減少前驅物吸附在反應腔室腔壁或管路上的機會。將淨化氣體游離成弱電漿,可有效移除此種在側壁或腔室中的沉積物。驅氣步驟也可在不連續的RF功率後進行。
與任何ALD製程相同,此處的沉積是以循環方式進行。前驅物被連續通入處理腔室中,可使用電漿(或在某些特殊的例子中也可不用電漿)形成需要的摻質層或摻質源層厚度。如步驟418所繪示,如果沒有達到目標厚度,沉積的循環可重覆進行。如果有需要,可使用不同程度的電漿,以進一步調整沉積製程。例如,交替的循 環使用不同的特徵電漿。
在步驟418中,當沉積於基板上的摻質源層達到目標厚度後,基板可進行退火以完成製程400。在步驟420中,基板進行退火,以將摻質源層中的摻質擴散進入至少部份的基板表面,並可用以活化摻質和修補晶格的損傷。退火製程可以一或多個,相同或不同的熱處理循環方式進行,例如快速熱處理、瞬間退火處理、雷射或脈衝雷射退火、閃光燈或脈衝式閃光燈退火或是爐管退火。
第5A-5C圖繪示在不同階段的基板,以回應之前所述之處理步驟。第5A圖繪示之基板500,具有高深寬比特徵。最好是可在基板500的上表面500A、側壁500B和溝槽500C上進行同形摻雜。第5B圖繪示出處於當摻質源材料被同形沉積期間之中間階段時的基板500。所繪示之前驅物材料502等向分佈在整個處理腔室中,使得在接近基板上表面500A、側壁500B和溝槽500處具有實質相同的前驅物濃度。在基板500上成長出一層摻質源材料的同形層504。第5C圖繪示了進行熱處理後的影響。同形層504被擴散進入基板500中並且活化,而形成同形摻雜層506。
活化
沉積在基板表面的摻質源材料必須經過處理,以促使摻質源材料擴散進入基板,並使摻質活化。同形摻雜的具體實施方式同時包括活化步驟。可使用任何摻質擴散 製程以完成活化,例如快速熱處理或退火、瞬間退火、雷射退火、閃光、脈衝或爐管退火,或其它類似方式。在本發明之具體實施例中,使用帽蓋層有助於擴散和活化製程。帽蓋層可沉積在全部基板上(或部份基板上),以達成理想的熱處理結果。
具有摻質源材料沉積的基板,可在沉積摻質源材料的同一腔室內,或在一或多個不同的腔室中,進行熱或電磁退火處理。例如,摻質源材料可在用以進行PAALD製程的腔室中進行沉積,且之後傳送到熱處理腔室中進行退火。熱處理腔室被設計成對全部基板進行加熱與冷卻,例如使用加熱燈,或對部份基板進行加熱與冷卻,例如使用雷射、閃光燈,或背面冷卻的裝置。可控溫的基板支撐件有助於退火製程的進行。
在摻質源層中的摻質原子,經由熱處理使能量提高,並移動到基板內。在本發明之具體實施例中,在退火前使用一層帽蓋層,有助於防止自由移動的摻質在熱處理時脫離。使用帽蓋層也可平衡在基板的表面,特徵結構上之頂部和側壁的熱負載量。部份的基板一般會加熱至一溫度,此溫度的選擇目的是用以促進摻質移動進基板,且使基板晶格結構排列整齊。目標溫度可以形成在約700℃和約1410℃之間,且可選擇性地融解部份基板。選擇性地融解可用以促使固定位置的摻質和基板原子進行重新排列,有助於活化和擴散過程。在加熱循環中,基板的周圍溫度控制在介於約100℃和約700℃之 間,有助於快速加熱。較佳的情況下,加熱和冷卻的循環為快速進行,有助於活化和擴散的控制。例如,在加熱循環中,當基板溫度上升太慢時,可能導致摻質過份擴散進入基板,或使之前活化的摻質脫離。理想的溫度上升速率為超過400℃/s。冷卻循環一般會依循加熱循環,使移動或活化的摻質或基板原子在適當位置固化或冷卻。
在退火製程後,可能會有少量的摻質源層被遺留在基板的表面。一般來說,退火製程會使基板表面的摻質產生濃度梯度,在接近基板的表面處之濃度最高。在本發明之具體實施例中,可在退火後,將在基板表面的摻質源層和在基板表面下方的高濃度摻質層移除。可使用清理步驟,例如蝕刻、電漿清理或電漿蝕刻製程,來移除不想要的物質。
設備
第6A圖為依據本發明之實施例所繪示之設備剖面簡圖。所繪示之設備被設計來進行電漿輔助製程,例如將摻質以電漿佈植於基板中。電漿反應器600包含具有腔底624、腔頂626和腔壁622(可用以關閉處理區604)的腔體602。由腔體602的腔底624所支撐的基板支撐組件628,在製程進行期間是用來放置基板606的。基板支撐組件628的對面為氣體分佈器630(耦接至腔體602之腔頂626)。抽氣口632置於腔體602中且耦接至真空 幫浦634。真空幫浦634經由節流閥636耦接至抽氣口632。氣體源652被耦接至氣體分佈器630,以提供前驅化合物氣體至基板606上進行製程。在本發明之具體實施例中,氣體分配器630可為蓮蓬頭。
在第6A圖中所示之反應器600更包含電漿源690,在第6B圖透視圖有更清楚的表示。電漿源690包含一對分開的外接迴流導管640和640’,可為彎管,安裝在腔體602的腔頂626外部,彼此為橫向配置(或為正交(orthogonal),如第6B圖所示之示例性實施例)。第一外接導管640具有第一端640a,穿過於腔頂626的開口698,耦接至腔體602處理區604之第一側。第二端640b具有開口696,耦接至處理區604的第二側。第二外接迴流導管640’具有第一端640a,(具有開口694),耦接至處理區604的第三側,和第二端640b’(具有開口692),耦接至處理區604的第四側。在本發明之具體實施例中,第一和第二外接迴流導管640和640’被配置成正交方向,具有640a、640a’、640b、640b’等端。每一外接迴流導管640、640’被放置在腔體602的腔頂626的周邊周圍,彼此間具有約90°的間隔。此種外接迴流導管640、640’的正交設計,可使處理區604中的電漿源分佈均勻。據推測,如果需要使用其它的分佈方式,以提供均勻的電漿分配進入處理區604,也可以將第一和第二外接迴流導管640、640’重新進行配置。
磁力可滲透的環狀核心(magnetically permeable torroidal cores)642、642’,分別圍繞在對應部份的外接迴流導管640、640’上。導電線圈644、644’,經由各別的阻抗匹配電路或元件648、648’,被耦接至各別的RF電漿源功率產生器646、646’。每一外接迴流導管640、640’皆為中空導管,由絕緣環650、650’各別阻斷,絕緣環650、650’將介於各別外接迴流導管640、640’之兩端640a、640b(以及640a’和640b’)之間的連續電路阻斷。基板表面的離子能量由RF電漿電偏壓功率產生器654(第6A圖)(經由阻抗匹配電路或元件656,耦接至基板支撐件組件628)控制。
請再參考第6A圖,由製程氣體源652所供應之製程氣體(包含氣狀化合物),經由頂端的氣體分配器630而通入處理區604。RF電漿功率源646,經由導電線圈644和環形核心642,與由導管640所供應之氣體耦合,以在第一封閉環形路徑(包含外接迴流導管640和處理區604)中,產生環繞的電漿電流。同樣的,RF功率源646’(第6B圖),可經由導電線圈644’和環形核心642’,與在第二導管640’中的氣體耦合,以在第二封閉環形路徑(在第一環形路徑的橫向(例如,正交))產生環繞的電漿電流。第二環形路徑包含第二外接迴流導管640’和處理區604。在每一路徑的電漿電流,以各別的RF功率源產生器646、646’所提供之頻率進行振盪(例如,反方向移動),頻率可為相同或具有微小差距。
在本發明之一具體實施例中,製程氣體源652可提供 不同的製程氣程,用以提供摻質至基板606。每一電漿源功率產生器646、646’的功率,可用以游離製程氣體(由製程氣體源652所供應),且在基板606的表面產生理想的離子流。RF電漿電偏壓功率產生器654的功率被控制在一定程度,使由製程氣體所游離的離子能量可朝基板表面方向加速,並使在基板606上表面之下方理想的深度內的佈植具有理想的離子濃度,或沉積在基板606的表面。例如,將相對低的RF功率(例如低於約50電子伏特)施加於電偏壓產生器654上,即可得到相對低的電漿離子能量。具有低離子能量的游離離子,可被佈植在淺深度(距離基板表面約0Å至約600Å),或僅沉積在基板606的表面。在本發明之具體實施例中,由高功率的RF(例如高於約50電子伏特)所產生,具有高離子能量的游離態離子,可被佈植進基板,距離基板的表面有實質超過100Å的深度。
如前面所討論的,對於同形摻雜的應用,較佳的情況為在腔室中產生最弱的電偏壓。強電偏壓雖然可將沉積物質的活性保持在充沛的狀態,但也會導致在電場區中產生較多的沉積,而在洞或溝槽中產生較少的沉積。相較之下,弱電場區可達成對溝槽較佳的穿透。若沒有電偏壓,電漿的組成即為等向性,在電場區和在溝槽即為同形沉積。在弱電偏壓的使用方面,RF電偏壓產生器654較佳的操作頻率為13.56MHz,且在電偏壓小於約1000瓦的程度時具有較佳的操作效果,在小於約500瓦時(例 如小約於100瓦)會更佳。
如圖所示,電偏壓功率產生器654經由匹配網路656耦接至基板支撐件628(氣體分配器630為接地)。電偏壓功率產生器654將單極(monopolar)RF驅動電偏壓,施加在由外接迴流導管640和640’所產生的電漿上。在其它的具體實施例中,電偏壓產生器654可耦接至氣體分配器630,或各別的電偏壓線路可分別同時耦接至氣體分配器630和基板支撐件628。
控制性的RF電漿功率源和RF電漿電偏壓功率的結合,可解離氣體混合物中的離子,使之在電漿反應器600中具有足夠的動量和理想的離子分佈。如果具有足夠的能量,被電偏壓影響的離子會驅向基板表面移動,可將理想的離子濃度、分佈和深度(距基板表面)的離子,佈植進入基板。低能量電漿電偏壓功率可使在基板表面的沉積產生較少的穿透,此種情況一般在同形摻雜的應用上是理想的。更進一步說,控制性的離子能量,和從製程氣體所提供之不同型態離子物質,皆有助於將離子佈植或沉積於基板606,以形成理想的元件結構,例如在基板606上的閘結構或源汲區。
電漿反應器600更包含腔室襯墊(chamber liner)(未繪示)。腔室襯墊一般用以保護腔壁,免於受處理過程中所產生的反應性組成侵害。此種襯墊可以陶瓷、矽或其它保護性材料製成,且被設計為可定期更換。在其它的具體實施例中,腔室可具有化學性襯墊,藉由在進行製程 之前,於腔室的內表面沉積矽或氧化物層所形成。一種在原位(in-situ)的此型態之腔室襯墊可提供相同的功能,且可以蝕刻或清理程序方式去除。
本發明之具體實施方式已提供如上,可在不偏離本發明之基本範圍內建議其它相關之具體實施例,本發明之範圍由下面的申請專利範圍所決定。
100‧‧‧基板
102‧‧‧層
104‧‧‧層
106‧‧‧層
108‧‧‧層
110‧‧‧增加佈植
112‧‧‧側壁
200‧‧‧製程方法
202‧‧‧步驟
204‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟
212‧‧‧步驟
214‧‧‧步驟
300‧‧‧製程方法
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
310‧‧‧步驟
312‧‧‧步驟
314‧‧‧步驟
400‧‧‧製程方法
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
408‧‧‧步驟
410‧‧‧步驟
412‧‧‧步驟
414‧‧‧步驟
416‧‧‧步驟
418‧‧‧步驟
420‧‧‧步驟
422‧‧‧步驟
500‧‧‧基板
500A‧‧‧上表面
500B‧‧‧側壁
500C‧‧‧溝槽
502‧‧‧前驅物材料
504‧‧‧同形層
506‧‧‧同形摻雜層
600‧‧‧反應器
602‧‧‧腔體
604‧‧‧處理區
606‧‧‧基板
622‧‧‧腔壁
624‧‧‧腔底
626‧‧‧腔頂
628‧‧‧基板支撐組件
630‧‧‧氣體分配器
632‧‧‧抽氣口
634‧‧‧真空幫浦
636‧‧‧節流閥
640‧‧‧外接迴流導管
640'‧‧‧外接迴流導管
640a‧‧‧第一端
640b‧‧‧第二端
642‧‧‧環狀核心
642'‧‧‧環狀核心
644‧‧‧導電線圈
644'‧‧‧導電線圈
646‧‧‧RF電漿源功率產生器
646'‧‧‧RF電漿源功率產生器
648‧‧‧阻抗匹配電路或元件
648'‧‧‧阻抗匹配電路或元件
650‧‧‧絕緣環
650'‧‧‧絕緣環
652‧‧‧氣體源
654‧‧‧RF電漿電偏壓功率產生器
656‧‧‧匹配網路/匹配電路或元件
690‧‧‧電漿源
692‧‧‧開口
694‧‧‧開口
696‧‧‧開口
698‧‧‧開口
為了使本發明之特徵可被詳細瞭解,故將本發明之具體實施方式繪製成附圖。然而,需要注意的是,附圖所繪示的僅為本發明之典型的實施方式,不應作為發明範圍的限制,本發明包含其它同樣效果的具體實施方式。
為了簡潔之故,附圖中使用了特定的參考用數字代號,以表示圖中特定的元件。在一具體實施例中的特徵元件可與其它的具體實施例相同而不需特別註記。
第1A-1D圖係依據先前技術所繪示之基板進行摻雜製桯處理的方式。
第2圖係依據本發明之一具體實施例所繪示之製程方法流程圖。
第3圖係依據本發明之另一具體實施例所繪示之製程方法流程圖。
第4圖係依據本發明之另一具體實施例所繪示之製程方法流程圖。
第5A-5C圖係依據第2-4圖所示之任一種製程方法所繪示之基板處理方式。
第6A圖係依據本發明之具體實施例所繪示之基板處理設備之側面剖面圖。
第6B圖係依據本發明之具體實施例所繪示之電漿源透視圖。
300‧‧‧製程方法
302~314‧‧‧步驟

Claims (11)

  1. 一種處理一基板的方法,該方法包含:(a)將該基板放置於一處理腔室中;(b)提供一第一前驅物材料至該處理腔室中;(c)使該第一前驅物材料反應而在該基板上形成一第一前驅物層;(d)提供一第二前驅物材料至該處理腔室中;(e)使該第二前驅物材料反應而在該基板上形成一摻質原子層;(f)重覆步驟(b)-(e)直到該摻質原子層達到一目標厚度為止;及(g)藉由加熱該基板,使該摻質原子層擴散進入該基板。
  2. 如請求項1所述之方法,其中該第一前驅物為一催化性前驅物。
  3. 如請求項2所述之方法,其中該第二前驅物為一摻質前驅物。
  4. 如請求項3所述之方法,其中該摻質前驅物選自下列物質所組成之族群:硼前驅物、磷前驅物、砷前驅物、金屬前驅物、氟前驅物及上述之組合。
  5. 如請求項3所述之方法,其中該摻質前驅物為一有機硼化合物。
  6. 如請求項3所述之方法,其中該摻質前驅物為一有機磷化合物。
  7. 如請求項3所述之方法,其中該摻質前驅物為一有機砷化合物。
  8. 如請求項3所述之方法,其中該催化性前驅物選自下列物質所組成之族群:O2、O3、N2O、H2O2、NO、N2O5、醇類、有機和無機過氧化物、羧酸、氧自由基化合物和上述各物質之組合。
  9. 一種在一半導體基板的一表面上形成一摻雜區的方法,該方法包含:(a)將一基板放置在一處理腔室內;(b)提供一催化性前驅物至該處理腔室內;(c)將該催化性前驅物游離成一催化性前驅物電漿;(d)使該催化性前驅物電漿反應而在該基板上形成一摻質前驅物層;(e)提供一淨化氣體至該處理腔室中;(f)提供一摻質前驅物至該處理腔室中; (g)使該摻質前驅物游離成一摻質前驅物電漿;(h)使該摻質前驅物電漿反應,而在該基板上形成一摻質層;(i)重覆步驟(b)-(h)直至該摻質層達到一目標厚度為止;及(j)經由加熱該基板而使該摻質層擴散進入該基板中。
  10. 如請求項9所述之方法,其中該摻質前驅物選自下列物質所組成之族群:硼化合物、磷化合物、砷化合物、金屬化合物、氟化合物及上述物質的組合。
  11. 如請求項10所述之方法,其中該催化性前驅物選自下列物質所組成之族群:O2、O3、N2O、H2O2、NO、N2O5、醇類、有機和無機過氧化物、羧酸、氧自由基化合物和上述各物質之組合。
TW098103573A 2008-02-08 2009-02-04 以原子層沉積輔助同形電漿浸潤離子佈植的新穎方法 TWI508177B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/028,423 US20090203197A1 (en) 2008-02-08 2008-02-08 Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition

Publications (2)

Publication Number Publication Date
TW200941585A TW200941585A (en) 2009-10-01
TWI508177B true TWI508177B (zh) 2015-11-11

Family

ID=40939240

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098103573A TWI508177B (zh) 2008-02-08 2009-02-04 以原子層沉積輔助同形電漿浸潤離子佈植的新穎方法

Country Status (4)

Country Link
US (2) US20090203197A1 (zh)
KR (1) KR101497902B1 (zh)
TW (1) TWI508177B (zh)
WO (1) WO2009099756A1 (zh)

Families Citing this family (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8828835B2 (en) 2009-03-06 2014-09-09 Texas Instruments Incorporated Ultrashallow emitter formation using ALD and high temperature short time annealing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102009053889B4 (de) * 2009-11-20 2014-03-27 C. Hafner Gmbh + Co. Kg Verfahren zur Beschichtung einer metallischen Substratoberfläche mit einer durch einen ALD-Prozess aufgebrachten Materialschicht
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8030157B1 (en) * 2010-05-18 2011-10-04 International Business Machines Corporation Liner protection in deep trench etching
DE102010040231A1 (de) * 2010-09-03 2012-03-08 Evonik Degussa Gmbh p-Dotierte Siliciumschichten
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8492253B2 (en) * 2010-12-02 2013-07-23 Sunpower Corporation Method of forming contacts for a back-contact solar cell
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9093266B2 (en) * 2011-04-11 2015-07-28 Micron Technology, Inc. Forming high aspect ratio isolation structures
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8846482B2 (en) * 2011-09-22 2014-09-30 Avogy, Inc. Method and system for diffusion and implantation in gallium nitride based devices
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
US9142402B2 (en) 2011-11-30 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Uniform shallow trench isolation regions and the method of forming the same
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP2013175587A (ja) * 2012-02-24 2013-09-05 Toshiba Corp 半導体装置の製造方法及び半導体製造装置
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR102122612B1 (ko) * 2012-05-18 2020-06-15 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화 원자층 성막 및 컨포멀 막 성막을 통한 컨포멀 도핑
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
CN103594341A (zh) * 2012-08-14 2014-02-19 中芯国际集成电路制造(上海)有限公司 半导体结构及其掺杂方法、鳍式场效应管的形成方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014120392A1 (en) * 2013-01-30 2014-08-07 Applied Materials, Inc. Methods for forming a molecular dopant monolayer on a substrate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10599844B2 (en) * 2015-05-12 2020-03-24 Webroot, Inc. Automatic threat detection of executable files based on static data analysis
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9690938B1 (en) 2015-08-05 2017-06-27 Invincea, Inc. Methods and apparatus for machine learning based malware detection
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2017223294A1 (en) 2016-06-22 2017-12-28 Invincea, Inc. Methods and apparatus for detecting whether a string of characters represents malicious activity using machine learning
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6810578B2 (ja) * 2016-11-18 2021-01-06 株式会社Screenホールディングス ドーパント導入方法および熱処理方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
WO2019145912A1 (en) 2018-01-26 2019-08-01 Sophos Limited Methods and apparatus for detection of malicious documents using machine learning
US11941491B2 (en) 2018-01-31 2024-03-26 Sophos Limited Methods and apparatus for identifying an impact of a portion of a file on machine learning classification of malicious content
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020027593A1 (ko) * 2018-08-01 2020-02-06 한양대학교 산학협력단 전자 및 이온 조절을 이용한 박막 증착 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20210048571A (ko) * 2018-09-21 2021-05-03 램 리써치 코포레이션 로우-K (low-k) ALD 갭-충진 방법들 및 재료
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11947668B2 (en) 2018-10-12 2024-04-02 Sophos Limited Methods and apparatus for preserving information between layers within a neural network
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10937654B2 (en) 2019-01-24 2021-03-02 Micron Technology, Inc. Methods of doping a silicon-containing material and methods of forming a semiconductor device
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
US11714905B2 (en) 2019-05-10 2023-08-01 Sophos Limited Attribute relevance tagging in malware recognition
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
JP2022544104A (ja) * 2019-08-06 2022-10-17 ラム リサーチ コーポレーション シリコン含有膜の熱原子層堆積
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
US11562902B2 (en) 2020-07-19 2023-01-24 Applied Materials, Inc. Hydrogen management in plasma deposited films
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11989326B2 (en) 2021-03-30 2024-05-21 Sophos Limited Programmable feature extractor with anonymization
US12010129B2 (en) * 2021-04-23 2024-06-11 Sophos Limited Methods and apparatus for using machine learning to classify malicious infrastructure
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US20070087574A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0413982B1 (en) * 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
US6921708B1 (en) * 2000-04-13 2005-07-26 Micron Technology, Inc. Integrated circuits having low resistivity contacts and the formation thereof using an in situ plasma doping and clean
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US20040178175A1 (en) * 2003-03-12 2004-09-16 Pellin Michael J. Atomic layer deposition for high temperature superconductor material synthesis
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US7314804B2 (en) * 2005-01-04 2008-01-01 Intel Corporation Plasma implantation of impurities in junction region recesses
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7109098B1 (en) * 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
WO2007038164A2 (en) 2005-09-23 2007-04-05 Nanosys, Inc. Methods for nanostructure doping
US7723154B1 (en) * 2005-10-19 2010-05-25 North Carolina State University Methods of forming zinc oxide based II-VI compound semiconductor layers with shallow acceptor conductivities
US7485536B2 (en) * 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
US7294543B2 (en) * 2006-03-22 2007-11-13 International Business Machines Corporation DRAM (Dynamic Random Access Memory) cells
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US8053372B1 (en) * 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7494862B2 (en) * 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US20070087574A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method

Also Published As

Publication number Publication date
US20090203197A1 (en) 2009-08-13
US20110159673A1 (en) 2011-06-30
KR101497902B1 (ko) 2015-03-11
TW200941585A (en) 2009-10-01
KR20100133377A (ko) 2010-12-21
WO2009099756A1 (en) 2009-08-13
US8709924B2 (en) 2014-04-29

Similar Documents

Publication Publication Date Title
TWI508177B (zh) 以原子層沉積輔助同形電漿浸潤離子佈植的新穎方法
CN110431661B (zh) 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
US7989329B2 (en) Removal of surface dopants from a substrate
US9659791B2 (en) Metal removal with reduced surface roughness
JP6629312B2 (ja) 選択的堆積のための方法及び装置
TWI621157B (zh) 共形摻雜的方法與設備
US20160222522A1 (en) Oxide and metal removal
US20140213070A1 (en) Low shrinkage dielectric films
WO2009057838A1 (en) Apparatus for surface-treating wafer using high-frequency inductively-coupled plasma
CN110476222B (zh) 用于硅间隙填充的两步工艺
KR102562226B1 (ko) 원자 층 제어를 사용한 막의 등방성 에칭
KR101234706B1 (ko) 기판 처리 장치 및 이를 이용한 기판 처리 방법
US11276570B2 (en) Multi-layer deposition and treatment of silicon nitride films
JP2012507867A (ja) P3iプロセスにおけるドーピングプロファイルの調整
CN107851558B (zh) 以uv辅助方式将材料注入多孔膜
CN109923660B (zh) 高压退火及降低湿蚀刻速率
KR20110041709A (ko) 증착 장치 및 이를 이용한 갭필 방법
TW202412066A (zh) 低溫氧化矽間隙填充
TW202419666A (zh) 形成具有改良薄膜品質之薄膜
WO2024025766A1 (en) Forming films with improved film quality
KR101725765B1 (ko) 산화막 증착 방법 및 이를 이용한 비아 콘택 형성 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees