KR20060056883A - 나노층 증착 공정 - Google Patents

나노층 증착 공정 Download PDF

Info

Publication number
KR20060056883A
KR20060056883A KR1020057014408A KR20057014408A KR20060056883A KR 20060056883 A KR20060056883 A KR 20060056883A KR 1020057014408 A KR1020057014408 A KR 1020057014408A KR 20057014408 A KR20057014408 A KR 20057014408A KR 20060056883 A KR20060056883 A KR 20060056883A
Authority
KR
South Korea
Prior art keywords
precursors
deposition
film
cvd
deposited
Prior art date
Application number
KR1020057014408A
Other languages
English (en)
Other versions
KR101238429B1 (ko
Inventor
투 뉴옌
타이 둥 뉴옌
Original Assignee
테갈 코퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 테갈 코퍼레이션 filed Critical 테갈 코퍼레이션
Publication of KR20060056883A publication Critical patent/KR20060056883A/ko
Application granted granted Critical
Publication of KR101238429B1 publication Critical patent/KR101238429B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

나노층 증착("NLD")이라 불리는 CVD 및 ALD의 하이브리드 증착 공정이 개시된다. 나노층 증착 공정은 주기적인 연속 증착 공정으로서, 자기 한정적이지 않은 증착 공정에 의해 박막을 증착하기 위해 제 1 복수의 전구체들을 도입시키는 제 1 단계와, 제 1 세트의 전구체들을 퍼지하는 제 2 단계와, 그리고 증착된 박막을 변경하기 위해 제 2 복수의 전구체들을 도입시키는 제 3 단계를 포함한다. 제 1 세트의 전구체들을 이용하는 NLD 공정의 증착 단계는 자기 한정적이지 않으며, 기판 온도 및 공정 시간에 의존한다. 제 2 세트의 전구체들은 이미 증착된 막의 특성을 변경한다. 제 2 세트의 전구체들은, 막 조성의 변경, 도핑 또는 증착된 막으로부터 불순물을 제거하는 등과 같이 증착된 막을 처리할 수 있다. 제 2 세트의 전구체들은 또한 증착된 막 위에 다른 층을 증착할 수 있다. 부가층은 기존층과 반응하여 혼합층을 형성하거나, 또는 최소한으로 반응하여 나노 적층 막을 형성할 수 있다.
반도체 공정, NLD 공정, ALD 공정, 전구체, 퍼지, 자기 한정적, 특성 변경

Description

나노층 증착 공정{NANOLAYER DEPOSITION PROCESS}
우선권 주장
본 출원은 2003년 2월 4일에 출원된 미국 출원 번호 10/360,135호의 우선권을 주장하는 바, 이는 본원의 참조로서 인용된다.
본 발명은 박막의 증착에 관한 것으로서, 특히 반도체 박막 공정에 관한 것이다.
증착은 현대 반도체 디바이스 구조의 기본적인 형성 공정중 하나이다. 증착 기술은 물리 기상 증착("PVD" 또는 스퍼터링), 그리고 화학 기상 증착("CVD"), 및 펄스 CVD(pulsed CVD), 연속 CVD(sequential CVD), 또는 원자 층 증착(Atomic Layer Deposition)("ALD") 등의 CVD의 다양한 변형을 포함한다.
PVD 공정은 고진공 장치, 및 원자 또는 원자의 클러스터를 웨이퍼 기판의 표면을 향해 스퍼터링하는 생성된 플라즈마를 이용한다. PVD는 가시거리(line-of-sight) 증착 공정으로서, 특히 4:1 이상의 높은 종횡비를 가지고, 0.13㎛ 이하의 작은 트렌치 또는 비아 위에 얇고 균일한 라이너 또는 장벽층을 증착하는 등의 복잡한 토포그래피 위에 적합한 막 증착을 달성하는 것은 더욱 어렵다.
CVD 방법은 PVD 방법과 다르다. CVD에서는, 고온에서 웨이퍼 표면 위에 가스 또는 증기 혼합물을 플로우시킨다. 그러면, 증착이 이루어지는 뜨거운 표면에서 반응이 일어난다. CVD 공정의 기본적인 특성은 모든 전구체 증기가 기판에서 함께 반응한다는 것이다. 이러한 반응은 종종 (저항성의 가열된 기판 또는 복사 가열 형태의) 열 에너지 또는 (플라즈마 여기 형태의) 플라즈마 에너지 등의 에너지원의 존재를 필요로 한다. 웨이퍼 기판의 온도는 CVD 증착에서 중요한 요인인데, 이는 증착이 전구체들의 반응에 의존하고, 큰 기판 표면에서의 증착의 균일성에 영향을 주기 때문이다. 일반적으로, CVD는 반도체 공정의 다른 공정과 양립할 수 없는 높은 증착 온도를 필요로 한다. 낮은 온도에서의 CVD는 균일성과 불순물의 측면에서 낮은 품질의 필름을 생성하는 경향이 있다. 상기 반응들은 플라즈마 인핸스트 CVD(plama enhanced CVD) 공정의 플라즈마 에너지, 또는 급속 열 CVD 공정의 광자 에너지에 의해 더욱 촉진될 수 있다. CVD 기술은 반도체 공정에서 오랫동안 이용되어 왔고, 그 특성들은 이용가능한 다양한 전구체와 함께 잘 알려져 있다. 그러나, CVD는 새로운 물질, 더욱 엄격한 필름 품질 및 특정에 대해 현대 기술의 요건을 충족시키지 못한다.
CVD의 변형은 펄스 CVD 또는 연속 CVD를 포함한다. 펄스 또는 연속 CVD에서, 화학 증기, 또는 플라즈마 에너지, 열 에너지 및 레이저 에너지 등의 공급 에너지들은 CVD 공정에서 처럼 연속적인 것이 아니라 펄스화된다. 펄스 CVD의 주요 장점은 전구체 또는 에너지의 온-오프 상태로부터 야기되는 과도 상태의 높은 효과 및 펄스 모드로 인한 전구체 또는 에너지 양의 감소이다. 펄스 모드에서 달성될 수 있 는 에너지 감소는, 얇은 게이트 산화물을 위한 플라즈마 공정 경우 등에서 기판 손상을 보다 적게 할 수 있기 때문에 바람직하다. 펄스 모드에 대한 전구체 양의 감소는, 특정 구성에서 기판의 단결정 특성을 확장시키기 위해 전구체들이 기판과 반응할 필요가 있는 에피택셜 증착 등의 특정 응용에 대해 바람직하다. 펄스 CVD에는 어떠한 퍼지 단계(purging step)도 없는데, 이는 상호 오염 또는 기체 상태 반응은 고려 대상이 아니고, 전구체 또는 에너지를 펄스화하는 목적은 원하는 막 특성을 얻는 것이기 때문이다.
펄스 CVD는 Taylor 등의 미국 특허 번호 5,102,694호("Taylor")에서와 같이 경사 증착을 생성하는 데에 이용될 수 있다. Taylor는 전구체가 주기적으로 감소되어, 증착된 막에 경사 조합(gradient of composition)을 생성하는 펄스 증착 공정을 개시한다. Taylor의 펄스 CVD는 막 조합을 변화시키기 위해 오로지 제 1 세트의 전구체를 변경하는 것에만 의존한다.
그 명칭이 "Pulsed gas plasma-enhanced chemical vapor deposition of silicon"인 Batey 등의 미국 특허 번호 5,242,530호("Batey")에 개시된 바와 같이, 펄스 CVD는 전구체 흐름을 변조하는 데에 이용될 수 있다. Batey는 플라즈마 수소의 정상 흐름(steady flow) 동안 전구체 실란(silane)이 변조되는 펄스 증착 공정을 개시한다. 실란의 펄스화는 일련의 증착을 생성하고, 실란 펄스가 없을 때에는 정상 플라즈마 수소가 상기 증착된 표면을 세척하고 준비한다.
그 명칭이 "Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2"인 Sandhu 등의 미국 특허 5,344,792호("Sandhu")에 개시된 바와 같이, 펄스 CVD는 증착 공정에 필요한 플라즈마 에너지를 펄스화하는 데에 이용될 수 있다. Sandhu는, 전구체가 공정 챔버에 도입된 다음, 증착 조건을 최적화하도록 플라즈마 모드로 플라즈마 에너지가 도입되는 펄스 증착 공정을 개시한다. 그 명칭이 "Method for pulsed plasma enhanced vapor deposition"인 Donohoe 등의 미국 특허 번호 5,985,375호("Donohoe")는 펄스 모드에서 플라즈마 에너지를 이용한 유사한 펄스 CVD 공정을 개시하지만, 이는 전력 변조된 에너지 파형(power-modulated energy waveform)을 이용한다. 플라즈마 에너지의 펄스화에 의해, 원하는 특성을 갖는 금속막을 증착할 수 있게 된다. 그 명칭이 "Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source"인 Roche 등의 미국 특허 번호 6,200,651호("Roche")는 증착되는 막을 최적화하기 위해 반복적인 마이크로파 필드(pulsed microwave field)를 갖는 전자 사이클로트론 공명 플라즈마를 이용하는 펄스 CVD 공정을 개시한다. 그 명칭이 "Deposition of TEOS oxide using pulsed RF plasma"인 Goto 등의 미국 특허 번호 6,451,390호("Goto")는 실리콘 이산화물의 증착 속도를 제어하기 위해 펄스 RF 플라즈마를 이용하는 테트라에톡시시레인("TEOS") 산화물 증착 공정을 개시한다. 펄스 특성은 정상 상태 대신 과도 상태를 통해 증착막의 최적화를 제공한다. 게이트 산화물의 나이트라이데이션(nitridation) 공정 동안에 플라즈마를 펄스화하게 되면, 플라즈마 과도 상태로 인한 보다 높은 상호 작용때문에 연속적인 플라즈마 나이트라이데이션 공정 보다 적은 손상을 나타내고, 보다 짧은 플라즈마 시간의 결과로서 보다 적은 손상을 나타낸다.
펄스 CVD는, 그 명칭이 "Method for growing semiconductor film and method for fabricating semiconductor devices"인 Takahashi 등의 미국 특허 번호 6,306,211호("Takahashi")에서와 같이 증착 공정에 필요한 전구체들을 펄스화하는 데에 이용될 수 있다. Takahashi는 SixGeyCz의 에피택셜막을 증착하기 위한 펄스 CVD 공정을 개시한다. 에피택셜 증착은 단결정 기판을 필요로 하고, CVD 다결정 또는 비정질 막 증착과 달리, 증착되는 막은 기판의 단결정 특성을 확대한다. 기판의 단결정 특성을 확대하기 위해, 증착된 전구체들은 특정한 격자 사이트에서 기판과 결합할 필요가 있다. 따라서, 에피택셜 증착에서는 전구체의 흐름이 감소하는 것이 매우 바람직한데, 이는 전구체들이 올바른 격자 내에 배열될 충분한 시간을 가질 수 있기 때문이다. 이 공정은 도입되는 전구체들을 희석하기 위한 연속적인 수소 흐름을 포함한다. 이후, SixGeyCz의 에피택셜층을 증착하기 위해, 실리콘 기반 전구체, 게르마늄 기반 전구체 및 탄소 기반 전구체의 일련의 펄스들이 도입된다. 에피택셜막을 증착하기 위해서는, 소량의 전구체가 필요하다. 이는 (수마이크로초 정도의) 짧은 펄스에 의해 달성될 수 있다. 전구체들은 높은 유량의 수소로 더욱 희석될 수 있다. Takahashi는 전구체들의 펄스는 오버랩되지 않음을 개시하지만, 이러한 펄스들의 분리에 대해서는 개시하지 않는다. Takahashi의 펄스 CVD의 목적은 화합물 막을 증착하는 것이다. 따라서, 이러한 전구체들의 분리와는 관련이 없다.
Takahashi에 의해 개시된 펄스 CVD는, SixGeyCz의 에피택셜막을 증착하기 위해, 반도체 디바이스에서의 배선을 위한 트렌치 또는 비아에서와 같이, 평평하지 않은 기판 상에서의 높은 커버리지 또는 컨포멀(conformal)한 막의 증착을 가능하게 하지 않는다. Takahashi의 펄스 CVD의 목적은 Takahashi에 의해 설명되는 바와 같이 충분히 평탄한 표면에 대해 에피택셜막을 증착하는 것으로서, 트렌치 또는 비아 위에서의 증착을 가능하게 하는 것에 대해서는 언급하지 않는다.
ALD는 증착을 위해 화학 증기를 이용하는 CVD의 다른 변형이다. ALD에서는, 교번적인 순서 및 개별적인 순서로 다양한 증기들이 챔버 내에 주입된다. 예를 들어, 제 1 전구체 증기가 기판 위에 흡착될 챔버 내에 전달된다. 이후, 제 1 증기가 턴오프되고 챔버로부터 배출된다. 이후, 다른 전구체 증기가 챔버 내에 전달되어, 기판 위에 흡착된 분자들과 반응하여 원하는 막을 형성한다. 이후, 이러한 제 2 증기는 턴오프되고 챔버로부터 배출된다.
이러한 순서는, 증착되는 막이 원하는 두께에 이를 때 까지 많은 주기 동안 반복된다. ALD 공정의 많은 변형이 있지만, ALD 공정은 모두 2개의 공통적인 특성, 즉 (1) 연속적인 전구체 증기 흐름 및 (2) 주기당 자기 한정적인(self-limiting) 두께의 특성을 공유한다. 연속적인 전구체 흐름 및 배출 특성은 CVD 공정에 일반적으로 관련되는 기체 상태 반응을 제거한다. 주기당 자기 한정적인 두께 특성은 우수한 표면 커버리지를 제공하는바, 이는 막의 총 두께가 전구체 흐름에도 의존하지 않고, 공정 시간에도 의존하지 않기 때문이다. 막의 총 두께는 오로지 주기의 수에 만 의존한다. ALD 공정은 기판 온도에는 민감하지 않다.
ALD 공정의 주기당 최대 두께는 1개의 모노층(monolayer)인데, 이는 기판 표면이 제 1 전구체에 의해 포화되는 자기 한정적인 특징때문이다. 제 1 전구체는 기판 위에 흡착되거나, 또는 기판에서 어떠한 반응을 경험할 수 있지만, 제 1 전구체는 또한 기판 표면을 포화시키고, 표면은 제 1 전구체 리간드(ligand)로 끝난다.
ALD 공정의 쓰루풋은 주기가 얼마나 빠르냐에 달려있다. 따라서, 작은 챔버 체적이 중요하다. 또한, 높은 쓰루풋을 허용하기 위해서는 전구체 밸브(valve)의 빠른 스위칭이 바람직하다. 전형적인 ALD 주기는 수초이다. 따라서, 전구체 펄스는 수초 정도이다. 전구체 고갈 효과는 이러한 짧은 공정 시간에 대해 엄격할 수 있다.
그 명칭이 "Sequential chemical vapor deposition"인 Sherman의 미국 특허 번호 5,916,365호("Sherman")는, 챔버 배출, 기판 위에 제 1 전구체의 흡착, 다른 챔버 배출, 기판 표면 위에 흡착된 전구체와 제 2 래디컬(radical) 전구체의 반응, 및 제 3 챔버 배출의 순서에 의한 연속적인 화학 기상 증착(ALD)을 제공한다. Sherman 공정은 흡착에 의해 주기당 서브 모노층을 생성한다. 공정 주기는 원하는 두께의 막을 성장시키기 위해 반복될 수 있다. Sherman은 제 1 전구체 공정 흐름이 자기 한정적인 ALD 공정을 개시하는바, 이는 비록 공정이 길다고 할지라도, 흡착 두께는 변경될 수 없음을 의미한다. 그 명칭이 "Method for growing thin films"인 Suntola 등의 미국 특허 번호 6,015,590호("Suntola")는 전구체들을 완전히 분리하는 ALD 공정을 개시한다. 개시된 Suntola 공정은 개선된 ALD 공정(Suntola에 의해 원자층 에피택시("ALE")라 불린다)으로서, 여기에서 증착은 기판 표면 상에서의 전구체들의 포화 및 반응물들과의 이후의 반응을 통해 달성된다. Suntola 공정의 장점은 전구체들의 완전한 분리인 바, 교차 반응을 막기 위해 전구체들의 펄스들 간에 99% 이상의 우수한 퍼지가 이루어진다.
그 명칭이 "Radical-associated sequential CVD"인 Sneh의 미국 특허 번호 6,200,893호 및 그의 분할(미국 특허 번호 6,451,695, 미국 특허 번호 6,475,910, 미국 특허 공개 번호 2001/0002280, 미국 특허 공개 번호 2002/0192954, 미국 특허 공개 번호 2002/0197864)은 ALD 증착 방법을 개시한다. Sneh의 일련의 공정은 ALD 공정의 변형이다. Sneh는 제 1 전구체 도입을 위한 증착 단계를 개시하지만, Sneh의 증착은 리간드에 의한 표면 포화때문에 자기 한정적이다. 실제로, 미국 특허 번호 6,475,910호에서, Sneh는 제 1 전구체 도입 단계의 두께를 확장하기 위한 방법을 개시한다. 이는 또한, 제 1 전구체 도입 단계의 두께를 증가시키기 위한, 연속적인 전구체 흐름에 대한 다른 ALD 공정을 개시한다. 일부 양상에 있어서, 이는 ALD 공정의 제 1 전구체 흐름 단계의 두께가 다른 ALD 공정에 의해 증가될 수 있는 네포 루프(nested loop)와 유사하다.
본 발명은, 나노층 증착("NLD")이라 불리는, CVD 및 ALD의 하이브리드 증착 공정을 제공한다. 출원 번호가 09/954,244호이고 출원일이 2001년 9월 10일이며 그 명칭이 "Nanolayer thick film processing system and method"인 본 발명자의 동시 계류중인 출원이 2003년 3월 13일 공개 번호 2003/0049375호로 공개되었다.
본 발명의 일 양상에서, 기판 위에 박막을 증착하는 본 발명의 방법은: a. 비한정적인 증착 공정으로 기판 위에 제 1 층을 증착하기 위해 챔버 내에 제 1 복수의 전구체들을 도입시키는 단계와; b. 제 1 전구체를 퍼지하는 단계와; 그리고 c. 변경 공정으로 상기 증착된 제 1 층을 변경하는 제 2의 다른 복수의 전구체들을 도입시키는 단계를 포함하고, 제 2 복수의 전구체들중 적어도 1개의 전구체는 제 1 복수의 전구체들의 것들과 다르다.
본 발명의 증착 단계는 자기 한정적이 아니며, 기판 온도 및 공정 시간에 의존한다. 이러한 제 1 단계는 제 1 세트의 전구체를 이용하는 CVD 공정과 유사하다. 이후, 제 1 세트의 전구체들은 턴오프되고 공정 챔버로부터 퍼지되며, 제 2 세트의 전구체들이 도입된다. 퍼지 단계의 목적은 2세트의 전구체들 간의 가능한 상호 작용을 피하기 위한 것이다. 따라서, 이러한 퍼지는 존재하는 전구체들을 공정 챔버로부터 배출하기 위한 펌핑 단계에 의해 달성될 수 있다. 펌핑 단계의 특징은 모든 가스 및 증기를 배출시키기 위해 챔버 압력을 감소시키는 것이다. 이러한 퍼지는 또한, 질소 또는 비활성 가스 등의 비 반응 가스를 이용하여 공정 챔버로부터 모든 전구체들을 밀어내는 교환(replacement) 단계에 의해 달성될 수 있다. 이러한 교환 단계는 챔버 압력을 유지하는바, 전구체는 턴오프되고 퍼지 가스가 턴온된다. 펌핑 단계 및 이후의 질소 또는 아르곤 교환 단계 등의 2단계의 결합이 퍼지 단계에 이용될 수 있다.
제 2 세트의 전구체들은 이미 증착된 막의 특성을 변경한다. 제 2 세트의 전구체들은, 예를 들어 막 조성의 변경, 도핑, 또는 증착된 막으로부터 불순물을 제거하는 등과 같이, 증착된 막을 처리할 수 있다. 제 2 세트의 전구체들은 또한 증착된 막 위에 다른 층을 증착할 수 있다. 부가층이 기존층과 반응하여 혼합층을 형성하거나, 또는 최소의 반응에 의해 나노 적층막(nanolaminate film)을 형성할 수 있다. 바람직한 일 실시예에서, 증착 단계는 바람직하게는, 에피택셜막에서의 정렬된 막 증착과 대조적으로, 무질서한(disordered) 막 증착이다. 무질서한 막 증착에 대한 증착 조건은, 증착되는 막들의 순서와 관련하여 특별한 고려 사항이 보다 적어지고 초기의 표면 준비가 보다 적어지게 됨에 따라, 훨씬 더 간단하게 달성할 수 있다. 정렬된 막 증착에 있어서는, 에피택셜막 증착처럼, 전형적으로 소량의 전구체가 이용됨으로써, 전구체들이 표면 위에 그들 자신을 배열할 수 있는 충분한 시간을 허용하여, 결정막을 형성한다. 이러한 목적을 위해서는, 에피택셜 막 증착에 대해 펄스 CVD가 상당히 적합하다. 에피택셜 증착은 또한, 특히 증착된 막과 기판의 유사하지 않은 격자 구조에 대해, 연속적인 격자 성장을 보장하기 위한 버퍼층을 필요로 한다.
막을 증착하기 위한 본 발명의 NLD 방법은, 연속적인 공정 및 제 2 세트의 전구체들의 도입을 갖는 CVD 방법과 현저하게 다르다. 본 NLD 방법은 퍼지 단계 및 제 2 세트의 전구체들의 도입을 갖는 펄스 또는 연속 CVD와 다르다. 주기적인 연속 공정에서 제 1 전구체들을 퍼지한 이후에 제 2 세트의 전구체들을 도입하게 되면, CVD와, 펄스 및 연속 CVD 방법에서는 가능하지 않은 방식으로, 증착된 막을 변경할 수 있다.
증착된 막의 기울기 등의 조성을 변경하기 위해 전구체들의 펄스화를 이용하는 펄스 CVD 공정은, 증착된 막의 특성을 변경하는 데에 제 2 세트의 전구체들이 없기 때문에 본 발명의 NLD 공정과 다르다.
보다 매끄러운 표면 등의 증착된 막 특성을 변경하기 위해 플라즈마 전구체들의 존재하에서 증착 전구체들의 펄스화를 이용하는 펄스 CVD 공정은, 펄스들 간에 퍼지 단계가 없기 때문에, 그리고 플라즈마 전구체들이 증착 시간 전체에 걸쳐서 존재하기 때문에, 본 발명의 NLD 공정과 다르다. 이러한 펄스 CVD 공정은 연속적인 플라즈마 전구체들 및 증착 전구체들의 혼합을 가능하게 한다. 대조적으로, NLD 공정은, 상호 오염을 피하고, 가능한 기상 반응을 피하며, 그리고 서로 다른 공정에 대해 공정 챔버를 준비하기 위해 2 세트의 전구체들 간에 퍼지 단계를 제공한다. 예를 들어, 퍼지 단계는, 증기의 존재하에서는 플라즈마가 충돌하기가 어렵기 때문에, 플라즈마를 턴온하기 전에, 금속-유기 화학 기상 증착("MOVCD") 전구체 등의 전구체를 제거한다.
보다 매끄러운 막, 다른 증착 속도, 증착된 막에 대한 보다 적은 손상 등의, 증착된 막의 특성을 변경하기 위해 플라즈마 에너지의 펄스화를 이용하는 펄스 CVD 공정은, 증착된 막의 특성을 변경하기 위한 제 2 세트의 전구체들이 없기 때문에 본 발명의 NLD 공정과 다르다. 이는 또한 펄스들 간에 퍼지 단계가 없다. 펄스 특성은 정상 상태 대신 과도 상태를 통해 증착 필름의 최적화를 제공하기 때문에, 증착된 막의 특성을 변경하기 위해 제 2 세트의 전구체들을 이용하는 본 발명의 NLD 방법과 상당히 다르다.
에피택셜 막을 형성하기 위해 증착 전구체들의 펄스화를 이용하는 펄스 CVD 공정은, 전구체 펄스들 간에 퍼지 단계가 없기 때문에 본 발명의 NLD 공정과 다르다. 퍼지 단계의 분리 효과로 인해, 퍼지 단계는 양립할 수 없는 전구체들을 이용할 수 있게 한다. 펄스 CVD와 NLD 간의 차이는 또는 2개의 방법의 개념적인 목적을 포함한다. 펄스 CVD의 목적은 적절한 전구체 세트 및 원하는 막을 증착하기 위한 조건을 이용하는 것이고, NLD의 목적은 막, 심지어는 원치않는 막을 증착하고, 이러한 원치않는 막을 원하는 막으로 변환하기 위해 변경 및 처리 단계를 제공하는 것이다. CVD 또는 펄스 CVD에서 처럼, 원하는 모든 특성을 갖는 막을 증착하는 방법을 찾는 다기 보다, NLD는 대신에 기존의 막을 처리 또는 변경하여 원하는 특성을 갖는 막을 달성하는 방법을 찾는다.
또한, 보다 두꺼운 두께에 대해 기존의 막을 처리 및 변경하는 것이 어렵다는 것을 인식하여, NLD는 증착 및 처리 또는 변경의 주기적인 공정을 제공하며, 결과적으로 처리 공정은 매우 얇은 막에 대해 수행되어, 보다 두꺼운 막을 달성한다.
막을 증착하는 본 NLD 방법은 또한, NLD가 자기 한정적이지 않은 증착을 이용하기 때문에 ALD 방법과 현저하게 다르다. 본 발명의 NLD 방법의 증착 단계는 기판 온도 및 공정 시간에 의존한다. 대조적으로, ALD 방법의 증착/흡착 단계는 기판 표면 위의 전구체 리간드의 포화에 기초하는 자기 한정적인 단계이다. 일단 기판이 포화되면, ALD 방법의 증착/흡착은 중지하고, 어떠한 과잉의 전구체 증기도 포화된 표면에 대해 그 이상의 어떠한 영향도 나타내지 않는다. 다시 말해, ALD 방법의 증착/흡착 단계는 포화에 이른 후에는 시간에 독립적이다. ALD 방법은 또한 CVD 또는 NLD 방법 보다 기판 온도에 덜 의존한다. 따라서, 본 발명의 NLD 방법은 ALD 방법과 많은 면에서 다르다.
본 발명의 다른 양상에서, 증착 방법은 단계 (c) 이후에 마지막 퍼지 단계를 더 포함한다. 이전의 퍼지 단계와 유사하게, 마지막 퍼지 단계는 배출, 교환, 또는 어떠한 결합에 의해 공정 챔버로부터 제 2 세트의 전구체들을 제거하기 위한 것이다. 많은 응용에서, 처리 단계는 박막 만을 처리할 수 있거나, 또는 처리 단계는 박막 만을 처리하는 경우 훨씬 더 효과적이다. 따라서, 본 발명은 원하는 두께에 이를 때 까지 이전 단계들을 반복하는 추가적인 단계를 더 포함한다. 마지막 퍼지 단계는 선택적인데, 그 이유는 마지막 퍼지 단계의 목적이 2세트의 전구체들 간에 가능한 반응을 막는 것이기 때문이다. 2세트의 전구체들 간에 최소의 반응이 있는 경우에는, 마지막 퍼지 단계를 제거하여, 공정 시간을 보다 짧게 하고 쓰루풋을 보다 높게 할 수 있다.
본 발명은 또한 복수의 다른 세트의 전구체들에 대한 확장을 제공한다. 다른 제 3 세트의 전구체들은, 공정의 복잡성 및 보다 낮은 쓰루풋을 희생하여, 증착된 막의 변경을 강화할 수 있다. 다른 2세트의 전구체들은 다층(multilayer)의 박막 또는 나노 적층막을 생성한다.
본 발명의 NLD 공정은 표준 CVD 공정 챔버 또는 ALD의 작은 체적의 빠른 스위칭 밸브 공정 챔버 등의 어떠한 공정 챔버에서도 수행될 수 있다. 챔버 벽은 원하는 출력에 따라 차가운 벽, 따뜻한 벽 또는 뜨거운 벽이 될 수 있다. 딜리버리 시스템(delivery system)은 균일한 흐름을 제공하기 위한 샤워헤드 딜리버리, 라미너(laminar) 흐름을 제공하기 위한 측벽 인렛(inlet), 또는 순환 딜리버리를 제공하기 위한 샤워링이 될 수 있다. 전구체 딜리버리는 액체 주입이 될 수 있는바, 여기에서는 액체 전구체들이 가열된 기화기(vaporizer)에 전달되어, 공정 챔버에 전달하기 전에 전구체들을 증기 형태로 변환한다. 전구체 딜리버리는, 액체 전구체의 증기가 액체 전구체 컨테이너로부터 끌어당겨지는 증기 드로(vapor draw)가 될 수 있다. 전구체 딜리버리는, 액체 전구체의 증기가 비 반응적인 캐리어 가스의 버블링 특성에 의해 강화되는 버블러(bubbler)에 의해 될 수 있다.
본 발명의 단계들은 열 활성화된 CVD, 병렬 플레이트 플라즈마, 유도 결합 플라즈마("ICP"), 마이크로파 플라즈마, 원격 플라즈마를 이용한 플라즈마 인핸스트 CVD, 램프 가열을 이용한 급속 열 처리 등의 어떠한 CVD 공정 단계가 될 수 있다. 증착 단계 뿐 아니라 처리 단계도 증착된 막의 특성을 변경하기 위한 CVD 증착 단계가 될 수 있다.
처리 단계는 플라즈마 처리 또는 온도 처리가 될 수 있다. 플라즈마 처리는 에너제틱 종(energetic species)이 될 수 있고, 이러한 에너제틱 종에 운동 에너지를 제공하기 위한 바이어스에 의해 더욱 강화될 수 있다. 강한 바이어스는, 이머전 이온 주입 기술(immersion ion implantation technology)에서와 같이, 이온 주입 등의 반응을 생성할 수 있다. 일반적으로, 처리 단계에서의 상당히 에너제틱한 종은 증착된 막의 특성을 변경하는 것을 도울 수 있다. 종의 충격을 이용하여 증착된 막의 거칠기를 개선할 수 있다. 화학 반응을 이용하여, 불순물을 제거하거나 막의 조성을 변경하고, 막의 밀도 등의 물리적인 특성을 변경할 수 있다.
본 발명의 방법은 어떠한 CVD 전구체들 또는 MOCVD 전구체들도 이용할 수 있다. 증착 단계는 제 2 세트의 전구체들에 의해 더욱 강화됨으로써, CVD 방법으로는 어렵거나 불가능한 막의 특성을 허용한다. 전구체들은 열적으로 활성화되고, 플라즈마 활성화되거나, 또는 급속 열 처리("RTP") 활성화될 수 있다. 전구체들은 수소, 질소, 산소, 오존, 비활성 가스, 물, 또는 (NH3, SiH4, NF3 등의) 무기 전구체, (TiCI4 등의) 금속 전구체, 또는 유기 전구체, 또는 (아스테트라키즈디메틸 티타늄(astertrakisdimethyl titanium)("TDMAT"), 테트라키즈디에틸 티타늄(tetrakisdiethyl titanium)("TDEAT"), 테트라키즈 (메틸에틸아미노) 티타늄(tetrakis (methylethylamino) titanium("TMEAT"), 펜타-디메틸-아미노-탄탈륨(penta-dimethyl-amino-tantalum)("PDMAT") 및 펜타키즈 (디에틸아미도) 탄탈륨(pentakis (diethylamido) tantalum)("PDEAT") 등의) 금속 유기 전구체가 될 수 있다.
일반적으로, 본 발명의 공정 온도는 보다 낮은 증착 속도 및 보다 우수한 균일성을 얻기 위해, 유사한 CVD 공정의 온도 보다 낮다. 전형적인 공정 온도는 전체 공정의 열 균형(thermal budget)에 따라 100℃ 내지 1,000℃이다. 반도체 공정의 금속 배선은 공정 온도가 500℃ 미만이 될 것을 요구하고, 새로운 낮은 유전 상수 (로우 k) 인터레벨 유전체 공정은 공정 온도가 400℃ 미만, 또는 심지어 350℃ 미만이 될 것을 요구한다. 디바이스 제조에 있어서, 온도는 최대 600℃ 또는 심지어 800℃까지 높아질 수 있다.
본 발명의 각 단계의 공정 시간은 밀리초에서 다수분까지의 범위 사이이다. 보다 짧은 시간이 바람직하기는 하지만, 너무 짧은 공정 시간은 타이밍 요건 및 구성 요소 요건 등의 많은 신뢰성 문제를 생성할 수 있다. 반도체 제조에 있어서 받아들여지는 전형적인 쓰루풋은 시간당 10 내지 60개의 웨이퍼이다. 막 두께당 약 4 내지 20 주기를 이용하게 되면, 이는 단계당 약 3 내지 90초로 변환된다.
본 발명의 다른 양상은 플라즈마 에너지이다. 높은 종횡비를 갖는 트렌치의 측벽 표면을 처리하기 위해, 플라즈마는 고밀도 및 고압력을 갖는 플라즈마이다. 고밀도 플라즈마는 ICP 또는 마이크로파에 의해 달성될 수 있다. 고밀도 플라즈마는 또한 원격 플라즈마에 의해 달성될 수 있다.
고압력 플라즈마는 리틀 하더(little harder)가 될 수 있다. 고밀도 및 고압력 플라즈마는 많은 대전된 입자 및 중성 입자의 존재로 인한 높은 충돌 손실을 보상하기 위해 챔버 체적 내에서의 높은 에너지를 요구한다. 챔버 체적을 전달되는 전력을 증가시키기 위해, ICP 전력원은 챔버 체적에 가까워야 하고 많은 유도성 세그먼트를 포함한다. 이러한 2개의 요건은 충족하기가 어려운데, 그 이유는 유도성 세그먼트의 수가 증가할수록, 유도성 세그먼트들의 크기로 인해 이 세그먼트들이 챔버 채적으로부터 더 멀리 떨어지기 때문이다. 유도성 세그먼트들은 전형적으로 플라즈마 소스를 위한 코일이고, 많은 전류를 보유하며, 이에 따라 물로 냉각될 필요가 있다. 통상적인 유도성 코일은 물 냉각 흐름을 위한 속이 빈 중심을 갖는 원 또는 정사각형의 단면을 갖는다. 유도성 코일의 권선수의 증가는 전력을 증가시키지만, 연속적인 권선은 챔버로부터 더욱 멀어지기 때문에, 파워 증가는 다소 감소된다. 특정 거리에서, 전력 증가는 더 이상 크지 않다. 우리의 플라즈마 유도성 코일은 혁신적인 설계로서, 리본형 단면을 갖는바, 그 폭은 두께 보다 다수배 더 크다. 2001년 7월 5일 동일 발명자에 의해 출원되었으며 그 명칭이 "Plasma semiconductor processing system and method"인 동시 계류중인 출원 번호 09/898,439호가 발표되었으며 공개되었다(2003년 1월 9일 공개, 공개 번호 2003/0008500 A1).
나선형 리본 유도성 코일의 매우 감소된 두께에 의해, 통상적인 유도성 코일의 5 또는 10mm와 비교하여 1mm 정도에서, 유도성 코일은 챔버 체적에 훨씬 더 가까워지기 때문에, 공정 챔버에 높은 전력을 전달할 수 있게 되어, 측벽 구조 처리를 위한 고밀도, 고압력의 플라즈마를 야기시킨다. 나선형 리본의 열 제거 문제는 통상적인 유도성 코일과 다르다. 이러한 새로운 플라즈마 소스를 이용하게 되면, 10 내지 100 milliTorr의 전형적인 공정 압력과 비교하여, 우리의 공정 챔버 압력은 1000 milliTorr 만큼 높아질 수 있고, 추가적인 개선에 의해 5 Torr에 이를 수 있다. 결과로서, 우리의 공정의 측벽 처리는 매우 우수하며, 그 결과는 측벽 및 상하부 표면에서 100%의 컨포멀리티(conformality)에 가까워진다.
도 1은 종래 기술의 CVD 공정의 흐름도이다.
도 2는 종래 기술의 펄스 CVD 공정의 흐름도이다.
도 3은 종래 기술의 ALD 공정의 흐름도이다.
도 4는 본 발명의 NLD 공정의 흐름도이다.
도 1은 종래 기술의 CVD 공정의 흐름도이다. 단계(10)에서, 공정 챔버에 전구체들이 도입된다. 이후, 단계(11)에서, 전구체들이 기판 표면에서 반응하여, 증착되는 막을 형성한다. 전구체들의 반응에 대한 조건은 플라즈마 에너지, 열 에너지, 광자 에너지, 레이저 에너지를 포함할 수 있다. CVD 공정의 증착 특성은 자기 한정적이지 않은 특징인바, 이는 막 두께가 공정 시간 및 기판 온도에 의해 증가함을 의미한다.
도 2는 종래 기술의 펄스 CVD 공정의 흐름도이다. 단계(20)에서, 공정 챔버에 전구체들이 펄스 형태로 도입된다. 이후, 단계(21)에서, 전구체들이 기판 표면에서 반응하여, 증착되는 막을 형성한다. CVD 공정과 유사하게, 펄스 CVD 공정은 플라즈마 에너지, 열 에너지, 광자 에너지, 레이저 에너지를 포함할 수 있다. 펄스 CVD 공정 조건은 전구체 펄스화, 플라즈마 펄스화, 열 에너지 펄스화, 광자 에너지 펄스화 및 레이저 에너지 펄스화를 포함할 수 있다. 펄스 CVD 공정의 증착 특성은 되풀이되는 CVD 증착 공정이다.
도 3은 종래 기술의 ALD 공정의 흐름도이다. 단계(30)에서, 공정 챔버에 전구체들이 도입된다. 이후, 단계(31)에서, 공정 챔버로부터 전구체들이 퍼지된다. 단계(32)에서, 다른 세트의 전구체들이 공정 챔버에 도입된다. 이후, 단계(33)에서, 이러한 세트의 전구체들이 공정 챔버로부터 퍼지된다. 이러한 퍼지 단계(33)는 선택적이다. 단계(34)에서는, 원하는 두께에 이를 때 까지 상기 순서를 반복한다. ALD 공정의 기본 특성은 단계(31)에서의 전구체들의 포화인 바, 이는 이 단계에서의 전구체들의 증착 또는 흡착이 자기 한정적이고, 공정 온도 및 기판 온도에 민감 하다는 것을 의미한다. 제 2 세트의 전구체들이 도입된 후, 단계(32)에서는 2세트의 전구체들이 반응한다. 퍼지 단계(31)는, 가스 상태 반응을 방지하고 ALD 공정의 표면 반응을 유지하기 위해 2세트의 전구체들을 분리할 것이 요구된다.
도 4는 본 발명의 NLD 공정의 흐름도이다. 단계(40)에서, 전구체들이 공정 챔버에 도입된다. 이후, 단계(41)에서, 공정 챔버로부터 전구체들이 퍼지된다. 단계(42)에서, 다른 세트의 전구체들이 공정 챔버 내에 도입된다. 이후, 단계(43)에서, 상기 다른 세트의 전구체들이 공정 챔버로부터 퍼지된다. 이러한 퍼지 단계(43)는 선택적이다. 단계(44)에서는, 원하는 두께에 이를 때 까지 상기 단계를 반복한다. NLD 공정의 기본 특징은 단계(41)에서의 증착의 자기 한정적이지 않은 특징인 바, 이는 이러한 단계에서의 전구체들의 증착이 공정 시간 및 기판 온도에 의존함을 의미한다. 단계(42)에서, 2세트의 전구체들은 서로 반응하지 않는다. 대신, 제 2 세트의 전구체들은 단계(40) 이후에 생기는 제 1 세트의 전구체들의 생성물과 반응한다. 대개, 퍼지 단계(41)는 기상 상태 반응을 막기 위해 2세트의 전구체들을 분리하는 데에 필요하지만, 모든 경우에 대해 다 필요한 것은 아니다. 왜냐하면, NLD 공정은 서로 작용하는 2세트의 전구체들에 의존하지 않기 때문이다.
막을 증착하는 본 NLD 방법은, 연속적인 공정 및 제 2 세트의 전구체들의 도입이 이루어지는 CVD 방법과 상당히 다르다. 본 NLD 방법은, 퍼지 단계 및 제 2 세트의 전구체들의 도입이 이루어지는 펄스 또는 연속 CVD와 다르다. 2세트의 전구체들을 이용한 주기적인 연속 증착(퍼지 단계가 2세트의 전구체들을 분리한다)에 의해, CVD와 펄스 및 연속 CVD 방법에서는 가능하지 않은 방식으로, 증착된 막의 변경을 가능하게 한다. 하기의 예들은 CVD에 대비하여 NLD의 장점에 대해 설명한다. CVD라는 용어는 펄스 CVD 및 연속 CVD 방법을 모두 말한다.
NLD의 장점의 하나의 예는 증착되는 막의 표면 커버리지 특성이다. 전형적인 CVD 공정은 필름이 증착될 때 까지 높은 온도에서 그리고 연속적으로 이루어진다. CVD 공정의 균일성 및 표면 커버리지는 오로지 최초 기판 표면 및 화학 전구체들의 반응 메커니즘에만 의존한다. 대조적으로, 본 발명의 NLD 방법은 증착 시간 동안 기판 표면 특성을 변경하기 위해 제 2 세트의 전구체들을 제공하여, 기판 표면이 항상 최초 표면과 유사하게 함으로써, 증착 공정 동안 표면 특성의 변경을 막는다. NLD 방법은 증착 시간 동안 기판 표면을 변경하기 위한 추가의 제어가능성을 제공하여, 증착된 막의 표면 커버리지 특성을 개선한다. 제 1 세트의 전구체로서 TEOS 및 산소를 이용하고 제 2 세트의 전구체로서 플라즈마 아르곤 또는 수소 또는 질소를 이용하는 NLD 실리콘 이산화물의 증착은, TEOS/산소 만을 이용하는 CVD 공정 보다 박막에서 보다 우수한 균일성 및 표면 커버리지를 제공한다. 유사하게, 제 1 세트의 전구체로서 시레인/암모니아를 이용하고 제 2 세트의 전구체로서 플라즈마 아르곤 또는 수소 또는 질소를 이용하는 NLD 실리콘 나이트라이드 증착 공정은, 시레인/암모니아 만을 이용하는 CVD 공정 보다 박막에서 보다 우수한 균일성 및 표면 커버리지를 제공한다.
CVD를 능가하는 NLD의 장점의 다른 예는 증착되는 막의 공정 온도이다. CVD 공정 온도는 수락할 수 있는 품질의 막을 제공하도록 하기 위해 반응 메커니즘에 의해 결정된다. CVD 공정에서의 보다 낮은 공정 온도는, 불완전한 반응, 막 성분의 다른 화학량론으로 인해, 불순물 혼합 등의 증착된 막 특성을 변경시킬 수 있다. 대조적으로, 본 발명의 NLD 방법은 CVD 방법 보다 낮은 온도에서 이루어지고, 여전히 수락가능한 품질의 막을 제공하는 바, 그 이유는 증착되는 막을 낮은 온도에서 변경하여 원하는 막 특성을 얻을 수 있기 때문이다. 각 방법에서의 동일한 제 1 세트의 전구체들을 비교할 때에도, CVD에 대해 이러한 동일한 장점이 존재한다. NLD 및 CVD 모두에서의 증착 단계는 기판 온도에 의존하기 때문에, 보다 낮은 기판 온도가 보다 낮은 증착 속도, 및 표면 커버리지 등의 증착되는 막의 보다 우수한 제어 가능성을 제공한다.
다른 예는 증착된 막의 고밀도화(densification)이다. CVD 방법은 완전한 막을 증착하며, 이에 따라 전체 막이 어닐링 등의 처리를 받게 된다. 전체 막은 두껍기 때문에, 어닐링은 긴 시간을 필요로 하고, 어떠한 경우에는, 특정한 확산 제한으로 인해, 열 처리가 증착되는 막의 바닥에 이르지 못하게 할 수 있다. 대조적으로, NLD 방법은 전체 막의 작은 부분을 증착하고 열 처리하는 주기적인 연속 방법을 제공한다. 전체 막은 다수회 증착되는 바, 매번 두께의 단지 일부 만이 증착된다. 두께의 일부는 전체 막 두께에 비해 훨씬 얇기 때문에, 열 처리가 짧고 효과적이다. 주기의 수는 막의 품질 또는 짧은 공정 시간을 최적화하도록 선택될 수 있다.
다른 예는, 탄소 함유 증착 막의 탄소 제거 처리 등과 같은, 증착되는 막의 조성 변경 성능이다. CVD 방법은 특정량의 탄소를 함유하는 완전한 막을 증착하기 때문에, 전체 막에 플라즈마 수소 등의 에너제틱 종이 가해지게 되어 탄소와 반응 함으로써, 증착된 막으로부터 탄소를 제거한다. 두꺼운 막에 이르기 위해서는, 에너제틱 종에 대해 요구되는 에너지가 매우 높고, 많은 경우 비실용적이며, 증착된 막 또는 아래의 기판에 잠재적으로 손상을 야기시킨다. 대조적으로, NLD 방법은 전체 막의 작은 부분에 대해 증착 및 탄소 제거 처리를 하는 주기적인 연속 방법을 제공한다. 처리될 막은 훨씬 얇기 때문에, 그리고 요구하는 바 대로 얇게 선택될 수 있기 때문에, 에너제틱 종의 에너지가 낮아지고 실용성의 범위 내에 있을 수 있게 되어, 탄소를 제거하고, 증착된 막 또는 아래의 기판을 손상시키지 않는다.
다른 예는 NH3와 TDMAT 금속 유기 전구체를 이용하여 TiN을 증착하는 등의, 기체 상태 반응의 회피이다. CVD 방법은, TDMAT가 가스 상태로 NH3와 반응하여 입자들을 생성하고 증착된 막을 거칠게 하기 때문에, 실용적이지 못하다. TDMAT를 이용하여 전체 막을 CVD 증착한 다음 증착된 막에 NH3를 가하는 것은, 전체 막의 두께를 처리하는 데에 있어서 가능하지 않다. 대조적으로, 본 발명의 NLD 방법은 전체 막의 작은 부분의 TDMAT 및 NH3 처리를 이용하여 증착하는 주기적인 연속 방법을 제공한다. 몇 나노미터(1-2nm) 미만의 TDMAT의 증착된 막 두께를 이용하게 되면, NH3의 처리가 효과적이 되는바, 단지 NLD의 주기적인 연속 방법 만이 제공할 수 있다. 유사한 결과가, TDEAT, 티타늄을 유기 금속 전구체들을 위한 TMEAT, PDMAT, 탄탈륨 유기 금속 전구체들을 위한 PDEAT, (구리 헥사플루오로아세틸아세토네이트 트리메틸비닐시레인(copper hexafluoroacetylacetonate trimethylvinylsilane) ("Cu (hfac) TMVS" 등의) 기타 유기 금속 전구체들, N2, H2, Ar, He 또는 NH3의 플라즈마 처리와 함께 (구리 hfac (Ⅰ), 구리 hfac(Ⅱ), 구리 요오드, 구리 염화물, 티타늄 염화물 등의) 무기 전구체들로부터 얻어질 수 있다.
다른 예는, 산소 함유량이 많은 막, 질소 함유량이 많은 막, 옥시-나이트라이드 막 또는 금속 함유량이 많은 막의 증착 등의, 증착되는 막의 특성의 변경이다. 증착된 막에 있어서 산소 등의 어떠한 성분의 함유량을 변화시키기 위해, CVD 방법은 모든 전구체 성분들의 조정을 필요로 한다. 이는, 요소의 혼합이 전구체의 증기 형태에 있어서의 그 존재에 직접적으로 비례하지 않기 때문에 쉬운 작업이 아니다. 종종, 결과적인 막의 성분을 변경하는 것 조차도 가능하지 않는데, 그 이유는 CVD가 화학 반응의 생성물이고, 어떠한 과도한 전구체도 반응에 참여하지 않기 때문이다. 대조적으로, 본 발명의 NLD 방법은 전체 막의 작은 부분을 증착 및 처리하는 주기적인 연속 방법을 제공한다. 처리 단계는 개별적인 단계이며, 원하는 결과를 달성하도록 설계될 수 있다. 산소 함유량이 많은 막을 원하는 경우에는, 플라즈마 산소 또는 오존 흐름 등의 에너제틱한 산소 처리 단계에 의해, 증착된 막에 보다 많은 산소를 혼합할 수 있다. 이러한 혼합은, 증착된 막이 충분히 얇은 경우에만 행해질 수 있는바, 이러한 조건은 CVD에서는 가능하지 않고, 본 발명의 NLD 방법에서만 이용할 수 있다. 질소 함유량이 많은 막을 원하는 경우에는, 플라즈마 질소 또는 암모니아(NH3) 흐름 등의 에너제틱한 질소 처리에 의해, 증착된 막에 보다 많은 질소를 혼합할 수 있다. 옥시-나이트라이드 막을 원하는 경우에는, 에너제 틱한 산소 처리 단계에 의해 증착된 나이트라이드막에 보다 많은 산소를 혼합시키거나, 에너제틱한 질소 처리 단계에 의해 증착된 산화물막에 보다 많은 질소를 혼합할 수 있다.
다른 예는, 예를 들어 구리 도핑된 알루미늄 막, 탄소 도핑된 실리콘 이산화물 막, 또는 불소 도핑된 실리콘 이산화물 막 등과 같이, 증착된 막의 특성을 변경하기 위해 불순물을 혼합하는 것이다. 예를 들어, 순수한 알루미늄의 전자 이동 저항은 불충분하지만, 이러한 저항은 전형적으로 몇 퍼센트 미만의 소량의 구리를 혼합함으로써 훨씬 개선될 수 있다. 구리를 혼합하기 위해, CVD 방법은 원하는 혼합물을 증착할 수 있는 구리 및 알루미늄의 양립할 수 있는 전구체들을 이용해야 한다. 대조적으로, NLD 방법은 약간의 알루미늄 막을 증착하고 처리 순서 동안 상기 약간의 막 내에 구리를 혼합시키는 주기적인 연속 방법을 제공한다. 증착은 알루미늄 전구체들을 이용하고 처리는 구리 전구체들을 이용하며, 그리고 이러한 전구체들은 공정 챔버 내에 개별적으로 그리고 연속적으로 도입되기 때문에, 알루미늄 및 구리 전구체들은 양립성일 필요가 없다.
다른 예는 다층 막 또는 나노 적층 막의 증착이다. 나노 적층 막은 다층 막이지만, 서로 다른 층들은 매우 얇을 수 있고, 종종 완전한 층들이 아니며, 종종 심지어 모노층 보다 적을 수 있다. CVD 방법은, 다수의 공정 챔버, 및 오염 및 불순물을 초래하지 않으면서 이러한 챔버 간에 기판을 이동시킬 수 있는 능력을 필요로 하기 때문에 실용적이지 못하다. 대조적으로, NLD 방법은 제 1 층 막을 증착한 다음, 처리 순서 동안 제 2 층 막을 증착하는 주기적인 연속 방법을 제공한다. 제 1 층은 모노층의 일부 만큼 얇거나, 또는 수 나노미터 등과 같이 원하는 대로 두껍게 될 수 있다.
NLD 방법은 또한 자기 한정적이지 않은 증착 단계를 갖는 ALD 방법과 상당히 다르다. 본 발명의 NLD 방법의 증착 단계는 기판 온도 및 공정 시간에 의존한다. ALD 방법의 증착/흡착 단계는 기판 표면 상의 전구체 리간드의 포화에 기초하는 자기 한정적인 단계이다. 일단 표면이 포화되면, ALD 방법의 증착/흡착은 정지하고, 어떠한 과잉의 전구체 증기도 포화된 표면에 대해 그 이상의 어떠한 영향도 미치지 않는다. 다시 말해, ALD 방법의 증착/흡착 단계는 포화에 이른 후에는 시간에 독립적이다. ALD 방법은 또한 CVD 또는 NLD 방법 보다 기판 온도에 덜 의존한다. 따라서, 본 발명의 NLD 방법은 ALD 방법과 여러면에서 다르다.
다른 예는, 본 발명의 NLD 방법의 자기 한정적이지 않은 특성에 의해, NLD 방법은, CVD 전구체들을 이용할 수 없는 ALD 방법과 달리 CVD 방법의 전구체들을 공유할 수 있다는 것이다. 본 발명의 NLD 방법의 증착 단계는, 보다 낮은 온도의 가능한 예외를 갖는 상태로, CVD 방법의 증착 단계와 유사하다. 따라서, NLD 방법은 새롭게 개발된 금속 유기 전구체들 또는 유기 금속 전구체들(MOCVD 전구체들)을 포함하여, CVD 방법의 모든 전구체들을 이용할 수 있다. 대조적으로, ALD의 전구체 요건은, ALD와 NLD의 증착 메커니즘에 있어서의 차이때문에 다르다. ALD 전구체들은, 모노층에 이르기까지 전구체들이 기판 위에 흡착될 수 있도록 자기 한정적인 효과를 가져야 한다. 이러한 자기 한정적인 효과때문에, 단지 1개의 모노층 또는 서브 모노층만이 주기 마다 증착되고, 과잉의 전구체 또는 부가적인 시간이 공급된 다고 할지라도, 성장된 층 위에는 부가적인 전구체가 증착되지 않는다. ALD 전구체들은 증착된 표면 위의 결합 사이트에 자기 한정적인 방식으로 용이하게 흡착되어야 한다. 일단 흡착되면, 전구체는 반응물과 반응하여 원하는 막을 형성해야 한다. 이러한 요건들은, 전구체들이 기판에 함께 도달하고, 기판 표면에서의 전구체들의 반응로부터 막이 연속적으로 증착되는 CVD와 다르다. 따라서, 많은 유용한 CVD 전구체들은 ALD 전구체들로서 존립이 불가능하며, ALD 전구체들 또한 CVD 전구체들서 존립이 불가능하다. 그리고, ALD 방법에 대한 전구체를 선택하는 것은 하찮거나 명백하지 않다.
NLD 방법의 장점의 다른 예는, 플라즈마 기술 및 급속 열 처리 기술 등의 향상된 CVD 기술을 용이하게 포함시킬 수 있다는 것이다. CVD와 전구체들을 공유함으로써, NLD 방법 또한 많은 변형없이 CVD의 모든 진보를 공유할 수 있다. NLD의 플라즈마 증착 단계는 신속하게 설계 및 테스트될 수 있는데, 그 이유는 CVD 방법의 지식을 이용할 수 있기 때문이다.
다른 예는 기판 표면의 준비이다. 이는 NLD와 ALD의 서로 다른 증착 메커니즘의 결과이다. ALD에서, 기판 및 기판 준비는 매우 중요하고 증착 공정의 일부인데, 이는 서로 다른 표면 및 표면 준비가 서로 다른 막 품질 및 특성을 이끌기 때문이다. 대조적으로, NLD에서, 기본 메커니즘은, 전구체 반응 및 공급 에너지에만 의존하고 기판 표면에는 거의 의존하지 않는 증착 단계이기 때문에, 유사한 증착 공정이 서로 다른 표면 준비 또는 서로 다른 표면에 대해 일어난다. 기판 표면에 대한 NLD의 유일한 의존은 핵형성(nucleation) 시간인데, 그 이유는 서로 다른 표 면은 전구체들이 핵을 이루고 도핑을 시작하는 데에 서로 다른 시간을 갖기 때문이다. 실험실에서 이러한 특성은, 서로 다른 기판, 즉 실리콘 이산화물 기판, 유기 폴리머 기판 및 다공성 유전체 기판 위에 NLD 공정을 이용하여 TiN을 증착할 때에 관찰되었다. 이러한 서로 다른 기판 위의 TiN 막은 유사한 막 품질 및 특성을 갖지만, 서로다른 기판에서의 핵형성 시간의 차이로 인해 단지 두께에만 차이가 있다. 에피택셜 막의 증착은 또한, 증착되는 원자들의 제 1 층이 기판 결정으로부터 정렬된 구성으로 또는 에피택셜하게 성장할 수 있도록 기판의 철저한 준비를 필요로 한다. 비 에피택셜 막의 NLD 공정에 의해, 비아 및 트렌치 위에서의 박막의 상당히 균일한 커버리지 또는 컨포멀한 증착, 특히 반도체 디바이스에 있어서 높은 종횡비의 구조를 가능하게 한다.
다른 예는 NLD에서 MOCVD 전구체들을 이용할 수 있는 능력이다. MOCVD 전구체들은 그의 유기 함유량으로 인해 상당량의 탄소를 함유한다. 본 발명의 NLD 공정은 MOCVD 전구체들을 이용한 증착 단계 및 증착 단계 동안 뒤에 남겨진 어떠한 탄소를 제거하기 위한 처리 단계로 인해 MOCVD 전구체들을 용이하게 이용한다. 효과적인 탄소 제거 단계는 플라즈마 수소 또는 질소 등과 같은 에너제틱한 수소 또는 질소의 도입이다. 대조적으로, ALD 방법에서의 MOCVD 전구체들의 이용은 상당한 연구를 요구하고, 우리가 알고 있는 바로는 지금까지, MOCVD 전구체들을 이용하여 상업적으로 성공한 어떠한 ALD 공정도 없었다.
다른 예는, 본 발명의 NLD 방법의 자기 한정적이지 않은 특징에 의해, NLD 방법이 증착 단계 또는 처리 단계 또는 둘 모두의 두께를 조정함으로써, 주기당 보 다 큰 두께를 달성할 수 있다는 것이다. ALD 방법은 기판 표면 상에서의 리간드의 포화에 기초하기 때문에, 주기당 두께가 고정되어, 변경될 수 없다. 대조적으로, 본 발명의 NLD 방법의 주기당 두께는 공정 온도 및 공정 시간에 의존한다. NLD 공정에 대한 최적의 두께는 주기당 가장 큰 두께이며, 처리 단계 동안 여전히 처리될 수 있다. NLD 공정은 TDMAT 전구체를 이용하여 TiN을 증착하고, 플라즈마 질소 처리는 어디에서든지 1 나노미터 미만으로부터 수 나노미터까지의 주기당 두께를 가질 수 있다. 주기당 두께를 변화시킬 수 있는 능력에 의해, NLD 공정은 동일한 총 막 두께에 대해 보다 적은 주기를 이용할 수 있게 되어, ALD 공정 보다 공정 시간을 보다 빠르게 하고 보다 높은 쓰루풋을 제공한다.
다른 예는, 본 발명의 NLD의 자기 한정적이지 않은 특징에 의해, NLD 방법이 증착된 막의 중간에서 약간 더 두껍거나 또는 얇은 층과 같이 결과적인 막의 개별적인 두께를 변화시킬 수 있다는 것인 바, 이는 ALD 방법에서는 가능하지 않다. 어떠한 응용은 막의 품질이 인터페이스에 대해 중요하지 않은 두꺼운 막을 요구하는바, 이 막의 중심 부분은 쓰루풋을 증가시키기 위해 주기당 매우 큰 두께로 증착될 수 있도록 하면서, 증착의 시작 및 끝은 높은 품질 인터페이스의 요건을 충족시키기 위해 주기당 훨씬 더 얇게 될 수 있다. 이러한 특징은, 모든 주기들이 주기당 동일한 두께를 갖는 ALD 공정에서는 가능하지 않다.
다른 예는 증착되는 막의 공정 온도이다. ALD 공정 온도는 전구체들의 리간드들 간의 화학 반응에 의해 대부분 고정되기 때문에, ALD 방법은 기판 온도에 민감하지 않다. 대조적으로, 본 발명의 NLD 방법은 증착 특성을 제공하기 위해 ALD 보다 약간 더 높은 온도에서 이루어질 수 있는 바, 이는 공정이 공정 온도 및 시간에 의존함을 의미한다. 또한, NLD 공정은 주기당 보다 큰 두께를 제공하기 위해 훨씬 더 높은 온도에서 이루어질 수 있다. NLD 공정의 주기당 두께의 변화는 기판 온도를 변경함으로써 달성될 수 있는 바, 보다 높은 온도는 높은 증착 속도를 야기시킴으로써, 주기당 보다 큰 두께에 이르게 한다. 기판 온도의 변경은 아마도, 빠른 응답 시간을 위해 복사 열 전송을 이용하는 급속 열 처리에 의해 최상으로 달성될 것이다. 저항성 가열 기판은 기준 온도(baseline temperature)를 제공할 수 있고, 램프 가열은 주기당 보다 큰 두께에 필요한 온도 증가를 제공한다.
다른 예는 본 발명의 NLD 방법에서의 증착과 처리 사이에 퍼지 단계를 갖는 것이 필수적이 아니라는 것인데, 이는 양쪽 단계의 전구체들이 양립할 수 있기 때문이다. 대조적으로, ALD 방법은 기판 표면에서의 계획된 반응때문에 이러한 2 단계 사이에 퍼지 단계를 필요로 한다. NLD 방법의 퍼지 단계는, 2세트의 전구체들의 비양립성이 잠재적인 손상을 야기시킬 수 있는 주기적인 연속 증착 방식에서 전체적으로 도움을 준다. 2세트의 전구체들이 양립성이 드문 경우에 있어서, 퍼지 단계는 중요하지 않고, 쓰루풋을 개선하기 위해 감소 또는 제거될 수 있다.
다른 예는 표면 커버리지의 제어가능성이다. ALD 방법은 우수한 컨포멀리티 및 표면 커버리지를 갖는 바, 이는 이러한 방법이 임의의 구성에 대해, 그에 대한 경로(pathway)가 있는한, 이론적인 완벽한 커버리지를 제공할 것임을 의미한다. 하지만, ALD는 이러한 특징을 턴오프할 수 없는 바, 이는 우수한 표면 커버리지가 ALD 방법의 특징임을 의미한다. 대조적으로, 본 발명의 NLD에서, 표면 커버리지 특 징은 변경될 수 있다. 일반적으로, NLD에서의 증착 단계는 CVD에 기초하기 때문에, NLD에서 주기당 두께가 보다 얇아질 수록, 표면 커버리지가 보다 우수해진다. 이러한 제어 정도는 NLD에게 다공성 기판에서는 기대될 수 없는 장점을 제공한다. 개방된 공극(open-pored)의 다공성 기판 상에서의 ALD 증착은 모든 공극들을 통해 이동하여 어느 곳에나 증착함으로써, 증착되는 막이 도전성인 경우에는 잠재적으로 단락을 일으킨다. 대조적으로, NLD 방법은 증착 주기의 처음에 매우 높은 증착 속도를 가하여, 높은 품질의 박막의 증착을 시작하기 전에 열린 공극들을 효과적으로 막을 수 있다. 표면 커버리지 특징을 턴오프시킴으로써, 다공성 물질 내로의 NLD의 투과 정도가 ALD 방법 보다 상당히 적어지게 된다. 이러한 방식을 이용하여, 다공성 기판 내에 증착된 막이 덜 투과됨을 증명하였다. 추가적인 최적화에 의해, 어떠한 투과도 없게 하는 것이 가능하게 되리라고 믿는다.
NLD 장점의 다른 예는 공정 챔버의 설계의 유연성이다. ALD의 쓰루풋은 ALD 방법의 주기당 두께에 무관한 특성으로 인해 주기 시간에 의해 결정된다. 따라서, ALD의 챔버 설계는 만족스러운 쓰루풋을 달성하는 데에 있어서 상당히 중요하다. ALD 쓰루풋은, 전구체들의 빠른 포화 및 빠른 제거를 확실하게 하기 위한 작은 챔버 체적, 전구체 온-오프의 신속한 응답 시간을 확실하게 하기 위한 빠른 스위칭 밸브, 전구체의 비 고갈 효과를 확실하게 하기 위한 균일한 전구체 딜리버리 등의 챔버 설계의 많은 문제에 크게 의존한다. ALD의 빠른 응답 시간 요건은 또한, 퍼지 단계, 전구체 흐름의 동기화 등의 타이밍 요건에 대한 제약을 부과한다. 대조적으로, 본 발명의 NLD 방법에 있어서, 주기당 보다 큰 두께의 잠재적인 특징때문에 챔 버 설계 문제는 중요하지 않게 되어, 주기의 수를 보다 적게 하고, 쓰루풋을 보다 높인다. 따라서, 큰 체적, 느린 밸브 응답 시간을 갖는 통상적인 CVD 챔버가 NLD 공정을 수행하기에 적절하다. NLD 공정은 ALD의 챔버 설계로부터 이득을 얻을 수 있지만, NLD는 챔버 설계의 단순함에 대해 얼마간의 쓰루풋을 거래할 수 있는 유연성을 갖는 바, 이는 어떠한 챔버 설계 고려 사항도 없는 NLD의 쓰루풋이 많은 응용에 대해 적절하기 때문이다. 챔버 설계에 있어서의 유연성의 장점은 NLD 공정에 고밀도의 플라즈마를 쉽게 결합할 수 있다는 것이다. 고밀도의 플라즈마 설계는 큰 충돌로 인한 충전 입자와 중성 입자의 에너지를 균등하게 하기 위해 큰 챔버 체적을 필요로 하며, 이러한 요건의 제약은 ALD 공정의 작은 챔버 체적 요건에 모순되지만, NLD 공정에서는 받아들여질 수 있다.

Claims (29)

  1. a. 자기 한정적이지 않은 증착 공정으로 기판 위에 제 1 층을 증착하기 위해 챔버 내에 제 1 복수의 전구체들을 도입시키는 단계와;
    b. 상기 제 1 전구체들을 퍼지하는 단계와; 그리고
    c. 변경 공정으로 상기 증착된 제 1 층을 변경하는 제 2 복수의 다른 전구체들을 도입시키는 단계를 포함하고, 제 2 복수의 전구체들중 적어도 1개의 전구체는 상기 제 1 복수의 전구체들과 다른 것을 특징으로 하는 박막 증착 방법.
  2. 제 1 항에 있어서,
    d. 상기 (c) 단계 이후 상기 제 2 전구체들을 퍼지하는 단계를 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  3. 제 1 항에 있어서,
    원하는 막 두께에 이를 때 까지 복수의 상기 단계들 (a)-(c)를 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  4. 제 2 항에 있어서,
    원하는 막 두께에 이를 때 까지 복수의 상기 단계들 (a)-(d)를 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  5. 제 1 항에 있어서,
    상기 단계 (a)는 상기 증착 공정에 플라즈마 에너지를 가하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  6. 제 1 항에 있어서,
    상기 단계 (a)는 상기 증착 공정에 열 에너지를 가하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  7. 제 1 항에 있어서,
    상기 단계 (c)는 상기 변경 공정에 플라즈마 에너지를 가하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  8. 제 1 항에 있어서,
    상기 단계 (c)는 상기 변경 공정에 고밀도의 플라즈마 에너지를 가하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  9. 제 1 항에 있어서,
    상기 단계 (c)는 상기 변경 공정에 0.1 내지 5 Torr의 고압력의 플라즈마 에너지를 가하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  10. 제 1 항에 있어서,
    상기 단계 (c)는 상기 변경 공정에 열 에너지를 가하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  11. 제 1 항에 있어서,
    상기 단계 (c)는 상기 변경 공정에 바이어스 전압을 인가하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  12. 제 1 항에 있어서,
    상기 방법은 상기 단계 (a)에서 CVD 전구체들로 이루어지는 그룹으로부터 상기 제 1의 복수의 전구체들을 선택하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  13. 제 1 항에 있어서,
    상기 방법은 상기 단계 (a)에서 MOCVD 전구체들로 이루어지는 그룹으로부터 상기 제 1 복수의 전구체들을 선택하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  14. 제 1 항에 있어서,
    상기 방법은 상기 단계 (c)에서 질소, 산소, 수소, 암모니아, NF3, 시레인, 오존 및 아르곤으로 이루어지는 그룹으로부터 상기 제 2 복수의 전구체들을 선택하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  15. 제 1 항에 있어서,
    상기 방법은 상기 단계 (c)에서 CVD 전구체들로 이루어지는 그룹으로부터 상기 제 2의 복수의 전구체들을 선택하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  16. 제 1 항에 있어서,
    상기 방법은 상기 단계 (c)에서 MOCVD 전구체들로 이루어지는 그룹으로부터 상기 제 2의 복수의 전구체들을 선택하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  17. 제 1 항에 있어서,
    상기 방법은 비 에피택셜 증착되는 박막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  18. 박막 공정을 위한 방법으로서,
    자기 한정적인 표면 흡착없이, 변경된 박막을 형성하는 단계를 포함하는 것을 특징으로 하는 박막 공정을 위한 방법.
  19. 박막을 증착하기 위한 방법으로서,
    제 1 층에 제 1 복수의 전구체들의 종을 증착하는 단계와;
    상기 전구체들을 퍼지하는 단계와; 그리고
    상기 제 1 층 상부의 적어도 하나의 후속층에 제 2 복수의 전구체들의 종을 증착하는 단계를 포함하고,
    상기 제 2 복수의 전구체들의 종은 상기 제 1 복수의 전구체들의 종과 다른 적어도 1개의 전구체를 갖는 것을 특징으로 하는 박막을 증착하기 위한 방법.
  20. 박막을 증착하기 위한 방법으로서,
    온도에 민감하고 시간에 의존하는 자기 한정적이지 않은 증착 공정을 적용하는 단계와; 그리고
    증착된 층을 후속 증착에 의해 변경하는 단계를 포함하는 것을 특징으로 하는 박막을 증착하기 위한 방법.
  21. 박막을 증착하기 위한 증착 방법으로서,
    a. 자기 한정적이지 않은 증착 공정으로 기판 위에 제 1 층을 증착하기 위해 챔버 내에 제 1 복수의 전구체들을 도입시키는 단계와;
    b. 상기 챔버로부터의 상기 제 1 복수의 전구체들을 비반응 가스로 대치하는 단계와; 그리고
    c. 상기 증착된 제 1 층의 특성을 변경하는 제 2 복수의 다른 전구체들을 도입시키는 단계를 포함하고, 상기 제 2 복수의 전구체들중 적어도 1개의 전구체는 상기 제 1 복수의 전구체들과 다른 것을 특징으로 하는 박막을 증착하기 위한 증착 방법.
  22. 제 21 항에 있어서,
    상기 방법은 상기 챔버로부터 상기 제 1 복수의 전구체들을 퍼지하는 것과 상기 단계 (b)를 결합하는 것을 더 포함하는 것을 특징으로 하는 박막을 증착하기 위한 증착 방법.
  23. 박막을 증착하기 위한 방법으로서,
    증착된 층들을 후속하는 증착층들에 의해 변경하는 단계를 포함하는 것을 특징으로 하는 박막을 증착하기 위한 방법.
  24. 제 1 항에 있어서,
    상기 도입 단계 (a) 및 (c)는 액체 전구체들에 대해 액체 주입을 이용하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  25. 제 1 항에 있어서,
    상기 도입 단계(a) 및 (c)는 액체 전구체들에 대해 증기 드로를 이용하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  26. 제 1 항에 있어서,
    상기 도입 단계 (a) 및 (c)는 액체 전구체들에 대해 버블러를 이용하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  27. 제 1 항에 있어서,
    상기 단계 (a)는 상기 증착 공정에 광 에너지를 인가하는 것을 더 포함하는 것을 특징으로 하는 박막 증착 방법.
  28. 층을 증착하기 위한 증착 방법으로서,
    a, 자기 한정적이지 않은 증착 공정으로 기판 위에 제 1 층을 증착하기 위해 제 1 복수의 전구체들을 챔버 내에 도입시키는 단계와;
    b. 상기 제 1 전구체들을 퍼지하는 단계와; 그리고
    c. 상기 증착된 제 1 층을 변경 공정으로 변경하는 제 2 복수의 다른 전구체들을 도입시키는 단계를 포함하고, 상기 제 2 복수의 전구체들중 적어도 1개의 전구체는 상기 제 1 복수의 전구체들과 다른 것을 특징으로 하는 층을 증착하기 위한 증착 방법.
  29. 박막을 증착하기 위한 증착 방법으로서,
    a. 자기 한정적이지 않은 증착 공정으로 기판 위에 제 1 층을 증착하기 위해 제 1 복수의 전구체들을 챔버 내에 도입시키는 단계와;
    b. 상기 챔버의 압력을 유지하면서, 상기 챔버로부터의 상기 제 1 복수의 전구체들을 비반응 가스로 대치하는 단계와;
    상기 증착된 제 1 층의 특징을 변경하는 제 2 복수의 다른 전구체들을 도입시키는 단계와, 여기서 상기 제 2 복수의 전구체들중 적어도 1개의 전구체는 상기 제 1 복수의 전구체들과 다르며; 그리고
    d. 상기 챔버로부터 상기 제 2 복수의 전구체들을 퍼지하는 단계를 포함하는 것을 특징으로 하는 박막을 증착하기 위한 증착 방법.
KR1020057014408A 2003-02-04 2004-02-04 나노층 증착 공정 KR101238429B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/360,135 2003-02-04
US10/360,135 US7713592B2 (en) 2003-02-04 2003-02-04 Nanolayer deposition process
PCT/US2004/003349 WO2004070074A2 (en) 2003-02-04 2004-02-04 Nanolayer deposition process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR20127018629A Division KR101483737B1 (ko) 2003-02-04 2004-02-04 나노층 증착 공정

Publications (2)

Publication Number Publication Date
KR20060056883A true KR20060056883A (ko) 2006-05-25
KR101238429B1 KR101238429B1 (ko) 2013-02-28

Family

ID=32771368

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020057014408A KR101238429B1 (ko) 2003-02-04 2004-02-04 나노층 증착 공정
KR20127018629A KR101483737B1 (ko) 2003-02-04 2004-02-04 나노층 증착 공정
KR1020137023126A KR101448186B1 (ko) 2003-02-04 2004-02-04 나노층 증착 공정

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR20127018629A KR101483737B1 (ko) 2003-02-04 2004-02-04 나노층 증착 공정
KR1020137023126A KR101448186B1 (ko) 2003-02-04 2004-02-04 나노층 증착 공정

Country Status (6)

Country Link
US (4) US7713592B2 (ko)
EP (1) EP1601812A2 (ko)
JP (1) JP5101880B2 (ko)
KR (3) KR101238429B1 (ko)
CN (1) CN1768158A (ko)
WO (1) WO2004070074A2 (ko)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130030739A (ko) * 2011-09-19 2013-03-27 에이에스엠 인터내셔널 엔.브이. 복합막을 위한 나노층 퇴적 공정
KR20130049752A (ko) * 2011-11-04 2013-05-14 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
KR20180062442A (ko) * 2010-03-25 2018-06-08 노벨러스 시스템즈, 인코포레이티드 필름 스택의 인-시츄 증착
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (399)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US20060014384A1 (en) * 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US9121098B2 (en) * 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US20050089638A1 (en) * 2003-09-16 2005-04-28 Koila, Inc. Nano-material thermal and electrical contact system
KR100622639B1 (ko) * 2003-11-13 2006-09-18 매그나칩 반도체 유한회사 반도체 소자의 제조 방법
US8414718B2 (en) * 2004-01-14 2013-04-09 Lockheed Martin Corporation Energetic material composition
KR100591762B1 (ko) * 2004-01-19 2006-06-22 삼성전자주식회사 증착 장치 및 증착 방법
US20050214456A1 (en) * 2004-03-29 2005-09-29 Donghul Lu Enhanced dielectric layers using sequential deposition
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7790003B2 (en) * 2004-10-12 2010-09-07 Southwest Research Institute Method for magnetron sputter deposition
US7592051B2 (en) * 2005-02-09 2009-09-22 Southwest Research Institute Nanostructured low-Cr Cu-Cr coatings for high temperature oxidation resistance
US7829157B2 (en) * 2006-04-07 2010-11-09 Lockheed Martin Corporation Methods of making multilayered, hydrogen-containing thermite structures
WO2007140455A2 (en) * 2006-05-31 2007-12-06 Tegal Corporation System and method for semiconductor processing
US7886668B2 (en) * 2006-06-06 2011-02-15 Lockheed Martin Corporation Metal matrix composite energetic structures
US8250985B2 (en) 2006-06-06 2012-08-28 Lockheed Martin Corporation Structural metallic binders for reactive fragmentation weapons
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7799377B2 (en) * 2006-12-07 2010-09-21 Electronics And Telecommunications Research Institute Organic/inorganic thin film deposition method
US9162931B1 (en) 2007-05-09 2015-10-20 The United States Of America As Represented By The Secretary Of The Air Force Tailored interfaces between two dissimilar nano-materials and method of manufacture
US9120245B1 (en) 2007-05-09 2015-09-01 The United States Of America As Represented By The Secretary Of The Air Force Methods for fabrication of parts from bulk low-cost interface-defined nanolaminated materials
US8617456B1 (en) 2010-03-22 2013-12-31 The United States Of America As Represented By The Secretary Of The Air Force Bulk low-cost interface-defined laminated materials and their method of fabrication
US8110476B2 (en) 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8839504B2 (en) * 2008-05-13 2014-09-23 HGST Netherlands B.V. Method of fabricating a device having a sidegap
US8466044B2 (en) * 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP5379246B2 (ja) * 2010-01-12 2013-12-25 株式会社アルバック 半導体装置の製造方法、及び成膜装置
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8652573B2 (en) * 2010-07-15 2014-02-18 Asm International N.V. Method of CVD-depositing a film having a substantially uniform film thickness
US9012294B2 (en) 2010-07-27 2015-04-21 Panasonic Intellectual Property Management Co., Ltd. Manufacturing method of non-volatile memory device
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
CN102345114B (zh) * 2010-07-30 2013-06-19 中芯国际集成电路制造(上海)有限公司 一种mocvd加热装置、其形成方法和一种mocvd形成薄膜的方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN103866285B (zh) * 2012-12-18 2016-05-11 中国科学院微电子研究所 利用原子层沉积制备薄膜的方法
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9139908B2 (en) * 2013-12-12 2015-09-22 The Boeing Company Gradient thin films
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
KR101551199B1 (ko) * 2013-12-27 2015-09-10 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 반도체 소자
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6306411B2 (ja) * 2014-04-17 2018-04-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) * 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US20180047567A1 (en) * 2016-08-09 2018-02-15 Samsung Electronics Co., Ltd. Method of fabricating thin film
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) * 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US20220213598A1 (en) * 2019-05-21 2022-07-07 Oregon State University Apparatus and method for in-situ microwave anneal enhanced atomic layer deposition
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US20220283029A1 (en) * 2019-08-06 2022-09-08 Applied Materials, Inc. Methods for detection using optical emission spectroscopy
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220061233A (ko) * 2019-09-20 2022-05-12 엔테그리스, 아이엔씨. 이온 주입을 위한 플라즈마 침지 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR102548082B1 (ko) * 2020-11-26 2023-06-26 한국화학연구원 기상 증착을 이용한 고밀도의 균일한 나노 입자 합성 방법
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11996305B2 (en) * 2021-06-29 2024-05-28 Applied Materials, Inc. Selective oxidation on rapid thermal processing (RTP) chamber with active steam generation
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US197864A (en) * 1877-12-04 Improvement in manufacture of earthenware vessels
US192954A (en) * 1877-07-10 Improvement in delivery apparatus for printing-machines
US3632406A (en) * 1970-01-20 1972-01-04 Norton Co Low-temperature vapor deposits of thick film coatings
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4439463A (en) * 1982-02-18 1984-03-27 Atlantic Richfield Company Plasma assisted deposition system
EP0117764A1 (en) * 1983-03-01 1984-09-05 Mitsubishi Denki Kabushiki Kaisha Coil device
US4925661A (en) * 1984-04-19 1990-05-15 Leaf Huang Target-specific cytotoxic liposomes
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US4783248A (en) * 1987-02-10 1988-11-08 Siemens Aktiengesellschaft Method for the production of a titanium/titanium nitride double layer
US4900716A (en) * 1987-05-18 1990-02-13 Sumitomo Electric Industries, Ltd. Process for producing a compound oxide type superconducting material
JPH0743815Y2 (ja) * 1988-04-11 1995-10-09 ティーディーケイ株式会社 テープカセット
US5688565A (en) * 1988-12-27 1997-11-18 Symetrix Corporation Misted deposition method of fabricating layered superlattice materials
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5102694A (en) 1990-09-27 1992-04-07 Cvd Incorporated Pulsed chemical vapor deposition of gradient index optical material
US6110531A (en) * 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5314603A (en) 1991-07-24 1994-05-24 Tokyo Electron Yamanashi Limited Plasma processing apparatus capable of detecting and regulating actual RF power at electrode within chamber
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5627013A (en) * 1991-11-14 1997-05-06 Rohm Co., Ltd. Method of forming a fine pattern of ferroelectric film
JPH05148654A (ja) * 1991-11-28 1993-06-15 Shinko Seiki Co Ltd パルスプラズマcvdによる成膜方法及びその装置
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5344792A (en) 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
US5273783A (en) * 1993-03-24 1993-12-28 Micron Semiconductor, Inc. Chemical vapor deposition of titanium and titanium containing films using bis (2,4-dimethylpentadienyl) titanium as a precursor
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5529857A (en) * 1993-10-06 1996-06-25 Sanyo Electric Co., Ltd. Hydrogen-absorbing alloy electrode and process for producing the same
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5460689A (en) * 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5773363A (en) * 1994-11-08 1998-06-30 Micron Technology, Inc. Semiconductor processing method of making electrical contact to a node
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5747116A (en) * 1994-11-08 1998-05-05 Micron Technology, Inc. Method of forming an electrical contact to a silicon substrate
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3522917B2 (ja) * 1995-10-03 2004-04-26 株式会社東芝 半導体装置の製造方法および半導体製造装置
US5851293A (en) 1996-03-29 1998-12-22 Atmi Ecosys Corporation Flow-stabilized wet scrubber system for treatment of process gases from semiconductor manufacturing operations
US5654679A (en) * 1996-06-13 1997-08-05 Rf Power Products, Inc. Apparatus for matching a variable load impedance with an RF power generator impedance
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
JP3718297B2 (ja) 1996-08-12 2005-11-24 アネルバ株式会社 薄膜作製方法および薄膜作製装置
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5792522A (en) * 1996-09-18 1998-08-11 Intel Corporation High density plasma physical vapor deposition
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
US5710070A (en) * 1996-11-08 1998-01-20 Chartered Semiconductor Manufacturing Pte Ltd. Application of titanium nitride and tungsten nitride thin film resistor for thermal ink jet technology
JPH10237662A (ja) * 1996-12-24 1998-09-08 Sony Corp 金属膜のプラズマcvd方法、および金属窒化物膜の形成方法ならびに半導体装置
US5919531A (en) * 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6089184A (en) * 1997-06-11 2000-07-18 Tokyo Electron Limited CVD apparatus and CVD method
JPH1167693A (ja) * 1997-06-11 1999-03-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6221792B1 (en) * 1997-06-24 2001-04-24 Lam Research Corporation Metal and metal silicide nitridization in a high density, low pressure plasma reactor
US6200651B1 (en) 1997-06-30 2001-03-13 Lam Research Corporation Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US6066609A (en) * 1997-07-31 2000-05-23 Siemens Aktiengesellschaft Aqueous solution for cleaning a semiconductor substrate
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US5902563A (en) * 1997-10-30 1999-05-11 Pl-Limited RF/VHF plasma diamond growth method and apparatus and materials produced therein
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6101971A (en) * 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US5985375A (en) 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
US6150209A (en) * 1999-04-23 2000-11-21 Taiwan Semiconductor Manufacturing Company Leakage current reduction of a tantalum oxide layer via a nitrous oxide high density annealing procedure
US6268288B1 (en) * 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6236076B1 (en) 1999-04-29 2001-05-22 Symetrix Corporation Ferroelectric field effect transistors for nonvolatile memory applications having functional gradient material
JP2003502878A (ja) 1999-06-24 2003-01-21 ナーハ ガジル、プラサード 原子層化学気相成長装置
US6333202B1 (en) * 1999-08-26 2001-12-25 International Business Machines Corporation Flip FERAM cell and method to form same
US6140249A (en) * 1999-08-27 2000-10-31 Micron Technology, Inc. Low dielectric constant dielectric films and process for making the same
US6146907A (en) * 1999-10-19 2000-11-14 The United States Of America As Represented By The United States Department Of Energy Method of forming a dielectric thin film having low loss composition of Bax Sry Ca1-x-y TiO3 : Ba0.12-0.25 Sr0.35-0.47 Ca0.32-0.53 TiO3
US6406991B2 (en) * 1999-12-27 2002-06-18 Hoya Corporation Method of manufacturing a contact element and a multi-layered wiring substrate, and wafer batch contact board
US6436819B1 (en) * 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
WO2001066832A2 (en) * 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6451390B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Deposition of TEOS oxide using pulsed RF plasma
US6451161B1 (en) * 2000-04-10 2002-09-17 Nano-Architect Research Corporation Method and apparatus for generating high-density uniform plasma
US20010051215A1 (en) * 2000-04-13 2001-12-13 Gelest, Inc. Methods for chemical vapor deposition of titanium-silicon-nitrogen films
US6560991B1 (en) * 2000-12-28 2003-05-13 Kotliar Igor K Hyperbaric hypoxic fire escape and suppression systems for multilevel buildings, transportation tunnels and other human-occupied environments
AU2001260374A1 (en) * 2000-05-15 2001-11-26 Asm Microchemistry Oy Process for producing integrated circuits
US6521544B1 (en) * 2000-08-31 2003-02-18 Micron Technology, Inc. Method of forming an ultra thin dielectric film
US6521529B1 (en) * 2000-10-05 2003-02-18 Advanced Micro Devices, Inc. HDP treatment for reduced nickel silicide bridging
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
US7867905B2 (en) * 2001-04-21 2011-01-11 Tegal Corporation System and method for semiconductor processing
US7442615B2 (en) * 2001-04-21 2008-10-28 Tegal Corporation Semiconductor processing system and method
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
EP1425435A2 (en) * 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030211223A1 (en) * 2002-05-10 2003-11-13 Unilever Bestfoods N.A. Nut butter
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6987059B1 (en) * 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180062442A (ko) * 2010-03-25 2018-06-08 노벨러스 시스템즈, 인코포레이티드 필름 스택의 인-시츄 증착
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US10214816B2 (en) 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR20130030739A (ko) * 2011-09-19 2013-03-27 에이에스엠 인터내셔널 엔.브이. 복합막을 위한 나노층 퇴적 공정
KR20130049752A (ko) * 2011-11-04 2013-05-14 에이에스엠 인터내셔널 엔.브이. 도핑된 실리콘 산화물 박막들을 형성하는 방법들
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Also Published As

Publication number Publication date
KR101238429B1 (ko) 2013-02-28
US20040151845A1 (en) 2004-08-05
US9447496B2 (en) 2016-09-20
US8940374B2 (en) 2015-01-27
JP5101880B2 (ja) 2012-12-19
KR101448186B1 (ko) 2014-10-07
US20100190353A1 (en) 2010-07-29
KR20130119497A (ko) 2013-10-31
WO2004070074A2 (en) 2004-08-19
JP2006516833A (ja) 2006-07-06
EP1601812A2 (en) 2005-12-07
US20120258257A1 (en) 2012-10-11
KR20120096085A (ko) 2012-08-29
US8658259B2 (en) 2014-02-25
CN1768158A (zh) 2006-05-03
US7713592B2 (en) 2010-05-11
US20120289061A1 (en) 2012-11-15
KR101483737B1 (ko) 2015-01-16
WO2004070074A3 (en) 2004-11-18

Similar Documents

Publication Publication Date Title
KR101238429B1 (ko) 나노층 증착 공정
US9121098B2 (en) NanoLayer Deposition process for composite films
US11827978B2 (en) Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100766843B1 (ko) 순환 증착을 통한 금속 규소 질화물 필름의 제조
JP2006516304A (ja) 薄膜を層状堆積させるための方法及び装置
US20070065578A1 (en) Treatment processes for a batch ALD reactor
US20220139713A1 (en) Molybdenum deposition method
US11996286B2 (en) Silicon precursors for silicon nitride deposition
KR102027360B1 (ko) 복합막을 위한 나노층 퇴적 공정
US20220254628A1 (en) Method and system for forming boron nitride on a surface of a substrate
TW202331841A (zh) 半導體結構和其形成方法以及用於執行方法之設備

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170124

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180201

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 8