KR20130030739A - 복합막을 위한 나노층 퇴적 공정 - Google Patents

복합막을 위한 나노층 퇴적 공정 Download PDF

Info

Publication number
KR20130030739A
KR20130030739A KR1020120104261A KR20120104261A KR20130030739A KR 20130030739 A KR20130030739 A KR 20130030739A KR 1020120104261 A KR1020120104261 A KR 1020120104261A KR 20120104261 A KR20120104261 A KR 20120104261A KR 20130030739 A KR20130030739 A KR 20130030739A
Authority
KR
South Korea
Prior art keywords
precursors
film
layer
deposition
precursor
Prior art date
Application number
KR1020120104261A
Other languages
English (en)
Other versions
KR102027360B1 (ko
Inventor
로버트 앤소니 디티지오
뚜애 응우옌
따이 둥 응우옌
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/235,909 external-priority patent/US9121098B2/en
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20130030739A publication Critical patent/KR20130030739A/ko
Application granted granted Critical
Publication of KR102027360B1 publication Critical patent/KR102027360B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

3원, 4원, 5원, 및 6원의 양론적 막들로 된 복합막들을 퇴적시키기 위한 나노층 퇴적(NanoLayer Deposition, NLD) 공정이 제공된다. 본 발명의 퇴적 공정은 원하는 양론적 막을 얻기 위한 일련의 박막 퇴적 및 처리 단계들로 구성되는 주기적 공정이다. 상기 퇴적 단계들은 원자층 증착법에서와 같이 자기-제한적이지 않다. 화합물 산화막을 퇴적시키기 위한 일 구현예에서, 상기 퇴적 공정은 양론적 4원막을 제조하기 위하여 제 1 퇴적 및 그에 이은 수소-함유 플라즈마 처리, 제 2 퇴적 및 그에 이은 수소-함유 플라즈마 처리, 그런 다음 제 3 퇴적 및 그에 이은 수소-함유 플라즈마 처리, 및 그런 다음 산소-함유 플라즈마 처리를 포함한다. 상기 주기적 공정은 원하는 총 두께의 막이 얻어질 때까지 반복된다. 본 발명의 공정은 하이(high) k 유전막들, 강유전(ferroelectric) 막들, 압전막들, 및 다른 복합체 산화물들을 제조하기 위하여 사용된다.

Description

복합막을 위한 나노층 퇴적 공정{Nanolayer deposition process for composite films}
본 발명은 3원, 4원, 5원, 6원 복합막의 퇴적에 관한 것으로, 특히 박막 처리 및 반도체 박막 처리에 관한 것이다.
퇴적(deposition)은 오늘날의 반도체 소자 구조들의 기본적인 제조 공정들 중의 하나이다. 퇴적 기술은 물리적 기상 증착(Physical Vapor Deposition; PVD, 또는 스퍼터링), 화학적 기상 증착(Chemical Vapor Depositio; CVD), 및 펄스화-CVD(pulsed-CVD), 순차적 CVD(sequential CVD) 및 원자층 증착(Atomic Layer Deposition; ALD)을 포함한다.
PVD 프로세스는 타겟으로부터 막이 퇴적될 기판의 표면을 향하여 원자들 및 원자들의 클러스터들을 스퍼터하기 위해 고진공 장치 및 발생된 플라즈마를 이용한다. PVD는, 타겟으로부터 스퍼터된 물질이 타겟으로부터 기판을 향하여 이동하여 제1 접촉 지점에 부착하려는 경향이 있다는 점에서, 조준선(line-of-sight) 퇴적 공정이다. 이러한 조준선 특성은 웨이퍼 표면 상에서 3차원의 표면 지형들(topographies)의 측벽들 상에서는 열악한 커버리지(coverage)를 초래한다. 최근 수년간 PVD 시스템의 콘포말리티(confirmality)를 개선하기 위한 노력들이 이루어져 왔으나, PVD 장치에서, 특히 4:1 보다 큰 어스펙트비(aspect ratio) 하에서 콘포말한 막들은 일반적으로 달성하기가 매우 어려웠다.
CVD에서, 가스 또는 증기 혼합물은 상승된 온도에서 웨이퍼 표면 위로 흐른다. 이어서 퇴적이 발생되는 뜨거운 표면에서 반응이 일어난다. CVD 공정의 기본 특성은 가스 스트림 내에서 기판에서의 여러 가지 전구체들(precursors)의 결합된 반응이다. 예를 들어, 실란(silane) 및 산소의 혼합물의 경우, 실란으로부터의 실리콘이 산소와 반응하여 실리콘 다이옥사이드를 생성한다. 실란으로부터의 과잉의 수소는 어느 정도까지는 공정 조건들에 따라서 성장하는 막 속으로 결합되거나, 또는 펌핑되어 제거될 수 있다. 상기 반응은 흔히 열 에너지(저항성 가열 기판, 또는 복사형 가열의 형태) 또는 플라즈마 에너지(플라즈마 여기 형태)와 같은 에너지원의 존재를 필요로 한다. 퇴적율은 전구체들의 분해 및 반응에 의존하며, 반응율 및 분해율은 온도 의존성 공정들이기 때문에 웨이퍼 표면의 온도는 CVD 퇴적 공정에서 중요한 인자이다. 또한 표면 온도는 웨이퍼 표면 위에서 퇴적의 균일성에 영향을 끼칠 수 있다. 전형적으로 CVD는 반도체 제조 순서에서 다른 공정들과 공존할 수 없는 매우 높은 퇴적 온도를 필요로 한다. 낮은 온도에서의 CVD는 막 순도, 밀도 및 결정도 면에서 낮은 품질의 막을 생성하는 경향이 있다. 그러나, 낮은 온도에서의 불완전한 반응들은 예를 들어, 플라즈마 강화 CVD(plasma enhanced CVD) 공정에서의 플라즈마 에너지 및 광자 에너지에 의해 증진될 수 있다. 수년 동안 반도체 공정에서 CVD 기술이 사용되어 왔으며, CVD 및 금속-유기(metallo-organic) 전구체들이 광범위한 온도에 대하여 광범위하게 적용되어져 왔다는 것을 알 수 있다. 콘포말리티의 점에서, CVD 공정들도 PVD 공정들처럼 웨이퍼의 표면 상의 3차원 구조물 위에서 열악한 단차 피복성(step coverage)으로 인하여 많은 어려움을 겪어 왔다.
CVD의 변형은 펄스화-CVD 및 순차적 CVD를 포함한다. 펄스화-CVD 및 순차적 CVD에서는, 화학적 전구체들의 공급, 또는 에너지원으로부터 전력의 공급이, 이러한 파라미터들이 전통적인 CVD 에서와 같은 연속적인(continuous) 방식으로 공급되지 않는 방식으로 펄스화 된다. 화학적 전구체들의 펄싱은 성장하는 막을 위해 요구되는 하나 이상의 화학적 종(species)들일 수 있다. 대안적으로, 전력원의 펄싱은 플라즈마 에너지, 열 에너지, 레이저 에너지 및 광자 에너지 등과 같은 여러 가지 에너지원들을 다양화할 수 있다. 펄스화-CVD의 주요한 장점은, 전구체들 또는 전력원의 온-오프 스위칭으로부터 발생되는 과도 상태(transient state)를 공정 결과들 상에서 가질 수 있다는 잠재적 효과에 있다. 펄스로 된 동작 모드는 바람직한 것이며, 피크 전력이 동일하게 유지될 수 있기 때문에 반응성에 대하여 단지 심하지 않은 충격으로 기판에 공급된 전력을 감소시킬 수 있다는 이유로 기판 데미지와 다른 잠재적인 악 영향들을 감소시킬 수 있다. 전구체의 공급이 펄스로 되는 공정들에서 전구체들의 공급에서의 잠재적 감소는 투입 가스 플로우의 체적당 막 성장율을 향상시키고 비용을 절감하기 위해서는 바람직하다.
펄스화-CVD는 테일러(Taylor) 등의 미국 특허 제5,102,694호와 같은 경사진 퇴적을 형성하기 위해 사용될 수 있다. 테일러는 상기 전구체들이 퇴적된 막들에서 경사진(gradient) 조성을 생성하도록 주기적으로 감소되는 펄스화된 퇴적 공정을 개시한다. 테일러의 펄스화-CVD는 막의 조성을 변화시키기 위해 단지 제1 세트의 전구체들의 변경에 의존한다.
펄스화-CVD는 "Pulsed gas plasma-enhanced chemical vapor deposition of silicon"라는 명칭의 베이티(Batey) 등의 미국 특허 제5,242,530호와 같은 전구체 플로우의 조절을 위해 사용될 수 있다. 베이트는 전구체 실란이 플라즈마 수소의 일정한 플로우 동안 조절되는 펄스화된 퇴적 공정을 개시한다. 실란의 펄싱은, 상기 실란이 플로우되지 않는 싸이클 부분들 동안에 세정 단계들의 씨퀀스와 결합된, 상기 실란이 플로우되지 않는 싸이클 부분들 동안에 퇴적 단계들의 씨퀀스를 생성한다. 실란이 플로우되지 않을 때, 플라즈마 활성화된 수소의 플로우가 실란 가스 플로우의 다음 싸이클을 위한 준비 동안 표면을 세정한다.
펄스화-CVD는 "Pulsed plasma-enhanced CVD of metal silicide conductgive films such as TiSi2" 라는 명칭의 샌드후(Sandhu) 등의 미국 특허 제5,344,792호와 같은 퇴적 공정을 위해 요구되는 플라즈마 에너지를 펄스화하기 위해 사용될 수 있다. 샌드후는 전구체들이 공정 챔버 속으로 도입된 후 플라즈마가 퇴적 조건들을 최적화하기 위해 펄스화 모드로 도입되는 펄스화 퇴적 공정을 개시한다. "Method for pulsed plasma enhanced vapor deposition"라는 명칭의 도노훼(Donohoe) 등의 미국 특허 제5,985,375호는 펄스화 모드의 플라즈마 에너지를 갖지만 전력-조절된 에너지 파형을 갖는 유사한 펄스화-CVD 공정을 개시한다. 플라즈마 에너지의 펄싱은 원하는 특성을 갖는 금속 막의 퇴적을 허용한다. "Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source"라는 명칭의 로체(Roche)등의 미국 특허 제6,200,651호는 퇴적된 막들을 최적화하기 위해 반복적인 펄스 마이크로웨이브 필드를 갖는 전자 싸이크로트론 공명 플라즈마를 갖는 펄스화-CVD 공정을 개시한다. "Deposition of TEOS oxide using pulsed RF plasma"라는 명칭의 고토(Goto) 등의 미국 특허 제6,451,390호는 실리콘 다이옥사이드의 퇴적율을 제어하기 위해 펄스화된 RF 플라즈마를 사용하여 TEOS 산화물 퇴적 공정을 개시한다. 펄싱 특성은 안정 상태 대신에 과도 상태를 통하여 퇴적된 막들의 최적화를 제공한다. 게이트 옥사이드의 질화(nitridation) 공정 동안 플라즈마의 펄싱은, 과도 플라즈마 상태에서의 증가된 상호반응들과 단축된 플라즈마 시간에 따른 데미지의 감소 때문에 연속적인 플라즈마 질화 공정 보다도 작아진 데미지를 보여준다.
펄스화-CVD는 "Method for growing semiconductor film and method for fabricating semiconductor devices"라는 명칭의 타카하시(Takahashi) 등의 미국 특허 제6,306,211호와 같은 퇴적 공정에 필요한 전구체들을 펄스하기 위해 사용될 수 있다. 타카하시는 SixGeyCz의 에피택셜 막을 퇴적하기 위해 펄스화-CVD 공정을 개시한다. 에피택셜 퇴적은 단결정 기판을 필요로 하며, 퇴적된 막은 상기 기판의 단결정 특성을 확장한다. 에피택셜 성장은 막들이 전형적으로 보다 다결정성 또는 비정질성이라는 점에서 전형적인 CVD와 다르다. 기판의 단결정 특성을 확장하기 위해, 퇴적된 전구체들은 특정 격자 위치들에서 상기 기판과 결합될 필요가 있으며, 따라서 에피택셜 퇴적에서는 낮은 전구체 플로우가 상기 전구체들을 정확한 격자 위치들 속으로 재배열하기에 충분한 시간을 허용할 수 있어서 일반적으로 바람직하다. 전형적인 에피택셜 공정은 전구체들의 플로우를 희석시키기 위해 수소의 연속적인 플로우를 포함할 수 있다. 이어서 실리콘계 전구체, 저머늄계 전구체 및 탄소계 전구체의 씨퀀셜한 펄스가 SixGeyCz의 에피택셜 막을 퇴적하기 위해 도입된다. 에피택셜 막을 퇴적하기 위해, 작은 양의 전구체들이 필요하며, 이러한 작은 양의 전구체들의 도입은 전구체 가스들의 짧은 펄스(지속 시간이 마이크로 초의 오더)와 함께 달성될 수 있으나, 나아가 수소의 높은 플로우로 희석될 수 있다. 타카하시는 상기 전구체들의 펄스들이 중첩되지 않는다는 것을 개시하고 있지만, 이러한 펄스들의 분리(separation)에 침묵적이다. 타카하시의 펄스화-CVD의 목적은 화합물막을 퇴적하기 위한 것으로서, 따라서 이러한 전구체들의 분리는 관련이 없다.
SixGeyCz의 에피택셜 막을 퇴적하기 위한 타카하시 등에 의해 기술된 펄스화-CVD는, 반도체 소자들에서 내부배선들 또는 절연들을 위한 비아(via) 또는 트랜치에서와 같은, 비평탄한 기판 상에 높은 커버리지의 또는 콘포말한 막의 퇴적을 위해서는 허용되지 않는다. 타카하시의 펄스화-CVD의 목적은 트랜치들 또는 비아들 상에 가능한 퇴적에 대한 언급이 없이, 충분히 평탄한 표면을 갖는 에피택셜 막들을 퇴적하기 위한 것이다.
ALD는 퇴적을 위하여 화학적 증기를 이용한 CVD의 다른 변형이다. ALD에서는 여러가지 가스 플로우들이 교번적 및 분리된 순서로 챔버 속으로 도입된다. 예를 들어, 제1 전구체 증기가 기판 상에 흡수되기 위해 챔버 속으로 공급되며, 이어서 이러한 제1 증기의 가스 플로우는 중단되며, 잔류 가스들은 챔버로부터 배기된다. 이어서 다른 전구체 증기가 원하는 막을 형성하기 위해 상기 기판 상에 흡수된 분자들과 반응하도록 상기 챔버 속으로 공급된다. 이어서 제2 전구체 가스의 플로우는 중단되고, 잔류 가스들이 챔버로부터 배기된다. 이러한 씨퀀스는 퇴적된 막이 원하는 두께에 도달할 때까지 많은 싸이클 동안 반복된다. ALD 공정에 대한 수많은 변형들이 있지만, 모든 ALD 공정들은 두개의 공통적 특성(순차적 전구체 가스 플로우 및 싸이클당 자기 제한적 두께)를 공유한다. 상기 순차적 전구체 플로우 및 배기 특성은 CVD 공정들과 공통적으로 관련된 기상(gas phase) 반응의 제거를 제공한다. 싸이클당 자기 제한적 두께 특성은, 전체 막 두께가 전구체 플로우와 공정 시간 어디에도 의존하지 않기 때문에 우수한 표면 커버리지를 제공한다. 전체 막 두께는 단지 싸이클 수에 의존한다. 그리고 ALD 공정은 기판 온도에 민감하지 않다. ALD의 제한은 포화 전구체(saturating precursor)와 반응물(reactant)로 이루어진 쌍으로된 전구체들의 반응성 세트들에 대한 요구이다. 상기 포화 전구체는 상기 기판의 표면 상으로 흡수되어야 하며, 반응을 완료하기 위해 제2 반응성 전구체의 공급까지 상기 기판에 구속되어야 한다. 비포화 전구체들은, 퇴적율이 기판 온도 및 시간에 의존적이기 때문에 CVD 전구체 보다 좋은 특징을 갖는다.
진정한 ALD 공정에서 싸이클당 최고 두께는, 표면을 포화시키는 공정이 표면 위치들의 유용성(availability)에 의해 제한되기 때문에, 단일의 단분자층이다. 이러한 공정에서, 상기 전구체들은 분해되지 않으며, 다른 전구체 가스 분자들에 구속되지 않는다. 전구체 흡수를 위한 노출된 표면 위치들에 대한 요구는 ALD의 자기 제한적 특성과 ALD 공정들과 관련된 관찰된 높은 콘포말리티(conformality)로 나타난다.
실제의 ALD 공정의 관찰은, 흡수 단계 동안에 표면 상에 제1 전구체 분자들을 함께 크게 채워넣기 위한 필요성의 결과로서 퇴적율이 싸이클당 단분자층(monolayer) 보다 작다는 것이 일반적으로 보여진다. 제2 전구체와의 반응 후에, 제1 전구체 분자들의 많은 퍼센테이지가 상기 성장하는 막 속으로 결합되지 않고, 차라리 펌핑되어 나가는 휘발성의 반응 부산물을 형성한다.
ALD 공정에서 펌핑 단계의 시간을 최소화하기 위해 작은 체적의 챔버가 전형적으로 채용되며, 과도 상태를 최소화하기 위해 빠른 스위칭이 요구된다. ALD 공정의 쓰루풋(throughput)은 사이클 시간에 의존하며, 이는 작은 챔버 체적으로부터 득을 얻을 수 있는 것이다. 전형적인 ALD 싸이클은 지속 시간에서 수 초 보다 짧다.
"Sequential chemical vapor deposition"라는 명칭의 셔먼(Sherman)의 미국특허 제5,916,365호는 챔버 배기, 기판 상으로의 제1 전구체의 흡수, 이어서 다른 챔버 배기, 이어서 상기 기판 표면에 흡수된 전구체와 반응시키기 위한 제2 라디컬 전구체, 및 제3 챔버 배기라는 순서에 의한 순차적 ALD를 제공한다. 상기 셔먼의 공정은 상기 흡수 단계들에서 제1 전구체들을 포화시키기 위한 흡수의 사용으로 인하여 사이클당 서브-단분자층들을 생성한다. 상기 공정 싸이클은 원하는 두께의 막을 형성하기 위해 반복될 수 있다. 셔먼은 공정이 아무리 오래 동안 되더라도 흡수 두께는 증가되지 않는다는 것을 의미하는, 제1 전구체 공정 플로우가 자기 제한적인 ALD 공정을 개시한다. "Method for growing thin films"라는 명칭의 순토라(Suntola) 등의 미국 특허 제6,015,590호는 완벽하게 상기 전구체들을 분리하는 ALD 공정을 개시한다. 순토라 공정은, 퇴적이 상기 기판 표면 상에서 전구체들의 포화와 쌍을 이룬 반응물들과의 연속적인 반응을 통하여 달성된다는 것을 의미하는 개선된 ALD 공정(순토라에 의해 ALE라고 지칭됨)이다. 순토라 공정의 장점은 엇갈린 반응(cross reaction)을 방지하기 위해 전구체들의 펄수 사이에서 99% 이상의 퍼지를 갖는, 전구체들의 완전한 분리에 있다.
"Radical-assisted sequential CVD"라는 명칭의 스네(Sneh)의 미국 특허 제6,200,893호 및 그 분할들(미국 특허 제6,451,695호, 미국 특허 제6,475,910호, 미국 특허 공개 제2001/0002280호, 미국 특허 공개 제2002/0192954호, 미국 특허 공개 제2002/0197864호)은 ALD 퇴적의 방법들을 논의한다. 스네의 공정 순서는 ALD 공정의 변헝이다. 스네는 제1 전구체 도입을 위한 퇴적 단계를 개시하지만, 이러한 스네의 퇴적은 리간드들(ligands)과의 표면 포화 때문에 자기 제한적이다. 미국 특허 제6,475,910호에서, 스네는 제1 전구체 도입 단계의 두께를 증가시키기 위해, 다른 ALD 단계의 부가를 통해 제1 전구체 도입 단계의 두께를 확장하는 방법을 개시한다. 어느 정도, 이것은 포개진 루프(nested loop)와 유사하며, 여기서 ALD 공정의 제1 전구체 플로우 단계의 두께는 다른 ALD 공정에 의해 증가될 수 있다.
본 발명이 해결하고자 하는 과제는, 나노층 퇴적(NanoLayer Deposition, NLD)이라고 불리는 CVD와 ALD의 하이브리드 퇴적 공정을 제공하기 위한 것이다.
공동-계류 중이며 2001년 9월 10일 출원되고 2003년 3월 13일 공개된 미합중국 특허공개 제20030049375A1호인 미국출원번호 제09/954,244호 출원 "Nanolayer thick film processing system and method"는 2004년 6월 29일 미국특허등록 제6,756,318호로 등록되었는데, 그 전체가 여기에 인용되어 포함된다.
본 발명의 일 태양에서, 기판 위에 박막을 퇴적시키기 위하여 본 발명의 방법은 다음의 단계들을 포함한다.
퇴적 단계 (a) 기판 위에 박막을 비자기-제한적으로 퇴적시키는 단계;
처리 단계 (b) 복합된 막을 얻기 위하여 퇴적된 상기 박막들을 처리하는 단계;
반복 단계 (c) 원하는 막 두께를 얻을 때까지 단계 (a) 및 (b)를 반복하는 단계
위에서 설명된 본 발명의 구현예의 단계 (a)에서, 상기 퇴적은 비자기-제한적이며(not self-limiting), 기판 온도 및 공정 시간의 함수이다. 이 제1 단계는 한 세트의 전구체들을 사용하는 CVD 공정과 유사하다. 퇴적 조건은, 예를 들면 ALD 공정들과 비교하여 훨씬 더 간단하여, 더 적은 초기 표면 준비와 더 적은 특수 고려사항을 달성한다.
상기 처리 단계 (b)는, 예를 들면, 상기 막의 조성을 변경함으로써, 상기 퇴적된 막들에 불순물들을 도입하거나 이동시킴으로써, 또는 상기 퇴적된 막 위에 또 다른 막을 퇴적시킴으로써, 퇴적된 대로의(as-deposited) 막들의 막 특성들을 처리하여 변화시킨다. 상기 추가적인 층은 존재하는 층과 반응하여 화합물층을 형성하거나, 또는 최소한의 반응을 하여 나노적층막(nanolaminate film)을 형성할 수 있다.
상기 반복 단계 (c)는, 양론적 막과 같은 원하는 막 특성을 얻기 위하여 박막 퇴적 단계 (a) 및 처리 (b) 단계들의 순서로 구성되는 주기적 공정을 형성한다.
일부 실시예들에 있어서, 상기 퇴적 단계는 제1 막층을 퇴적시키기 위하여 복수의 제 1 전구체들을 도입하는 단계를 포함한다. 상기 처리는 상기 막의 특성을 변화시키기 위하여, 퇴적된 상기 제1 막층을 플라즈마 처리하는 단계를 포함한다. 상기 처리는 상이한 반응성 가스 종들을 갖는 하나 또는 그 이상의 플라즈마 처리 단계들을 포함할 수 있다. 예를 들면, 탄소 불순물을 제거하기 위하여 수소-함유 플라즈마 처리가 사용되고, 산소 화합물을 형성하기 위하여 산소-함유 플라즈마 처리가 후속될 수 있다. 그런 다음, 본 공정은 원하는 양론을 갖는 박막을 형성하기 위하여 반복된다.
일부 실시예들에 있어서, 상기 퇴적은 제1 막층을 퇴적시키기 위하여 복수의 제 1 전구체들을 도입하는 단계와 그에 뒤이어 제2 막층을 퇴적시키기 위하여 복수의 제 2 전구체들을 도입하는 단계의 순서를 포함할 수 있다. 상기 처리는 퇴적된 상기 두 층들의 특성들을 변화시키기 위하여 상이한 반응성 가스 종들을 갖는 하나 또는 그 이상의 플라즈마 처리 단계들을 포함할 수 있다. 예를 들면, 상기 플라즈마 처리는 제1층 및 제2층의 양론적(stoichiometric) 화합물 층을 형성할 수 있다. 예를 들면 퇴적 단계들을 제어함으로써 최적화된 층 두께들을 써서, 상기 제1층과 상기 제2층의 혼합은 임의의 혼합 정도로 수행될 수 있다. 예를 들면, 양론적 화합물 층, 경사진(graded) 화합물 층, 또는 두 층들의 적층체(laminate)가 달성될 수 있다. 원하는 양론비를 갖는 박막을 형성하기 위하여 상기 공정이 반복된다.
일부 실시예들에 있어서, 상기 퇴적은 일련의 퇴적 및 플라즈마 처리를 포함한다. 예를 들면, 제1 막층이 퇴적되고 그에 이어서 제 1 플라즈마 처리가 후속된다. 그런 다음 제2 막층이 퇴적되고, 그에 이어서 제 2 플라즈마 처리가 후속된다. 각 개별 층들의 탄소 불순물을 제거하기 위하여 수소-함유 플라즈마 처리가 사용될 수 있는 것처럼 상기 제1 및 제2 처리는 상기 개별 층들을 처리할 수 있다. 선택적으로, 산소-함유 플라즈마 처리는 각 개별 층들의 산소-화합물을 형성하기 위하여 사용될 수 있다. 선택적으로, 제1 층의 탄소 불순물을 제거하기 위하여 제1 수소-함유 플라즈마 처리가 사용될 수 있고, 제2 층의 산화 화합물을 형성하기 위하여 제2 산소-함유 플라즈마 처리가 사용될 수 있는 것과 같이 상이한 층들에 대하여 상이한 플라즈마 처리가 사용될 수 있다. 상기 퇴적 후에, 상기 층들에 플라즈마 처리가 가해진다. 상기 처리는 퇴적된 두 층들의 특성들을 변화시키기 위하여 상이한 반응성 가스 종들을 갖는 하나 또는 그 이상의 플라즈마 처리 단계들을 포함할 수 있다. 예를 들면, 상기 플라즈마 처리는, 처리된 제1층 및 제2층의 양론적 화합물 층을 형성할 수 있다. 예를 들면, 양론적 화합물 층, 경사진 화합물 층, 또는 두 층들의 적층체가 달성될 수 있다. 원하는 양론비를 갖는 박막을 형성하기 위하여 상기 공정이 반복된다.
위의 공정의 변용은 3원(tertiary), 4원(quaternary), 5원(pentanary), 및 6원(hexary) 양론막의 복합막을 퇴적시키기 위한 공정들과 같은 것들을 포함할 수 있다. 예를 들면, 본 발명의 공정은 하이(high) k 유전막들, 강유전(ferroelectric) 막들, 압전막들, 및 다른 복합체 산화물들을 제조하기 위하여 사용될 수 있다.
본 발명에 따르면, 나노층 퇴적(NanoLayer Deposition, NLD)이라고 불리는 CVD와 ALD의 하이브리드 퇴적 공정을 얻을 수 있다.
도 1은 종래의 CVD 공정의 흐름도이다.
도 2는 종래의 펄스형 CVD 공정의 흐름도이다.
도 3은 종래의 ALD 공정의 흐름도이다.
도 4는 선택적인 퍼지 단계들을 포함하는 NLD 공정의 흐름도이다.
도 5는 세 주기 이후의 성장 막 구조의 개략도와 NLD 공정에 대한 흐름도이다.
도 6은 두 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이며, 제2 퇴적 단계는 제2 층을 증착하고 제1 퇴적된 층을 처리하는 데에 이용된다.
도 7은 처리 단계 또는 처리 단계들의 조합으로 이어지는 두 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 8은 처리 단계 또는 처리 단계들의 조합으로 이어지는 세 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 9는 처리 단계 또는 처리 단계들의 조합으로 이어지는 네 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 10은 처리 단계 또는 처리 단계들의 조합으로 이어지는 다섯 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 11은 각각 선택적으로 처리 단계 또는 처리 단계들의 조합으로 이어지는 두 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 12는 각각 처리 단계로 이어지는 두 퇴적 단계들과 본 발명의 NLD 공정의 실시예에 대한 흐름도이다. 본 실시예에서, 수소 함유 플라즈마 처리는 제1 및 제2 퇴적 단계들 이후에 CVD 전구체들의 분해로부터 초과 불순물들을 제거하는 데에 이용되고, 추가적인 산소 함유 플라즈마 처리는 산소를 막에 포함시키기 위한 제2 퇴적 단계 이후에 이용된다.
도 13은 각각 선택적으로, 삼원(tertiary) 및 사원(quaternary) 혼합물 막들을 제조하는 데에 이용될 수 있는 처리 단계 또는 처리 단계들의 조합으로 이어지는 세 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 14는 각각 수소 함유 플라즈마 처리 단계와, 사원 혼합물 막들을 제조하는 데에 이용될 수 있는 제3 퇴적 단계 이후에 산소 함유 플라즈마 내의 제2 처리로 이어지는 세 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 15는 각각 선택적으로 처리 단계 또는 사원 및 오원(pentary) 화합물 막들을 제조하는 데에 이용되는 처리 단계들의 조합으로 이어지는 네 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 16a는 각각 선택적으로 처리 단계 또는 오원 또는 육원(hexary) 화합물 막들을 제조하는 데에 이용될 수 있는 처리 단계들의 조합으로 이어지는 다섯 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 흐름도이다.
도 16b는 각각 선택적으로 처리 단계 또는 오원 또는 육원 화합물 막들을 생성하는 데에 이용되는 처리 단계들의 조합으로 이어지는 다섯 개의 퇴적 단계들과 본 발명의 NLD 공정에 대한 막 구조의 개략도이다.
도 17은 다섯 개까지의 퇴적 단계들 그리고 이원, 삼원, 사원, 오원 및 육원 화합물 박막들을 제조하기 위한 각 퇴적 단계 이후의 다수의 선택적인 처리 단계들을 가지는 일반적인 경우를 나타내는 본 발명의 NLD 공정의 흐름도이다.
도 18은 n개의 퇴적 단계들(여기서, n은 한 층 또는 한 그룹의 층들을 퇴적하는 데에 이용되는 퇴적 단계들의 개수임) 및 n개의 처리 단계들(여기서, 대응되는 퇴적 단계들로부터 층(들)을 처리하는 데에 이용되는 플라즈마 처리 단계들의 개수임)을 가지는 본 발명의 NLD 공정에 대한 일반적인 경우의 흐름도이다.
본 발명은 나노층 퇴적(NanoLayer Deposition, NLD)이라고 불리는 CVD와 ALD의 하이브리드 퇴적 공정을 제공한다. 공동-계류 중이며 2001년 9월 10일 출원되고 2003년 3월 13일 공개된 미합중국 특허공개 제20030049375A1호인 미국출원번호 제09/954,244호 출원 "Nanolayer thick film processing system and method"는 2004년 6월 29일 미국특허등록 제6,756,318호로 등록되었는데, 그 전체가 여기에 인용되어 포함된다.
본 발명의 일 태양에서, 기판 위에 박막을 퇴적시키기 위하여 본 발명의 방법은 다음의 단계들을 포함한다.
퇴적 단계 (a) 기판 위에 박막을 비자기-제한적으로 퇴적시키기 위하여 복수의 제1 전구체들을 도입하는 단계;
퍼지/대체 단계 (b) 상기 제 1 전구체들을 퍼지하는 단계;
처리 단계 (c) 퇴적된 상기 박막을 개질하기 위하여 복수의 제2 전구체들을 도입하는 단계; 상기 복수의 제2 전구체들은 상기 복수의 제1 전구체들과 상이한 적어도 하나의 전구체를 갖는다.
위에서 설명된 본 발명의 구현예의 단계 (a)에서, 상기 퇴적은 비자기-제한적이며(not self-limiting), 기판 온도 및 공정 시간의 함수이다. 이 제1 단계는 제1 세트의 전구체들을 사용하는 CVD 공정과 유사하다. 퍼지/대체 단계 (b)에서, 상기 제 1 세트의 전구체들은 차단되며, 퍼지되고, 제 2 세트의 전구체들이 도입된다. 상기 퍼지 단계의 목적은 상기 두 세트들의 전구체들 사이에서 있을 수 있는 상호 작용을 방지하기 위한 것이다. 상기 퍼지하는 단계는 상기 공정 챔버 내에 존재하는 전구체들을 배출하기 위한 펌핑(pumping) 단계에 의하여 달성될 수 있다. 이러한 경우들에 있어서, 상기 펌핑 단계의 특징은 잔존하는 가스 및 증기들을 배출하기 위하여 상기 챔버의 압력을 낮추는 것이다. 선택적으로, 상기 퍼지하는 단계는 잔존하는 전구체들을 상기 공정 챔버로부터 제거하는 것을 돕기 위하여 질소 또는 불활성 기체와 같은 비반응성 가스를 이용하는 대체(replacement) 단계에 의하여 달성될 수 있다. 상기 대체 단계의 특징은 챔버 압력을 유지하면서 제1 전구체 가스를 차단하고, 퍼지 가스를 개방하는 것이다. 펌핑 단계에 이은 질소 또는 아르곤 대체 단계와 같은 이들 두 단계들의 조합도 역시 상기 퍼지 단계에서 사용될 수 있다.
처리 단계 (c)에서의 제2 세트의 전구체들은 퇴적된 대로의(as-deposited) 막의 막 특성들을 변화시킨다. 상기 제2 세트의 전구체들은 상기 막의 조성을 변화시킴으로써, 그리고 퇴적된 상기 막에 불순물들을 도입하거나 이동시킴으로써 퇴적된 막을 처리할 수 있다. 또한 상기 제2 세트의 전구체들은 퇴적된 막 위에 다른 층을 퇴적시킬 수도 있다. 추가적인 상기 층은 존재하는 층과 반응하여 화합물 층을 형성하거나, 또는 최소한의 반응을 통해 나노적층체 막을 형성할 수 있다. 상기 퇴적 단계는, 에피택셜 막에 있어서와 같이 정돈된(ordered) 막 퇴적과 대비하여, 무질서한 막 퇴적인 것이 바람직하다. 퇴적된 막들의 결정 구조와 관련하여, 무질서한 막 퇴적을 위한 퇴적 조건들은 훨씬 더 단순하여 더 적은 초기 표면 준비 과정과 더 적은 특수 고려 사항들로도 달성할 수 있다. 에피택셜 막 퇴적에서와 같은 정돈된 막 퇴적에 있어서, 원하는 결정 구조를 만들 충분한 시간을 전구체에게 부여하기 위하여 소량의 전구체들이 통상 사용되고 있다.
막을 퇴적시키기 위한 본 NLD 방법은 CVD 방법들과 현저하게 다르다. 예를 들면, 본 NLD 방법은 제2세트의 전구체들의 도입을 갖는 주기적이고, 순차적인 공정을 사용한다. 또한 본 NLD 방법은 퍼지 단계가 도입되고 제2세트의 전구체들이 도입되는 펄스화(pulsed)-CVD 및 순차(sequential) CVD와도 상이하다. 주기적 순차 공정에서 제1 전구체들에 대한 퍼지 단계 이후의 제2 세트의 전구체들의 도입은 퇴적된 막의 개질(modification)을 가능하게 하며 이는 CVD, 펄스화-CVD, 및 순차 CVD에서는 가능하지 않은 방식이다.
막의 조성을 퇴적되는 막들의 경사(gradient)를 변화시키는 것과 같은 방식으로 변화시키기 위하여 전구체들을 펄스화시키는 단계들을 채용하는 상기 펄스화-CVD 공정들은 퇴적되는 막들의 성질들을 변화시키기 위한 제2 세트의 전구체들이 없기 때문에 본 발명의 NLD 공정과 상이하다.
퇴적되는 막의 특성들을 변화시키기 위하여 플라즈마 전구체들의 존재 하에서 퇴적 전구체들을 펄스화하는 단계들을 채용하는 상기 펄스화-CVD 공정들은 펄스들 사이에 퍼지하는 단계가 없다는 점과 플라즈마 전구체들이 퇴적 기간 전체에 걸쳐 존재한다는 점에서 본 발명의 NLD 공정과 상이하다. 이 펄스화-CVD 공정은 연속적 플라즈마 전구체들 및 퇴적 전구체들의 혼합물이 허용된다. 그러나, 본 NLD 공정은 교차 오염을 방지하고 잠재적인 기상 반응들을 피하기 위하여 두 세트의 전구체들 사이에 퍼지 단계를 제공한다. 플라즈마를 점화하기 전에 예를 들면, 퍼지 단계 동안, 상기 챔버로부터 잔존하는 MOCVD 전구체들이 제거될 수 있다.
퇴적된 상기 막의 특성들을 변화시키기 위하여 플라즈마 에너지를 펄스화하는 단계를 채용하는 상기 펄스화-CVD 공정들은 퇴적된 막들의 성질을 변화시키기 위한 제2 세트의 전구체들이 없고, 또한 상기 펄스들 사이에 퍼지시키는 단계가 없다는 점에서 본 발명의 NLD 공정과 상이하다. 상기 펄스화-CVD에서의 펄스화시키는 구성은 정상 상태 대신 전이 상태에 있는 퇴적된 막들의 특정 특성들을 최적화시키기 위하여 사용되고, 따라서 퇴적된 막의 특성을 조절하기 위하여 제2세트의 전구체들을 사용하는 본 발명의 NLD 방법과는 현저하게 상이하다.
에피택셜 막들을 형성하기 위하여 퇴적 전구체들의 펄스 단계를 채용한 펄스화-CVD 공정들은, 상기 전구체 펄스들 간의 퍼지 단계가 부족하기 때문에 본 발명의 NLD 공정과는 상이하다. 상기 퍼지 단계는 상기 제1 및 제2 전구체들의 도입(introduction) 사이의 시간적 분리(temporal separation)에 기인하여 불혼화성(incompatible) 전구체들의 사용을 가능하게 한다. 펄스화-CVD 및 NLD의 차이점들은 또한 이러한 두 가지 방법들의 개념적인 목적들을 포함한다. 펄스화-CVD의 목적은 원하는 막들의 퇴적에 적합한 전구체들의 세트 및 조건들을 채용하는 것인 반면, NLD의 목적은 원치 않는 막일지라도 막을 퇴적하는 것이고, 원치 않는 막을 원하는 막으로 변환시키기 위한 변경 및 처리 단계를 제공하는 것이다. CVD 또는 펄스화-CVD에서와 같이 원하는 모든 특성들을 갖는 막을 퇴적하기 위한 방법을 찾는 대신에, NLD는 원하는 특성들을 갖는 막을 획득하기 위하여 존재하는 막을 처리하거나 변경하는 방법을 찾는다. 더욱이, 두께가 클 때, 존재하는 막의 처리 및 변경이 어렵다는 것을 인식함에 따라 NLD는 퇴적 및 처리 또는 변경의 순환적인 공정(cyclic process)을 제공하며, 이에 따라 처리 단계는, 더 두꺼운 막을 얻기 위한 퇴적 및 처리 단계들의 시퀀스 내에서 성장되는, 매우 얇은 막들 상에 수행된다. 원하지 않는 막을 우선 퇴적하고, 이후에 처리 단계가 뒤따르는 방법을 위한 특정한 동기들은 펄스화-CVD에서 요구되는 것보다 더 낮은 온도들에서 원하는 막 특성들을 제공하는 것, 퇴적된 막들의 등각성(conformality) 및 스텝 커버리지(step coverage)를 향상시키는 것, 그리고 경쟁 기술들을 사용하여 가능한 것들보다 훨씬 광범위한 잠재적 막 특성들을 생성하기 위하여 막 특성들을 생성하고 변경하는 것에서의 유연성(flexibility)을 증가시키는 것을 포함하나, 이에 한정되는 것은 아니다.
막을 퇴적하기 위한 본 NLD 방법은 또한, 본 NLD 방법이 자기-제한적이지 않은(non self-limiting) 퇴적 단계를 사용한다는 점에서 ALD 방법과는 현저히 상이하다. 본 발명의 NLD 방법에서의 퇴적 단계는 기판 온도 및 공정 시간의 함수이다. 그러나, ALD 공정에서의 퇴적/흡착 단계는 상기 기판 표면 상의 전구체 리간드들의 포화에 기초한 자기-제한적 단계이다. 일단 상기 표면이 포화되면, ALD 방법에서의 퇴적/흡착 단계는 정지한다. 여분의 전구체 증기들(vapors)이 더 이상 상기 포화된 표면 상에서 흡착할 수 없다. 다시 말하면, ALD 방법에서의 퇴적/흡착 단계는 포화에 도달한 이후에는 시간에 독립적이다. ALD 방법은 또한 CVD 및 NLD 방법들과 비교할 때 기판 온도에 대한 의존성을 덜 보이거나 보이지 않는다. 따라서, 본 발명의 NLD 방법은 ALD 방법과는 구별되는 많은 차이점들을 갖는다.
본 발명의 다른 측면에 따르면, 상기 퇴적 방법은 c 단계 이후의 퍼지/교체 단계인 d 단계의 부가를 더 포함한다. c 단계에 선행하는 퍼지 단계와 유사하게, c 단계를 뒤따르는 상기 퍼지/교체 단계는 배출(evacuation)에 의하거나, 교체에 의하거나, 또는 배출과 교체의 조합에 의하여, 잔류 제2 전구체들을 공정 챔버로부터 제거하도록 의도된다. 많은 어플리케이션들에서, 상기 처리 단계는 오직 박막만을 처리할 수 있거나, 또는 상기 처리 단계는 퇴적된 막들이 얇은 경우들에서 훨씬 더 효과적이며, 이에 따라 본 발명은 원하는 두께에 도달할 때까지 순환적 방법으로 a 내지 c의 단계들을 반복하는 추가 단계를 더 포함하거나, 또는 제2 퍼지 단계를 가지는 경우에 a 내지 d 단계를 반복하는 것을 포함한다. 제2 퍼지 단계 d는 전구체들의 두 가지 세트들 사이의 가능한 반응을 방지하는 것이 그 목적이기 때문에 선택적(optional)이다. 상기 두 가지 전구체들 사이의 반응성(reactivity)이 낮은 경우에는, 공정 시간을 단축하고 스루풋(throughput)을 증가시키기 위하여 상기 퍼지 단계 d가 제외될 수 있다.
또한, 본 발명은 전구체들의 추가적인 복수의 세트들 및 복수의 퍼지 단계들로의 확장을 제공한다. 예를 들면, 제2 처리 단계에서 도입된 반응성 전구체들의 제3 세트는 퇴적된 필름들의 성질들을 보다 변화시키는 추가적인 처리 옵션을 제공할 것이다. 단순한 경우에서, 예를 들면, 퇴적이 아직 원치 않는 막 특성들로 알루미늄-함유 박막을 퇴적하는 것에 스스로 제한하지 않도록, 기판은 일정 온도에서 알루미늄을 함유하는 제1 전구체로 노출될 수 있다. 막 특성들을 아직 원치 않는 막 특성들을 갖지만 알루미늄 및 질소, 그리고 아마 일부 불순물들의 조합을 갖는 새롭게 변화된 막을 달성하기 위한 막 특성들을 변화시키기 위하여, 이러한 퇴적 단계 뒤에 질소-함유 플라즈마에서의 처리 단계가 올 수 있다. 알루미늄, 질소 및 원하는 막 특성들을 갖는 산소의 구성성분 구성요소들을 갖는 새롭게 변화된 막을 달성하기 위한 막 특성들을 변화시키기 위하여, 이러한 처리 단계 뒤에 산소-함유 플라즈마에서의 제2 처리 단계가 올 수 있다.
발명의 방법은 복합 및 나노라미네이트(nanolaminate) 막들의 넓은 범위를 생성하는데 사용될 수 있다.
본 발명 NLD 방법은, 표준 CVD 공정 챔버 또는 작은 체적의 ALD, 고속 스위칭 밸브 공정 챔버와 같은 그러한 임의의 공정 챔버에서 수행될 수 있다. 챔버 벽은 원하는 결과물에 따라 냉벽(cold wall), 온벽(warm wall), 또는 고온벽(hot wall)일 수 있다. 반송 시스템은 균등한 흐름을 제공하는 샤워헤드(showerhead) 반송, 또는 박판형의(laminar) 흐름을 제공하는 측벽 입구(sidewall inlet), 또는 원형 반송을 제공하는 샤워 링(ring)이 될 수 있다. 공정 챔버로 반송되기 전에 전구체들을 증기 형태로 변환하기 위하여 액체 전구체들이 가열된 기화기로 반송되는 경우, 전구체 반송은 액체 주입(injection)이 될 수 있다. 액체 전구체의 증기가 액체 전구체 용기로부터 배출되는(drawn) 경우, 전구체 반송은 증기 배출(draw)일 수 있다. 전구체 반송은 액체 전구체로부터의 증기가 무반응성 운반 가스의 기포를 발생시키는 특징으로 증진되는 경우, 기포기(bubbler)로부터 올 수 있다.
본 발명에서 단계들은 열적으로 활성화된 CVD 및 플라즈마 증진 CVD와 같은 그런 임의의 CVD 퇴적 단계일 수 있다. 플라즈마-증진 CVD는 평행 평판 플라즈마, 용량성으로-결합된 플라즈마, 유도적으로-결합된 플라즈마(ICP), 극초단파(microwave) 플라즈마, 또는 원격 플라즈마를 사용할 수 있다. 열적으로 활성화된 플라즈마는 종래의 저항성 가열, 램프(lamp) 가열을 사용한 속성 열적 처리, 및 당해 기술분야에서 공지되고 이해된 다른 가열 방법들을 사용할 수 있다. 퇴적 단계는 퇴적 단계가 될 수 있고, 처리 단계는 제1 단계로부터 퇴적된 막 특성들을 변화시키기 위한 퇴적 단계가 될 수 있다.
처리 단계는 플라즈마 처리, 또는 온도 처리일 수 있다. 플라즈마 처리는 에너지가 있는 종들이 될 수 있고, 키네틱(kinetic) 에너지를 에너지가 있는 종들로 주는 바이어스로 더 증진될 수 있다. 강한 바이어스는 침액 이온 주입(immersion ion implantation) 기술에서 관찰되는 바와 같이, 이온 주입과 같은 그러한 반응들을 생성할 수 있다. 일반적으로, 처리 단계에서 고 에너지가 있는 종들은 퇴적된 막 특성들을 변화시키는 데 도움을 줄 수 있다. 충격 이온 종들(bombarding ionic species)은, 예컨대 퇴적된 막의 거침을 개선하는데, 결정성의 변화시키는데, 그리고 불순물들을 혼입 및 제거하는데 채용될 수 있다. 화학적 반응은, 예컨대 불순물들을 제거하고, 막 조성들을 변경하고, 그리고 막들의 물리적 특성들을 변화시키는 처리 단계에 채용될 수 있다.
본 발명은 임의의 CVD 전구체들 또는 MOCVD 전구체들을 사용할 수 있다. 퇴적 단계는, CVD 및 ALD 방법들로 얻기 어렵거나 불가능한 특성들을 갖는 박막들의 제조를 하게 하는 전구체들의 제2 세트로 더 증진될 수 있다. NCD에서 사용된 전구체들은 열적으로 활성화되고, 플라즈마 활성화되고, 또는 속성 열적 공정으로 활성화될 수 있다. 전구체들은 수소, 질소, 산소, 오존, 불활성 가스, 물, 또는 NH3, SiH4, NF3와 같은 그런 무기성 전구체들, TiCl4와 같은 금속 전구체들, 유기성 전구체들, 또는 TDMAT, TDEAT, TMEAT, PDMAT, 및 PDEAT와 같은 그런 금속 유기성 전구체들일 수 있다. 본 리스트는 본 발명의 범위를 제한하려는 의도 없이, NLD 방법들의 생성에서 전구체로서 사용될 수 있는 가스들의 형태들 중 일부의 대표적인 예시로서 제공된다.
일반적으로, 본 발명의 공정 온도는 감소되고 더욱 더 제어가능한 퇴적 비율들 및 더 나은 균등성을 얻기위한 유사한 CVD 공정들의 온도보다 낮다. 제조 순서 및 기판의 허용될 수 있는 열적 예산에 의존하여, 전형적인 공정 온도는 섭씨 100도 및 섭씨 1000도 사이이다. 금속 금속 상호연결(interconnect) 및 로우-k(low-k, 낮은 유전 상수) 막들은 종종 섭씨 350 내지 400도보다 낮은 공정 온도를 요하고, 따라서 이러한 온도들을 초과하지 않는 혁신적인 방법들의 사용을 요한다. 대부분의 소자 제조 방법들은, 기판이 공정에서의 모든 단계에서 노출될 수 있는 최대 온도에 한계들을 갖는다. NLD와 같은 혁신적인 방법들은 요구되는 막 특성들을 생산하기 위하여, 더 높은 온도 CVD 공정들로는 불가능한 더 낮은 온도들에서 퇴적 방법들을 제공한다.
본 발명의 공정 시간들은 순서에서의 각 단계에 대하여, msec 내지 수 분의 범위 내에 있다.
전형적인 NLD 공정에서 설명된 처리 단계들 동안, 플라즈마는 반응성 종들을 생성하고, 퇴적된 막과 상호 반응하는 이온성 종들의 이온 충격(bombardment)을 가능하게 하는데 종종 사용된다. 높은 가로세로 비율(aspect ratio)의 트랜치(trench)의 측벽 표면을 다루기위하여, 높은 밀도, 높은 압력의 플라즈마가 사용될 수 있다. 높은 밀도 플라즈마는 유도성 커플링, 용량성-커플링, 극초단파(microwave), rf, 또는 당해 기술분야에 공지된 다른 기술들로 달성 될 수 있다. 높은 밀도 플라즈마 처리들은 원격 플라즈마 소스의 사용으로도 달성 될 수 있다.
도 1은 종래의 CVD 공정의 흐름도를 도시한다. 10 단계에서, 전구체들이 공정 챔버 내에 도입된다. 11 단계에서, 전구체들은 퇴적막(deposited film)을 형성하기 위하여 기판 표면에서 반응한다. 전구체들이 반응하기 위한 조건들은 예를 들어, 플라즈마 에너지, 열 에너지, 광자 에너지, 및 레이저 에너지로의 노출을 포함할 수 있다. CVD 공정은 비 자기 제한 성질(non self-limiting nature)을 가지며, 이것은 퇴적 두께가 공정 시간 및 기판 온도에 따라 증가하는 것을 의미한다.
도 2는 펄스형 CVD 공정에 대한 종래 기술의 흐름도를 도시한다. 20 단계에서, 전구체들이 공정 챔버 내에 펄스로(in pulses) 도입된다. 21 단계에서, 전구체들은 퇴적막을 형성하기 위하여 기판 표면에서 반응한다. CVD 공정들과 유사하게, 펄스형 CVD 공정들은 예를 들어, 플라즈마 에너지, 열 에너지, 광자 에너지 및 레이저 에너지를 포함할 수 있다. 펄스형 CVD 공정 조건들은 예를 들어, 전구체 펄싱, 플라지마 펄싱, 열 에너지 펄싱, 광자 에너지 펄싱, 및 레이저 에너지 펄싱을 포함할 수 있다. 펄스형 CVD 공정은 정상 상태(steady state) CVD 공정과는 반대로, 과도적(transient) CVD 공정의 특성들을 가지는 주기적이고 반복적인 CVD 공정이다.
도 3은 종래의 ALD 공정의 흐름도를 도시한다. 30 단계에서, 특징적으로 자기 제한 방식으로 표면 포화(surface-saturating)하여 기판 표면을 코팅하고, 공정 시간 및 온도에 둔감한 제1 세트의 전구체들이 공정 챔버 내에 도입된다. 31 단계에서, 제1 전구체들은 이어서 공정 챔버로부터 퍼지된다(purged). 32 단계에서, 제1 세트의 전구체들에 대해 화학적으로 반응성이 있는 것으로 알려진 제2 세트의 전구체들이 공정 챔버 내에 도입된다. 이러한 제2 세트의 전구체들은 서브-단층 막(sub-monolayer film)을 형성하기 위하여, 기판 표면 상에서 흡착된 제1 전구체들과 반응한다. 33 단계에서, 제2 전구체들은 이어서 공정 챔버로부터 퍼지된다. 33 단계에서 제2 전구체들의 이러한 퍼지는 선택적이다. 34 단계에서, 원하는 두께가 달성될 때까지, 주기적인 시퀀스들이 반복될 수 있다. ALD 공정은 31 단계에서 전구체들의 포화에 의해 특징을 가지는데, 이것은 이 단계에서 전구체들의 퇴적 또는 흡착은 자기 제한적이고, 공정 시간 및 기판 온도에 둔감하다는 것을 의미한다. 추가적으로, 32 단계에서 반응하는 두 세트의 전구체들은, 제2 세트의 전구체들의 도입 이후에, 기판 표면 상에서 원하는 화합물을 생성하기 위하여 결합하여 반응한다. 퍼지 단계(31 단계)는 기상 반응(gas phase reaction)을 방지하고, ALD 공정의 표면 반응을 보존하기 위하여, 두 세트의 전구체들을 공간적 시간적으로 분리할 것이 요구된다.
도 4는 NLD 공정의 흐름도를 도시한다. 40 단계에서, 제1 전구체, 또는 제1 세트의 전구체들이 기판 표면 상에 박층을 형성하기 위하여 공정 챔버 내에 도입된다. 41 단계에서, 제1 전구체들, 그리고 퇴적에 의한 부산물들이 이어서 공정 챔버로부터 퍼지된다. 42 단계에서, 전형적으로 플라즈마를 생성하는 파워 소스(power source)에 의해 활성화되는 제2 전구체, 또는 제2 세트의 전구체들이 퇴적층의 특성들을 처리 또는 변화시키기 위하여 공정 챔버 내에 도입된다. 43 단계에서, 제2 전구체 또는 제2 세트의 전구체들은 공정 챔버로부터 제거(evacuated) 또는 퍼지된다. 이러한 퍼지 단계(43 단계)는 선택적이다. 44 단계에서, 원하는 두께가 달성될 때까지, 시퀀스가 반복될 수 있다. NLD 공정은 박막의 퇴적이 40 단계에서 비 자기 제한적이라는 점에서 ALD와 다른데, 이것은 이 단계에서 전구체들의 퇴적이 공정 시간 및 기판 온도에 의존적이라는 것을 의미한다. 42 단계에서는, 이 단계가 두 전구체들이 ALD에서 결합되는 경우이기 때문에, 두 세트의 전구체들은 자발적으로 반응하지 않지만, 오히려 NLD에서 제2 세트의 전구체들은, 플라즈마 소스 또는 다른 에너지 소스에 의해 활성화되어, 40 단계 이후에 기판 상에 별로 바람직하지 않은 중간 막 특성들을 가지는 층을 형성했던 제1 전구체 또는 제1 세트의 전구체들로부터의 부산물들과 반응한다. 변화 단계(42 단계)는 이어서 상기 막을 별로 바람직하지 않은 막 특성들을 가지는 층에서 바람직한 막 특성들을 가지는 막으로 변환하기 위하여, 이미 퇴적된 막을 변화 또는 처리하는 데에 이용된다. 바람직하지 않은 막 특성들로부터의 변환은 막 밀도의 향상, 막 두께의 변경, 불순물들의 포함, 불순물들의 제거, 막의 결정도의 변경, 막 조성의 변형, 화학양론의 변화, 또는 막의 임의의 다른 물리적, 전기적, 자기적 또는 다른 특성의 변경을 가능하게 할 수 있다. 퍼지 단계(41 단계)는 일반적으로 기상 반응들을 방지하기 위하여, 제1 전구체 또는 제1 세트의 전구체들과 제2 전구체 또는 제2 세트의 전구체들을 분리하는 데에 이용되지만, NLD 공정이 두 전구체들 또는 두 세트의 전구체들 사이의 자발적 반응들, 또는 상호 반응들(inter-reactions)에 의존하지 않으므로, 퍼지 단계(41 단계)가 항상 요구되지는 않을 수 있다.
도 5는 기판(500) 상의 막 성장 시퀀스(599)에 상응하는 도면과 함께, NLD 공정 시퀀스(598)의 흐름도를 도시한다. 제1 퇴적 단계(501 단계)는 층(511)을 퇴적하기 위하여 기판(500)을 제1 전구체들 또는 제1 세트의 전구체들에 노출하는 단계이다. 층(511)은 연속적인 층 또는 불연속적인 층일 수 있다. 제1 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 또 다른 CVD 또는 MOCVD 전구체, 반응성 가스, 또는 비 반응성 가스와 같은 한 세트의 전구체들일 수 있고; 또는 하나 또는 그 이상의 CVD 전구체, MOCVD 전구체, 반응성 가스, 비 반응성 가스, 비활성 가스 또는 희석제(diluent)의 임의의 조합일 수 있다. 퇴적 단계(501 단계) 이후에는 처리된 막(550)을 생성하기 위하여 이미 증착된 층(511)의 특성들을 변화시키는 플라즈마 처리(520)가 이어진다. 변화 단계는 막 밀도의 변경, 막 두께의 변경, 불순물의 포함, 불순물들의 제거, 막 결정도의 변경, 막 조성의 변화, 화학양론의 변화, 또는 막의 임의의 다른 물리적, 전기적, 자기적 또는 다른 특성의 변경을 가능하게 할 수 있다. 처리된 층(550)을 생성하기 위한 플라즈마 처리(520) 이후에, 처리된 층을 생성하는 데에 이용되는 공정 시퀀스는 화학량론적 복합막(stoichiometric composite film)(570)을 생성하기 위하여 기판(500) 상에 층들로 이루어진 스택(550)을 쌓도록 가장 단순한 경우에 반복된다(590 단계). 후술된 바와 같이, 퇴적 단계(501 단계)와 처리 단계(520 단계)를 위한 공정 시퀀스의 변경은 경사막 구조들(graded film structures)을 제조하는 데에 이용될 수 있다.
도 6은 NLD의 추가적인 특징을 설명하기 위하여 막 성장 시퀀스(699)에 상응하는 도면과 함께 NLD 공정 시퀀스(698)의 흐름도를 도시한다. NLD 공정 시퀀스(698)에서, 제1 전구체들을 이용한 퇴적(601 단계) 이후에는, 막(612)을 퇴적하고 막(611)을 처리하는 퇴적 단계(609 단계)가 이어진다. 퇴적 단계(609 단계)는 제2 전구체들로부터 퇴적된 막과 함께(609), 처리된 하부 막(659)과 생성하기 위하여 층(611)의 특성을 변화시키고(609), 막들(659, 612)의 처리된 결합(650)을 생성한다. NLD에서 비 자기 제한적인 퇴적의 플라즈마 처리의 이용은, 도 5에 도시된 처리(520)와 같은 구체적인 단계를 이용하여 퇴적된 박막들(601)의 변형들 및 공정 시퀀스(698)에서 처리된 층들(659, 650)을 형성하기 위하여 층(612)을 퇴적하고 이전에 퇴적된 층들(611)을 처리하는 처리들(609)을 이용하여 퇴적된 막들의 변화를 허용한다. 화학량론적 막들은, 원하는 화학양론 및 막 두께를 가진 막(670)을 생성하기 위하여 퇴적 및 처리 단계들(690)을 반복함으로써 제조된다.
막을 퇴적하기 위한 본 NLD 방법은, 이러한 NLD 방법이 주기적인 공정 및 제2 전구체 또는 제2 세트의 전구체들을 도입한다는 점에서 CVD 방법들과 상당히 다르다. 본 NLD 방법은 NLD 방법이 퍼지 단계 및 제2 전구체 또는 제2 세트의 전구체들을 도입한다는 점에서 펄스형 CVD 또는 순차적인 CVD와 다르다. NLD에 이용되는, 두 전구체들 또는 두 세트의 전구체들을 가진 주기적이고 순차적인 퇴적은 두 전구체들 또는 두 세트의 전구체들을 분리하는 퍼지 단계와 함께, CVD 및 펄스형 CVD 방법들에서 가능하지 않은 방식으로 퇴적된 막의 변형을 허용한다. 후술되는 예시들은 CVD에 비하여 NLD의 이점들을 논의한다. 본 섹션(section)에서, CVD라는 용어는 펄스형 CVD 및 순차적 CVD 방법들을 포함한다.
추가적으로, NLD는 CVD로는 이용할 수 없는 수준의 유연성을 가지는 나노라미네이트(nanolaminate) 막 구조들과 착화합물(complex compound)을 만드는 능력을 제공한다.
일반적인 CVD 공정은 원하는 막 특성들을 생성하기 위하여 충분히 높은 온도에서, 그리고 원하는 막 두께를 생성하기 위한 충분한 지속 기간 동안 수행된다. CVD 공정의 균일성 및 표면 커버리지(surface coverage)는 단지 화학적 전구체들과 초기 기판 표면의 반응 메커니즘에 의존할 것이다. 반면에, NLD 방법은 퇴적 공정 중에 기판 표면 특성들을 변화시키기 위하여(메커니즘을 효율적으로 제공하는 것은 노출된 표면 특성들을 제어하기 위하여 표면의 주기적인 재생(re-conditioning)을 허용함), 그리고 퇴적 과정에 걸쳐 이러한 특성들에서의 변경을 최소화하기 위하여, 제2 세트의 전구체들을 제공한다. NLD 방법은 퇴적 막들의 표면 커버리지 특성들을 향상시키기 위하여, 막 성장 중에 기판 표면 특성들에 대한 더욱 큰 제어를 제공한다. TEOS 및 산소를 제1 세트의 전구체들로 이용하고 플라즈마 아르곤, 또는 플라즈마 수소, 또는 플라즈마 질소를 제2 세트의 전구체들로 이용하는 NLD 실리콘 디옥사이드(dioxide) 퇴적은, 박막 성장에 대하여, TEOS/산소 혼합물만을 이용한 CVD 공정보다 향상된 균일성 및 표면 커버리지를 제공한다. 이와 유사하게, 실란(silane)/암모니아를 제1 세트의 전구체들로 이용하고 플라즈마 아르곤, 또는 플라즈마 수소, 또는 플라즈마 질소를 제2 세트의 전구체들로 이용하는 NLD 실리콘 나이트라이드(nitride) 퇴적 공정은, 박막 성장에 대하여, 실란/암모니아 혼합물만을 이용한 CVD 공정보다 향상된 균일성 및 표면 커버리지를 제공한다.
CVD에 비해 NLD의 장점들 중 다른 예는, NLD 공정들을 이용할 경우에 감소된 공정 온도가 가능하다는 것이다. CVD 공정에서 기판 온도는 수용 가능한 품질의 막을 제공하기 위해 필요한 반응 메커니즘에 의해 결정된다. CVD 공정들에서 공정 온도의 작은 감소는, 불완전한 반응들, 불순물 포함 및 화학양론의 변경들을 통하여 퇴적막의 특성들을 상당히 변화시킬 수 있다. 반면에, 본 발명의 NLD 방법은 CVD에 의해 달성할 수 있는 것보다 낮은 온도에서, 이상적이지 않은 이미 퇴적된 막들을 고 품질의 막들로 변환시킬 수 있는 이차적인 처리 공정들을 이용하여 퇴적막을 변화시키는 능력을 통하여, 막 품질에 대한 타협 없이, CVD보다 더 낮은 온도에서 동작을 허용한다. NLD 방법을 이용한 좀더 낮은 온도의 공정의 차이는, CVD 방법과 비교하면, 동일한 세트의 제1 전구체들에 대하여, NLD 공정을 종래의 CVD 방법들에 비해 실현 가능한(enabling) 기술로서 돋보이게 한다. 또한, NLD 및 CVD에서 퇴적 단계들은 기판 온도에 의존적이므로, NLD 방법에서의 더 낮은 기판 온도는, 감소된 퇴적율로 인하여 막 특성들에 대하여 보다 우수한 제어를 제공한다.
CVD에 비해 NLD의 장점들 중 다른 예로는, 퇴적막의 밀도를 높이는(densify) 방법이 가능하다는 것이다. CVD 방법에서, 막의 전체 두께가 일반적으로 퇴적되고, 그리고 이어서 어닐링(annealing)과 같은 이차적인 처리가 수행된다. 이러한 이차적인 공정은 더 많은 시간을 소요할 수 있고, 박막들에 비하여 두꺼운 막들의 경우에 종종 덜 효율적이다. 예를 들어, 1 마이크론 두께의 막은 두께가 0.5 마이크론인 막에 비하여, 동일한 어닐링 처리 시간 동안에 어닐링 단계에 의한 영향을 덜 받을 수 있다. 반면에, NLD 공정에서 박막들의 퇴적은, 이후에 인 시츄(in situ) 처리 단계들이 수행되며, 퇴적 및 처리의 주기적인 방법을 제공하는데, 이 경우에 전체 막 두께의 작은 일부가 이러한 공정의 각 주기(cycle) 동안에 퇴적되고, 변화된다. 더욱 얇은 층들은, 전체 막 두께의 퇴적 이후에 이차적인 처리들로 제공될 수 있는 것보다 더욱 직접적이고 효율적인 처리들을 허용할 수 있다. 또한, 원하지 않는 불순물들의 외확산(out-diffusion)은 CVD에 의해 퇴적되는 두꺼운 막들에서 상당히 더 많은 시간을 소요할 수 있다.
CVD에 비해 NLD의 장점들 중 다른 예는, 막 성장 중에 층의 조성 및 화학양론을 변화시킬 수 있는 능력이다. 예를 들어, 남은 탄소는 처리 단계들 중에 NLD 공정에서 각 주기 동안 금속 유기(metallo-organic) 전구체로부터 퇴적된 층으로부터 제거될 수 있다. CVD에서, 특정한 양의 탄소를 함유하는 두꺼운 막은 일반적으로 공정 온도 및 퇴적 조건들에 의존하여 퇴적된다. 완성된 막은 이어서 플라즈마 이온화된 수소와 같은 에너제틱 종(energetic species)이 탄소와 반응하고 퇴적된 막으로부터 탄소를 제거하도록 하게 한다. 두꺼운 막들의 경우에, 높은 에너제틱 종이 전체 막 두께를 처리하는데 이용되고, 많은 경우들에 있어서 비현실적이며, 그리고, 잠재적으로 퇴적막 또는 하부 기판에 손상을 야기할 수 있다. 반면에, 본 발명의 NLD 방법은 주기적인 NLD 공정의 각 주기 동안 전체 막 중 작은 일부의 퇴적 및 탄소 제거 처리의 주기적이고 순차적인 방법을 제공한다. 처리될 층이 전체 막 두께에 비하여 훨씬 얇고 사용자가 원하는 만큼 얇게 선택될 수 있기 때문에, 탄소를 제거하고 퇴적막 또는 하부 기판을 손상시키기 않기 위하여, 에너제틱 종의 에너지는 낮고 실현 가능한 범위 내일 수 있다.
CVD에 비해 NLD의 장점들 중 다른 예는, 고상 반응들을 제거하는 잠재력이다. 예를 들어, TDMAT(tetra dimethyl amine titanium) 금속 유기 전구체를 NH3와 함께 퇴적하는 경우, TDMAT는 NH3와 반응하여 입자들을 생성하고 퇴적막을 거칠게 하기 때문에, CVD 방법은 비현실적일 것이다. TDMAT를 이용한 전체 막의 CVD 퇴적은, 막 특성들을 변화시키기 위한 NH3 처리가 이어지며, 전체 막 두께를 처리하는 것이 불가능할 것이다. 반면에, 본 발명의 NLD 방법은 목표 막 두께의 작은 일부의 TDMAT 및 NH3 처리를 이용하는 주기적이고 순차적인 퇴적 방법을 제공한다. TDMAT의 퇴적막 두께가 수 나노미터(1-2 nm)보다 작은 경우에, 예를 들어, NH3 , 또는 N2, 또는 N2/H2 혼합물을 이용하는 처리는 고품질의 티타늄 나이트라이드 막들을 생성하는 데에 실용적이고 효과적이다. 유사한 공정들 및 결과들이, 다른 티타늄 함유 금속 유기 전구체들에 대한 TDEAT, TMEAT, 다른 탄탈륨 함유 금속 유기 전구체들에 대한 PDMAT, PDEAT, Cu (hfac) (tmvs)와 같이 구리를 함유하는 다른 금속 유기 금속 전구체들에 대한, Cu hfac(I), Cu hfac (II), 구리 요오드(iodine), 구리 염화물(chloride)과 같은 무기 구리 함유 전구체들에 대한, 그리고, 티타늄 염화물과 같은 무기 티타늄 함유 전구체들에 대한 예시적인 리스트로부터의, 제1 전구체들 또는 제1 세트의 전구체들로부터 기대될 수 있고 획득될 수 있다. 적절한 이차적인 전구체들 또는 전구체들의 세트들은 예를 들어, N2, H2, Ar, He, NH3 또는 이들의 혼합물들 및 다른 가스들을 이용하는 플라즈마 처리들을 포함할 수 있다.
CVD에 비해 NLD의 장점들 중 다른 예는, 막 성장 중에 퇴적막의 화학양론을 변화시키고 제어할 수 있는 잠재력이다. 종래의 CVD에 비해 NLD를 이용하여 퇴적될 수 있는 막들의 예들은 산소가 풍부한 막들, 질소가 풍부한 막들, 산질화물이 풍부한 막들, 및 금속이 풍부한 막들이다. 예를 들어, 산소와 같은, 퇴적막에서의 임의의 구성 성분의 함유량을 변경하기 위하여, CVD 방법은 모든 전구체 구성 성분들의 조정이 필요할 것이다. 성분(element)의 포함(incorporation)이 전구체 증기 형태에서 그 존재에 대해 직접적으로 비례하지 않기 때문에, 이것은 쉬운 작업이 아니다. 많은 경우들에서, CVD에 의해 퇴적된 막들의 함유량의 제어는 가능하지 않을 것이며, 이것은 이러한 막들은 화학 반응의 생산물이고 초과(excess) 전구체들은 일반적으로 반응에 참여하지 않기 때문이다. 반면에, 본 발명의 NLD 방법은 전체 목표 막 두께의 작은 일부의 퇴적 및 처리의 주기적이고 순차적인 방법을 제공한다. 처리 단계는 퇴적 단계로부터 분리되고, 결과로서 얻어지는 막들에서 특정한 화합물들 또는 화학양론적 조성들을 달성하도록 설계될 수 있다. 예를 들어, 산소가 풍부한 막이 필요한 경우, 플라즈마 산소와 같은 에너제틱 산소 처리 단계 또는 오존 처리가, 다른 방식으로 퇴적막 내에 포함되는 것들에 비하여 높은 레벨의 산소를 포함시키는데 이용될 수 있다. 만약 퇴적막이 충분히 얇으면, 산소를 더 포함시키는 것이 가능한데, 이러한 조건은 본 발명의 NLD 방법에서는 가능하지만 CVD로는 불가능하다. 질소가 풍부한 막이 필요한 경우, 플라즈마 질소 또는 암모니아(NH3) 공급과 같은 에너제틱 질소 처리 단계는, 다른 방식으로 포함되는 것에 비하여 퇴적막 내로 질소를 추가적으로 포함시킬 수 있다. 산질화물 막이 필요한 경우, 에너제틱 산소 처리 단계는 예를 들어, 퇴적된 나이트라이드 막 내에 산소를 추가적으로 포함시키는 데에 이용될 수 있고, 또는 에너제틱 질소 처리 단계는 퇴적된 옥사이드 막 내에 질소를 추가적으로 포함시키는 데에 이용될 수 있다.
NLD 방법은 종래의 CVD 및 다른 퇴적 방법들에 비해, 퇴적막의 화학양론을 조절하는 데에 있어서 높은 수준의 유연성을 제공하고, 이러한 유연성은 막의 퇴적을 통해 제공된다.
CVD에 비해 NLD의 장점들 중 다른 예는, 퇴적막의 특성들 중 하나 또는 그 이상을 변화시키기 위하여 성장 막으로 불순물들을 포함시키는 잠재력이다. NLD 방법에 의해 성장되는 막들에서 불순물 포함의 예들은 알루미늄 막의 구리 도핑, 실리콘 디옥사이드 막들의 탄소 도핑, 및 실리콘 디옥사이드 막들의 불소 도핑을 포함한다. 순수한 알루미늄의 전자이동 저항성(electromigration resistance)은 적은 양의, 일반적으로 수 퍼센트 보다 작은 구리를 함유하는 알루미늄 막들보다 훨씬 불량하다. CVD를 이용하여 이러한 작업을 성취하는 능력은, 원하는 혼합물을 퇴적할 수 있는 알루미늄 및 구리의 호환 가능한 전구체들의 결합을 필요로 할 것이다. 반면에, 본 발명의 NLD 방법은 알루미늄 막의 일부를 퇴적하고, 이어서 처리 단계 중에 일부 막 두께 내로 구리를 포함시키는 퇴적 단계가 수행되는 주기적이고 순차적인 방법을 제공한다. 이러한 예에서, 알루미늄은 알루미늄 함유 전구체로부터 제1 퇴적 단계 중에 도입되고, 구리는 처리 단계 중에 구리 함유 전구체로부터 도입되며, 이것은 처리와 퇴적 단계의 결합으로서 결과적으로 알루미늄/구리 합금들의 생성에서 높은 수준의 제어를 가능하게 한다. 알루미늄과 구리 전구체들의 개별적이고 순차적인 도입은 CVD에서는 가능하지 않다. 유사한 결과들 및 유연성은 다른 많은 예시들 중에서 실리콘 디옥사이드의 탄소 도핑 및 실리콘 디옥사이드의 불소 도핑으로 획득될 수 있다.
CVD에 비해 NLD의 장점들 중 다른 예는 멀티층 막 스택들, 복합 화학양론을 가지는 막들, 및 나노라미네이트 막들을 제조하는 능력이다. 나노라미네이트 막들은 멀티층 막들이지만, 이러한 층들은 일부 경우들에서 매우 얇을 수 있고, 때때로 미완성 층들일 수 있으며, 그리고 때때로 심지어 단층보다 작을 수 있다. CVD 방법은 여러 공정 챔버들을 필요로 하고, 또한 오염과 불순물들을 발생시키지 않으면서 이러한 챔버들 사이의 이동을 위한 능력을 필요로 하기 때문에 비현실적일 것이다. 반면에, 본 발명의 NLD 방법은 제1 층 막을 퇴적하고, 이어서 처리 시퀀스 중에 제2 층 막을 퇴적하는 주기적이고 순차적인 방법을 제공할 수 있다. 제1 층은 단층의 일부만큼 얇을 수 있고, 또는 많은 단층들을 가짐으로써 두꺼울 수 있다. 이것은 이어지는 제2 층들에 대해서도 마찬가지이다.
또한, 막을 퇴적하기 위한 본 NLD 방법은, 본 NLD 방법이 비 자기 제한적인 퇴적 단계를 가진다는 점에서 ALD 방법과는 상당히 다르다. 본 발명의 NLD 방법에서 퇴적 단계들에서의 퇴적율은 ALD 공정들과는 달리, 기판 온도 및 공정 시간에 의존적이다. ALD에서는, 전구체들의 흡착율은 기판 표면 상의 전구체 리간드들(ligands)의 포화를 기초로 하는 자기 제한적인 성질을 가진다. ALD 공정에서 기판을 가스형 전구체에 잠시 동안 노출한 이후에, 표면은 포화되고 퇴적이 중단되며, 임의의 초과 전구체 증기는 기판 상에 퇴적되는 층을 두껍게 하는데 기여하는 효과를 더 이상 가지지 않는다. 다시 말해, ALD 방법의 흡착 또는 퇴적 단계는, 포화에 도달한 이후에는 시간에 대해 독립적이다. 또한 ALD 방법은 CVD 또는 NLD 방법들보다 기판 온도에 덜 의존적이다. 그러므로, 본 발명의 NLD 방법은 ALD 방법과는 명백한 차이점들을 가진다.
본 발명의 NLD 방법의 비 자기 제한적인 특징은 CVD 및 CVD 방법에서 공통적으로 이용되는 금속 유기 CVD 전구체들의 이용을 허용한다. 반면에, ALD에 대해 이용 가능한 전구체들의 범위는 제한적인데, 이것은 ALD 전구체들이 ALD와 같은 흡착을 제공하고, 박층들을 단층까지의 두께로 퇴적하는 포화적이고 자기 제한적인 특성들을 나타내야 하기 때문이다. ALD와 NLD 사이의 퇴적 행동들의 차이는 ALD 방법에 대하여 전구체들의 이용 가능성을 제한하고, NLD 방법에 대하여 이용 가능한 전구체들의 범위를 모든 CVD 및 금속 유기 CVD 전구체들이 NLD에서 이용될 수 있는 범위까지 넓힌다. ALD의 자기 제한적인 흡착은 각 퇴적 주기에서 퇴적될 단층의 최대값을 허용한다. 나아가, ALD 전구체들을 이용하여 표면의 포화를 가져올 조건들에 기판을 노출시키는 것은 추가적인 전구체가 퇴적되는 결과를 가져오지 않는다.
ALD에 대해 설계된 전구체는 자기 제한적인 모드에서 퇴적되는 표면 상의 본딩 위치들(bonding sites)에서 쉽게 흡착되어야 한다. 일단 흡착되면, 전구체는 원하는 막을 형성하기 위하여, 때때로 반응물로 지칭되는, 제2 ALD 전구체와 반응해야 한다.
ALD에 대한 필요 조건들은 CVD와 다른데, ALD의 경우에 전구체들은 기판에 동시에 도달하고, 이것은 기판 표면에서 전구체들의 반응으로부터 막의 연속적인 퇴적을 가져온다. 많은 유용한 CVD 전구체들은 ALD 전구체들로 실행 가능하지 않으며, 그 반대도 마찬가지이다. ALD 방법을 위한 전구체들의 선택은 사소하거나 자명하지 않다. ALD 공정은 표면을 포화시키는 제1 전구체 및 목표 막을 형성하기 위하여 반응하는 제2 전구체의 매칭된 쌍의 전구체들을 필요로 한다. 본 문헌에서 광 범위하게 연구된, 매칭된 세트의 ALD 전구체들의 예는 트리메틸 알루미늄(trimethyl-aluminum)과 물이다.
ALD에 비해 NLD의 장점들 중 다른 예는, NLD에 포함될 수 있는, 플라즈마 기술 및 빠른 열 공정 기술과 같은 CVD 기술을 향상시키기 위한 기술 분야에서 개발되어 온 기술들의 용이한 포함이다. CVD와 전구체들을 공유함으로써, 이러한 기술들은 NLD 방법으로도 구현될 수 있다. NLD에서 플라즈마 퇴적 단계는, CVD 방법들에서 이용 가능한 지식 기반으로 인하여 빠르게 설계되고 테스트될 수 있다.
ALD에 비해 NLD의 장점들 중 다른 예는 NLD에서 표면 조건들에 대한 상대적인 둔감성이다. 임의의 퇴적 기술에서, 핵 생성(nucleation) 및 막 성장은 기판 표면의 청결도(cleanliness)에 의해 상당히 영향을 받을 수 있다. 표면 준비(surface preparation) 기술들은 막 품질 및 막 특성들에서 차이를 이끌 수 있다.
NLD 및 ALD의 서로 다른 퇴적 메커니즘들의 결과로서, NLD 공정은 ALD보다 덜 민감하다. ALD에서 기판 및 기판 준비는 ALD 전구체들과 노출된 기판 상의 표면 위치들(sites)의 분자 본딩으로 인하여 매우 중요하다. 표면 청결도 또는 표면 준비에서 작은 변동은 ALD에서 막 품질 및 막 특성들의 큰 차이를 이끌 수 있다.
반면에, NLD 공정들은 표면 준비에 대해 덜 민감한데, 이것은 퇴적 방법이 NLD 공정들의 경우 기판 표면 인근에서 분자들의 분해가 기상으로(in the gas phase) 진행되고, 기체 공급 및 표면 온도에서 전구체들의 반응에 크게 의존하기 때문이다. 유사한 퇴적 공정들이 표면들 및 표면 준비들의 넓은 범위에 걸쳐서 일어날 수 있다. 서로 다른 표면들이 전구체들에 대하여 핵 생성 및 퇴적의 개시를 위한 서로 다른 시간을 가지기 때문에, NLD 공정들에서 핵 생성 시간은 변경될 것이다.
서로 다른 기판들: 실리콘 디옥사이드 기판, 유기 폴리머(polymer) 기판, 및 다공성 유전체 기판 상에, NLD 공정들을 이용하여 TiN을 퇴적하는 경우에, 출원인의 실험실에서는 핵 생성 시간의 변경이 관찰되었다. 이러한 세 가지 서로 다른 기판들 상의 TiN 막들은 유사한 막 품질 및 특성들을 가지고, 다양한 표면들 상의 핵 생성 시간의 차이로 인하여, 막 두께에서만 차이를 가진다.
또한, 에피택셜 막들의 퇴적은 기판의 집중적인 준비를 필요로 하고, 이에 따라, 퇴적되는 원자들의 제1 층은 에피택셜하게 성장하거나, 또는 기판 결정과 일치하는 질서 정연한(ordered) 배치로 성장한다.
비 에피택셜 막들의 NLD 공정은 비아들(vias)과 트렌치들(trenches), 그리고, 특히 반도체 장치들에서 높은 종횡비(aspect ratio)를 가지는 구조들의 상부에 박막의 균일한(conformal) 퇴적 또는 고도로 균일한 커버리지를 허용한다.
ALD에 비해 NLD의 장점들 중 다른 예는 MOCVD 전구체들을 이용하는 능력이다. MOCVD 전구체들은 상당한 양의 탄소를 함유한다. 본 발명의 NLD 공정은 이어지는 처리 단계들에서 제거되는 탄소 불순물들과 함께, 박막들을 퇴적한다. 예를 들어, 효율적인 탄소 제거 단계는 플라즈마 수소 또는 질소와 같은 이온화된 수소 또는 질소를 이용할 수 있다.
ALD에 비해 NLD의 장점들 중 다른 예는 본 발명의 NLD 방법의 비 자기 제한적인 행동이다. 이러한 비 자기 제한적인 행동은 주기 당 더 높은 두께를 달성하기 위하여 퇴적층의 두께를 조정하는 것을 허용한다. ALD 방법은 기판 표면 상에 리간드들의 포화 및 그 결과 주기 당 퇴적 막 두께를 기초로 하고, 각 퇴적 주기 동안 단층을 초과할 수 없다. 반면에, 본 발명의 NLD 방법에서 주기 당 두께는 공정 온도 및 공정 시간의 함수이다. 퇴적 시간이 증가함에 따라, 또는 퇴적 온도가 증가함에 따라 퇴적된 층의 두께도 역시 증가한다.
NLD 공정 주기 동안의 최적 두께는 관련된 처리 단계 또는 처리 단계들 동안에 처리될 수 있는 주기 당 가장 큰 두께이다. 예를 들어, TDMAT 전구체 및 플라즈마 질소 처리를 이용하여 TiN을 퇴적하는 NLD 공정은 일반적으로 일 나노미터에서 수 나노미터까지의 주기 당 두께를 가질 수 있다. 궁극적인 두께는 처리 주기들의 능률(efficacy)에 크게 의존한다. 처리 단계들에서 바이어스되는 기판 및 고 밀도의 플라즈마의 이용은 예를 들어, 저 밀도의, 비 바이스된 처리들 보다 더욱 효율적인 막 변화를 이끌 수 있다. 주기 당 두께를 변경하는 능력은 NLD 공정이 동일한 전체 막 두께에 대하여 더 적은 주기들을 이용하는 것을 허용하고, 이것은 더욱 빠른 공정 시간을 이끌고, ALD 공정에 비해 더욱 높은 잠재적인 처리량을 제공한다.
ALD에 비해 NLD의 장점들 중 다른 예는, 본 발명의 NLD 방법의 비 자기 제한적인 특징으로 인하여 NLD 방법이 결과적인 막의 두께에 걸쳐서 개별적인 퇴적 층들을 변화시키는 것도 허용한다는 점이다. 예를 들어, 벌크(bulk)에 비해 경계면들에서 더욱 높은 막 품질을 요구하는 어플리케이션은, 막 스택의 상부면과 바닥면에서 매우 고품질의 층들을 퇴적하고, 중간 층들에서는 아마 주기 당 더 높은 퇴적율 또는 더 낮은 처리 시간에 퇴적되는 저 품질의 막들을 퇴적하는 능력을 가지는 것으로부터 혜택을 받을 것이다. 이러한 유연성은 ALD로는 불가능하다.
ALD에 비해 NLD의 장점들 중 다른 예는, NLD 공정의 시간과 기판 온도에 대한 의존성에 있다. 이러한 의존성을 가지고, 더욱 높은 온도에서 동작하는 능력 및 퇴적 두께를 변화시키고 주기당 더 큰 두께를 퇴적하는 능력을 가진다.
기판 온도의 변화는 신속한 반응 시간을 위해 복사 열 전달을 이용한 신속한 열 공정에 의해 아마도 가장 잘 달성된다. 다른 방법으로는, 저항성 발열 기판이 기저(baseline) 온도를 제공할 수 있을 것이고, 램프 가열로 주기 당 증가하는 두께로 인하여 필요한 온도의 증가를 제공할 수 있을 것이다.
역으로, ALD 공정 온도는 대체적으로 전구체들의 리간드들 사이의 화학적 반응에 의해 정해지며, 따라서 ALD 방법은 기판 온도에 둔감하다.
ALD에 비한 NLD의 장점들의 또 다른 예는, 본 발명의 NLD 방법에 따른 퇴적과 처리 단계들 사이의 퍼지 단계들에 대한 NLD 공정의 감소된 민감도이다. 이러한 저 민감성은 NLD 공정에서 사용되는 CVD 또는 MOCVD 제1 전구체들과 제2 전구체들 사이의 반응성 부족 때문에 많은 공정에서 나타난다. 여러 세트의 전구체들이 호환 가능한 경우에, NLD 공정 중의 퍼지 단계들은 필요하지 않을 수도 있고, 또한 공정의 산출량을 향상시킬 수 있도록 축소되거나 또는 배제될 수도 있다. NLD 방법에서 퍼지 단계들은 ALD에서 그러하듯이 전구체들이 자발적으로 반응성인 경우에서는 유익하다. 반면에, ALD 방법은, 이러한 두 단계들의 사이에서, 설계된 반응이 기판 표면에 대해 제한되도록 의도되기 때문에, 퍼지 단계를 필요로 하며, 만약 퍼지 단계들이 없을 경우에는 바람직하지 않은 반응들이 공정 챔버 내의 어디서든 일어날 수 있다.
ALD에 비한 NLD의 장점들의 또 다른 예는 표면 커버리지의 제어 가능성에 있다. ALD 방법은 큰 종횡비의 트렌치 및 비아 특징물들의 상부면들과 바닥면들에서 동일한 스텝 커버리지(step coverage)를 제공할 수 있는 능력과 함께, 뛰어난 균일성과 표면 커버리지를 가진다. 그러나, ALD 기술은 트렌치 및 비아 구조들의 상부면들과 바닥면들에서, 동일한 스텝 커버리지에서 벗어난 스텝 커버리지를 생성하는 데에는 이용될 수 없다. ALD 공정의 균일한 성질은 ALD 방법의 한 가지 특성이지만, 조정 가능한 특징이 아니다.
반면에, 본 발명의 NLD에서, 스텝 커버리지 특성은 주기 당 두께를 변화시킴으로써, 퇴적 온도를 변화시킴으로써, 그리고 잠재적으로는, 그 밖의 공정 파라미터들을 변화시킴으로써 변동될 수 있다. NLD의 퇴적 단계가 CVD를 기초로 때문에, NLD에서 주기 당 두께가 얇을수록, 스텝 커버리지(특징물의 바닥면에 대한 상부면에서의 두께의 비율)는 높아진다. 이러한 수준의 제어는 예를 들어, 다공성 기판들 상에 퇴적들에 있어서 NLD에 이점을 제공한다. 오픈된 구멍의(open-pored), 다공성 기판 상의 ALD 퇴적은 모든 구멍들(pores)을 통해 이동할 것이고, 모든 곳에 퇴적할 것이며, 잠재적으로는 만약 그 퇴적된 막이 도전성이라면 회로를 단락시킬 수 있다. 반면에, NLD 방법은 퇴적 주기의 초기에 매우 높은 퇴적율을 전달하여, 고품질의 얇고 균일한 막의 퇴적을 시작하기 전에 오픈된 구멍들을 효과적으로 봉쇄할 수 있다. 낮은 스텝 커버리지를 생성하는 조건들로 NLD 공정을 시작함으로써, 다공성 물질 안으로의 침투 정도는 ALD 방법에 비해 상당히 적어진다.
ALD에 비해 NLD의 장점들의 또 다른 예는 챔버 설계의 유연성이다.
ALD에서는, 산출량은 주기 당 퇴적된 두께에 대한 의존성의 부족으로 인하여, 주기 시간에 크게 의존적이다. 챔버 설계 부피는 반드시 최소화되어야 하는데, 이는 신속한 순환(cycling)과 전구체들의 퍼지를 가능하게 하고 실용적인 펌핑 패키지(pumping package)를 가지고 수용할 수 있는 산출량을 달성할 수 있다. 고속 스위칭 밸브들도 또한 전구체 이송과 전구체의 공급 및 퍼지 단계들의 동기화를 위한 빠른 반응 시간을 보장하기 위해 필요하게 된다.
반면에, 본 발명의 NLD 방법에서, 잠재적인 높은 주기당 두께 특성과, 이로부터 얻어지는 더 적은 주기들 및 잠재적으로 더 높은 산출량 때문에, 챔버 설계 문제들이 그리 중요하지 않게 된다. 비록 발전한 ALD 챔버 디자인들의 많은 특징들로부터 NLD 공정이 혜택을 받을 수 있지만, 큰 부피 및 느린 밸브 응답 시간을 가진 종래의 CVD 챔버도 종종 많은 응용 분야들에서 NLD 공정을 수행하는 데에 적합하다.
NLD를 통해 이용할 수 있는 증가된 수준의 유연성은, ALD로는 쉽게 이용할 수 없는, 산출량 대 장비의 복잡성에서의 트레이드오프(tradeoffs) 관계를 가능하게 한다.
NLD를 채택한 경우에 관찰될 수 있는 챔버 설계에서의 유연성에 있어서 한 가지 장점의 예는, 높은 밀도의 플라즈마 소스가 NLD 공정 흐름 내에 용이하게 통합될 수 있다는 것이다. 고밀도 플라즈마 설계들은 전하를 띤(charged) 그리고 중성의(neutral) 입자들의 에너지를 균일화하기 위해 종종 큰 챔버 부피를 요구한다. NLD에서 이러한 부피 요구 조건에서의 완화는 ALD 공정에서 작은 챔버 부피 요구 조건과 대비될 수 있다.
복합 막들의 퇴적
NLD 공정의 융통성(versatility)은 복합 화학양론적 막들(complex stoichiometric films)을 생성할 수 있는 능력을 제공한다. 복합 화학양론적 막들의 제조에 특히 적용되는 본 발명의 변형예들이 설명된다.
가장 단순한 경우를 예로 들면, 성장 막에 셋 또는 그 이상의 성분들을 이용하는 복합 막들의 퇴적에 있어서, 복수의 전구체들이 두꺼운 막을 퇴적하기 위한 제1 퇴적 단계에서 결합될 수 있는데, 이러한 두꺼운 막은 이어서 하나 또는 그 이상의 플라즈마 처리 단계들에서 처리되어 이미 퇴적된 막을 원하는 막 특성들을 가진 막으로 변환한다. 이러한 복수의 전구체들은 처리 단계로 이어지는 단일한 퇴적 단계 또는 일련의 단계들에서 결합될 수도 있고, 각각이 처리 단계로 이어지는 개별적인 퇴적 단계들의 시퀀스 내에서 결합될 수도 있으며, 또는 그러한 복수의 전구체들의 일부는 처리 단계로 이어지는 단일 퇴적 단계에서 결합되고 나머지들은 또 다른 퇴적 단계로 이어지는 개별적인 퇴적 단계들의 시퀀스에서 결합될 수도 있다. 나중의 두 가지 경우에서는, 개별적인 퇴적 단계들은 하나 또는 다수의 성분들을 필요한 화학양론 비율만큼 퇴적하는 데에 이용될 수 있다. 이러한 두 가지 경우에서, 단계들이 실행되는 순서는 최종 결과(net result)가 화합물 막에 대한 바람직한 화학양론적 혼합물을 생산하는 것인 한, 역전될 수도 있고 또는 순서가 바뀔 수도 있다.
다음 문단들에서 설명하는 본 발명의 방법을 이용하여, 둘(이원), 셋(삼원), 넷(사원), 다섯(오원), 여섯(육원) 성분들 또는 그 이상의 성분들을 가지는 화합물 막들이 형성될 수 있다. 현실적으로 사용되는 대부분의 막들은 1 내지 6 성분들의 범위 내에 들어오지만, 본 발명의 기술은 여섯 성분들보다 많은 성분들을 가지고 화합물 막들을 생산하기 위하여 설명되는 방법들을 넘어서서 확장될 수 있다.
도 7은 기판(700) 상의 막 성장 시퀀스(799)에 상응하는 도면과 함께, NLD 공정 시퀀스(798)의 흐름도를 도시한다. 제1 퇴적 단계(701)는 층(711)을 퇴적하기 위하여, 기판(700)을 제1 전구체, 또는 제1 세트의 전구체들에 노출시키는 것이다. 퇴적 단계(701)는 단일 전구체, 예를 들어, CVD 전구체 또는 MOCVD 전구체로부터 퇴적될 수 있거나, 또는 전구체는 CVD 전구체 또는 MOCVD 전구체와 또 다른 CVD 또는 MOCVD 전구체, 반응성 가스, 또는 비 반응성 가스와 같은 한 세트의 전구체들일 수 있고, 또는 하나 또는 그 이상의 CVD 전구체, MOCVD 전구체, 반응성 가스, 비 반응성 가스, 비활성 가스, 또는 희석제의 임의의 조합일 수도 있다.
도 7에 예시된 실시예에서, 제1 전구체 또는 제1 세트의 전구체들을 이용하는 실시예(798)의 퇴적 단계(701)은 제2 퇴적 단계(702)로 이어질 수 있는데, 이는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터 퇴적일 수 있으며; 또는 CVD 전구체 또는 MOCVD 전구체 및 또 다른 CVD 또는 MOCVD 전구체, 반응성 가스 또는 비 반응성 가스와 같은 한 세트의 전구체들일 수 있고; 또는 하나 또는 그 이상의 CVD 전구체, MOCVD 전구체, 반응성 가스, 비 반응성 가스, 불활성 가스 또는 희석제의 임의의 조합일 수도 있다.
도 7에 예시된 실시예에서, 제2 퇴적 단계(702)는 제1 전구체로부터의 퇴적 막(711) 상에 퇴적 층(712)을 생성한다. 층(711)의 특성들에 관한 일부 변경들은, 이미 퇴적된 막에 비해, 퇴적 단계(712)에 노출된 결과로써 일어날 수도 있고, 본 발명의 범위 내에 남아 있을 수도 있다. 제2 세트의 전구체들로부터의 막 퇴적(702)에 이어지는 것은 처리된 막(750)을 생성하는 플라즈마 처리(720)이다. 플라즈마 처리(720)는 단일 단계(721) 또는 여러 단계들(721-722)이 될 수 있다. 플라즈마 처리(720)는 단일 단계 또는 일련의 공정 조건들이 될 수 있고, 또는 복수의 화학 성분들을 가진, 그리고 단계별로 압력, 온도, 가스 공급, 플라즈마 출력, 바이어스 출력, 또는 기판(700) 상의 막들로 플라즈마에 의해 인가되는 조건들을 가변할 수 있는 그 밖의 공정 조건들과 같은 다양한 범위의 공정 파라미터들의 임의의 범위에 대한 변동을 가진, 복수의 단계들로 구성될 수 있다. 이와 유사하게, 플라즈마 처리(721)는 단일 단계 또는 일련의 공정 조건들이 될 수 있고, 복수의 화학 성분들을 가진, 그리고 단계별로 압력, 온도, 가스 투입량, 플라즈마 출력, 바이어스 출력, 또는 기판(700) 상의 막들로 플라즈마에 의해 인가되는 조건들을 가변할 수 있는 그 밖에 공정 조건들과 같은 다양한 범위의 공정 파라미터들의 임의의 범위에 대한 변동을 가진, 복수의 단계들로 구성될 수 있다. 이와 유사하게, 플라즈마 처리(722)는 단일 단계 또는 일련의 공정 조건들이 될 수 있고, 복수의 화학 성분들을 가진, 그리고 단계별로 압력, 온도, 가스 투입량, 플라즈마 출력, 바이어스 출력, 또는 기판(700) 상의 막들로 플라즈마에 의해 인가되는 조건들을 가변할 수 있는 그 밖에 공정 조건들과 같은 다양한 범위의 공정 파라미터들의 임의의 범위에 대한 변동을 가진, 복수의 단계들로 구성될 수 있다. 플라즈마 처리(720), 또는 플라즈마 처리 단계들(720)의 조합은, 퇴적된 층들(711, 712)의 특성들을 변화시켜, 퇴적 단계들(701-702)로부터의 성분들 및 플라즈마 처리(들)(720)로부터의 성분들의 조합으로서, 처리된 화학양론적 층(750)을 생성한다. 이러한 변화 단계는 막 밀도의 변경, 막 두께의 변경, 불순물의 포함, 불순물의 제거, 막의 결정도의 변경, 막 조성의 변화, 화학량론의 변화, 또는 막의 임의의 다른 물리적, 전기적, 자기적, 또는 또 다른 특성의 변화를 가능하게 할 수 있다.
제1 퇴적 및 처리된 층(750)이 형성된 이후에, 퇴적 및 처리 공정은 반복(790)되어 화학양론적 복합막(770), 복수 층의 처리된 막들(750)의 조성을 생성한다. 퇴적 단계(701) 및 처리 단계(720)에 관한 공정 시퀀스의 변동은 또한 본 발명의 공정 중에서 구현되어 경사막 구조들을 생산할 수 있는데, 여기서 화학양론적 복합막(770)을 생산하기 위해 이용된 박막들(750)로 된 스택 내에서 특성들은 반복되는 퇴적들 및 처리들의 시퀀스(790) 의 전반에 걸쳐 변동된다.
서술된 실시예들에서, 층과 막이라는 용어는 상호 교환 가능하게 사용된다. 또한, 막 또는 층은 아래의 기판이나 하부 물질 위로 완전한 커버리지를 제공할 필요는 없다. 층 또는 막은, 본 발명의 공정에 관하여 제공되는 설명의 맥락에서, 예를 들어 부분적인 층일 수도 있고, 연속되는 층일 수도 있다. 본 발명의 공정에서 사용되는 일부 퇴적들에 있어서, 부분적인 층 또는 막의 적용은 본 발명의 방법으로부터 원하는 또는 의도하는 화학양론을 생성하는 데에 필요할 수 있다.
도 8은 기판(800) 상의 막 성장 시퀀스(899)에 상응하는 도면과 함께, NLD 공정 시퀀스(898)의 흐름도를 도시한다. 퇴적 단계(801)는 층(811)을 퇴적하기 위하여, 기판(800)을 제1 전구체, 또는 제1 세트의 전구체들에 노출시키는 것이다. 제1 전구체(들)로부터의 퇴적 단계(801)는 단일한 전구체, 예를 들어 CVD 전구체 또는 MOCVD 전구체로부터 퇴적될 수 있으며, 또는 전구체는 CVD 전구체 또는 MOCVD 전구체와 또 다른 CVD 또는 MOCVD 전구체, 반응성 가스, 또는 비 반응성 가스와 같은 한 세트의 전구체들일 수 있고, 또는 하나 또는 그 이상의 CVD 전구체, MOCVD 전구체, 반응성 가스, 비 반응성 가스, 불활성 가스, 또는 희석제의 임의의 조합일 수도 있다.
실시예(898)에서, 제1 세트의 전구체들을 이용한 퇴적 단계(801) 이후에는 제2 세트의 전구체들을 이용한 퇴적 단계(802)가 이어진다. 퇴적 단계(802)는 단일한 전구체, 예를 들어 CVD 전구체 또는 MOCVD 전구체로부터 퇴적될 수 있으며, 또는, 전구체는 CVD 전구체 또는 MOCVD 전구체와 또 다른 CVD 또는 MOCVD 전구체, 반응성 가스, 또는 비 반응성 가스와 같은 한 세트의 전구체들일 수 있고, 또는 하나 또는 그 이상의 CVD 전구체, MOCVD 전구체, 반응성 가스, 비 반응성 가스, 불활성 가스, 또는 희석제의 임의의 조합일 수도 있다. 제2 퇴적 단계(802)는 실시예(899)에서 퇴적된 막(811) 상에 퇴적된 층 또는 막(812)을 생성한다. 층(811)의 특성들에 관한 일부 변화들은, 이미 퇴적된 막에 비해, 퇴적 단계(812)에 노출된 결과로써 일어날 수도 있고, 본 발명의 범위 내에 남아 있을 수도 있다.
실시예(898)에서, 퇴적 단계들(801 및 802) 이후에는 제3 세트의 전구체들을 이용한 하는 퇴적 단계(803)에 이어진다. 제3 퇴적 단계(803)는 단일한 전구체, 예를 들어 CVD 전구체 또는 MOCVD 전구체로부터 퇴적될 수 있으며, 또는, 전구체는 CVD 전구체 또는 MOCVD 전구체와 또 다른 CVD 또는 MOCVD 전구체, 반응성 가스, 또는 비 반응성 가스와 같은 한 집합의 전구체들일 수 있고, 또는 하나 또는 그 이상의 CVD 전구체, MOCVD 전구체, 반응성 가스, 비 반응성 가스, 불활성 가스 또는 희석제의 임의의 조합일 수도 있다. 제3 퇴적 단계(803)는 도 8의 다이어그램(899)에 예시되는 바와 같이, 퇴적된 막(812) 상에 퇴적된 층(813)을 생성한다. 층(812)의 특성들에 관한 일부 변화들은, 이미 퇴적된 막에 비해, 퇴적 단계(813)의 결과로써 일어날 수도 있고, 본 발명의 범위 내에 남아 있을 수도 있다.
제3 세트의 전구체들로부터의 막 퇴적(803)에 이어지는 것은 처리된 막(850)을 생성하는 플라즈마 처리(820)이다. 플라즈마 처리(820)는 단일 단계(821) 또는 여러 단계들(821-822)이 될 수 있다. 플라즈마 처리(820)는 단일 단계 또는 일련의 공정 조건들이 될 수 있고, 복수의 화학 성분들을 가진, 그리고 단계별로 압력, 온도, 가스 투입량, 플라즈마 출력, 바이어스 출력, 또는 기판(800) 상의 막들로 플라즈마에 의해 인가되는 조건들을 가변할 수 있는 그 밖에 공정 조건들과 같은 다양한 범위의 공정 파라미터들의 임의의 범위에 대한 변동을 가진, 복수의 단계들로 구성될 수 있다. 이와 유사하게, 플라즈마 처리(821)는 단일 단계 또는 일련의 공정 조건들이 될 수 있고, 복수의 화학 성분들을 가진, 그리고 단계별로 압력, 온도, 가스 투입량, 플라즈마 출력, 바이어스 출력, 또는 기판(800) 상의 막들로 플라즈마에 의해 인가되는 조건들을 가변할 수 있는 그 밖에 공정 조건들과 같은 다양한 범위의 공정 파라미터들의 임의의 범위에 대한 변동을 가진, 복수의 단계들로 구성될 수 있다. 이와 유사하게, 플라즈마 처리(822)는 단일 단계 또는 일련의 공정 조건들이 될 수 있고, 복수의 화학 성분들을 가진, 그리고 단계별로 압력, 온도, 가스 투입량, 플라즈마 출력, 바이어스 출력, 또는 기판(800) 상의 막들로 플라즈마에 의해 인가되는 조건들을 가변할 수 있는 그 밖에 공정 조건들과 같은 다양한 범위의 공정 파라미터들의 임의의 범위에 대한 변동을 가진, 복수의 단계들로 구성될 수 있다. 플라즈마 처리(820), 또는 플라즈마 처리들(820)의 조합은, 퇴적된 층들(811-813)의 특성들을 변화시켜, 퇴적 단계들(801-803)로부터의 성분들 및 플라즈마 처리(들)(820)로부터의 성분들의 조합으로서, 처리된 화학양론적 층(850)을 생성한다. 처리 단계(820)는, 막 밀도를 변화시킴으로써, 막 두께를 변화시킴으로써, 불순물을 포함시킴으로써, 불순물을 제거함으로써, 막의 결정도를 변화시킴으로써, 막 조성을 변경시킴으로써, 화학량론을 변경시킴으로써 또는 막의 물리적, 전기적, 자기적, 또는 그 밖의 특성을 변화시킴으로써, 이미 퇴적된 층들(811-813)의 특성을 변화시켜 처리된 막(850)을 생성할 수 있다.
제1 퇴적 및 처리된 층(850)이 형성된 이후에, 퇴적 및 처리 공정은 반복(890)되어 화학양론적 복합막(870), 복수 층의 처리된 막들(850)의 조성을 생성한다. 퇴적 단계(801-803) 및 처리 단계(820)에 관한 공정 시퀀스의 변동은 또한 본 발명의 공정 중에서 구현되어 경사막 구조들을 생산할 수 있는데, 여기서 화학양론적 복합막(870)을 생산하기 위해 이용된 박막들(850)의 스택 내에서 특성들은 반복되는 퇴적들 및 처리들의 시퀀스(890)의 전반에 걸쳐 변동된다.
본 방법 발명의 범위를 한정하려는 의도가 아닌 일 예시로서, 4원계 막, Ba--Sr--Ti--O의 상기 퇴적이 개시된다. Ba--Sr--Ti--O의 원소들을 갖는 4원계 막을 생성하기 위한 본 방법 발명을 사용한 공정의 제1 예시에서, 제1 전구체, 또는 전구체들 세트가 바륨(Ba) 원소를 함유하는 박막(811), 또는 부분적인 막을 퇴적하기 위한 퇴적 단계(801)를 제공하는 데 사용되고, 그리고 이에 뒤따라, 스트론튬(Sr)을 함유하는 박막(812)을 생성하기 위하여 제2 전구체 또는 전구체들의 제2 세트로부터 박막(812)을 퇴적하기 위한 퇴적 단계(802), 이에 뒤따라, 제3 전구체 또는 전구체들의 세트로부터 티타늄(Ti)을 함유하는 박층의 박막(813)을 퇴적하기 위한 퇴적 단계(803), 이후, 상기 퇴적된 층들을, 하나 또는 그 이상 또는 전체 막 두께, 화학양론비, 밀도, 결정성, 및 불순물 레벨을 포함하는, 요구되는 특성들을 갖는 막(850)으로 변환시키기 위한 산소-함유 플라즈마를 사용한 처리 단계(820)가 뒤따른다. 상기 퇴적 단계들 및 처리 단계들의 조합은, 그리고 이러한 예시에서 상기 하나 또는 그 이상의 이러한 퇴적 및 처리 단계들 사이에 상기 공정 내로 병합되는 어떠한 퍼지 단계들은, 순환적인 NLD 공정(898)의 하나의 사이클을 구성한다. 이러한 예시에서, 원하는 막 두께가 달성될 때까지 이러한 하나의 사이클이 반복된다(890). 필수적이지는 않으나, 상기 공정 동안 그 위에 상기 기판이 휴지(rest)하는 상기 전극의 온도는 일정한 온도에서 유지된다. 퇴적된 막들(811-813)의 두께들 및 성장하는 막(870) 내의 다양한 원소들의 결과적인 퍼센트는 퇴적 단계들(801-803)의 기간 또는 다른 공정 조건들을 달리함에 의해 조절될 수 있다. High-k 유전막으로 사용되는 Ba--Sr--Ti--O 화합물의 경우에, 다음의 화학양론비가 사용될 수 있다: Ba2SrTi3O9. 이러한 화학양론비를 갖는 막을 생성하기 위하여, Ba, Sr, 및 Ti 전구체들의 퇴적 속도들이 동일한 가장 간단한 경우를 가정할 때, 단일 NLD 사이클을 위한 단계들(801, 802, 803)을 위한 기간은 2:1:3의 Ba:Sr:Ti 비율로 상기 원소들을 퇴적하여야 한다. 이러한 간단한 예시에서, 바륨 단계(801)는 Sr 단계(802)의 기간의 2 배이어야 하고, 티타늄 퇴적 단계(803)의 2/3 배이어야 한다. 세 가지 원소들을 위한 전구체들의 퇴적 속도가 달라지기 쉽기 때문에, 이러한 경우는 오직 예시로서 제공된다. 단계들(801-803) 각각의 기간들에 대한 조정은 온도, 퇴적 속도들, 분해 효율(decomposition efficiency), 처리 단계들(820) 동안의 타겟 성분들의 손실에서의 변화들과 같은 영향들 및 다른 영향들을 설명하는 데 요구될 수 있다. 이러한 경우에, 처리 단계(822) 동안에 산소가 산소-함유 플라즈마로부터 제공되고, 산소의 병합은 퇴적 단계들(801-803)에서와 동일한 방식으로 일어나지 않을 것이다. 성장하는 막(850) 내부로의 산소의 병합은 처리 단계(820)에서 사용되는 기간 및 공정 조건들에 의존할 것이다.
전술한 예시는 오직 예시로서 제공되며, 본 방법 발명의 범위를 한정하려는 의도는 아니다. Ba--Sr--Ti--O 예시에 대한 많은 변형들이 본 발명의 범위 내에서 가능하다.
전술한 예시에서, 퇴적 단계들(801-803)을 통해 온도는 일정한 것으로 가정되었다. 이러한 제한은 필수적인 것은 아니며, 상기 사이클 내에서 단계-단계 간의 조절들 역시 본 방법의 범위 내에 있다. 상기 온도에 대한 조절들은, 예를 들면 웨이퍼 뒤의 광원으로부터 포톤 에너지를 적용함과 함께 매우 급격히 달성될 수 있고, 이는 기판 온도의 급격한 변화를 허용할 것이다. 기판 온도에 대한 조절들은 그 중에서도 퇴적 속도의 조절, 개별적인 퇴적된 막들 내의 불순물들 레벨의 감소 또는 증가, 및 처리 단계(820)의 유효성(efficacy) 향상 중 하나 또는 그 이상에 대하여 선호될 수 있다.
퇴적 단계(801-803)의 기간 및 온도를 조절하는 것 이외의 다른 수단은 성장하는 막(870)의 화학양론비를 변경하는 데 사용될 수 있다. 압력, 전구체 가스 유속, 전구체 기화 온도, 캐리어 가스 유속 및 캐리어 가스가 상기 전구체와 결합되는 경우들을 위한 캐리어 가스 종, 상기 퇴적 단계들 동안에 플라즈마 향상(plasma enhancement)이 사용되는 경우들을 위한 플라즈마 파워와 같은 공정 변수가 NLD 공정(898)의 퇴적 단계들(801-803) 동안에 퇴적되는 특정 원소의 양을 조절하는 데 사용될 수 있다.
도 9는 기판(900) 상에서의 막 성장 시퀀스(999)의 개략도에 상응하는 NLD 공정 시퀀스(998)의 플로차트를 나타낸다. 제1 퇴적 단계(901)는 층 또는 막(911)을 퇴적하기 위하여 제1 전구체, 또는 전구체들의 세트에 기판(900)을 노출시키는 것이다. 제1 전구체들로부터의 퇴적 단계(901)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스(inert gas), 또는 희석제(diluent) 중 하나 또는 그 이상의 어떠한 조합일 수도 있다.
도 9에 도시된 실시예에서, 전구체들의 제1 세트를 사용한 퇴적 단계(901) 이후에 제2 전구체, 또는 전구체들의 세트를 사용한 퇴적 단계(902)가 뒤따를 수 있다. 퇴적 단계(902)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 제2 퇴적 단계(902)는 퇴적된 막(911) 상에 퇴적된 층 또는 막(912)을 생성한다. 퇴적된 상태의 막과 비교할 때, 상기 막(911)의 특성들에 대한 변경들이 퇴적 단계(902)의 결과로서 일어날 수 있고, 이는 본 발명의 범위 내에 유지된다.
도 9에 도시된 실시예에서, 퇴적 단계(902) 이후에 제3 전구체, 또는 전구체들의 세트를 사용한 퇴적 단계(903)가 뒤따른다. 퇴적 단계(903)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 제3 퇴적 단계(903)는 퇴적된 막(912) 상에 퇴적된 층 또는 막(913)을 생성한다. 퇴적된 상태의 막과 비교할 때, 상기 막들(911-912)의 특성들에 대한 변경들이 퇴적 단계(903)로의 노출의 결과로서 일어날 수 있고, 이는 본 발명의 범위 내에 유지된다.
도 9에 도시된 실시예에서, 퇴적 단계(903) 이후에 제4 전구체, 또는 전구체들의 세트를 사용한 제4 퇴적 단계(904)가 뒤따른다. 퇴적 단계(904)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 제4 퇴적 단계(904)는 퇴적된 막(913) 상에 퇴적된 막(914)을 생성한다. 퇴적된 상태의 막들과 비교할 때, 하부 막들(911-913)의 특성들에 대한 변경들이 퇴적 단계(904)로의 노출의 결과로서 일어날 수 있고, 이는 본 발명의 범위 내에 유지된다.
본 실시예(998)에서, 처리된 막(950)을 생성하기 위하여 전구체들의 제4 세트로부터의 막 퇴적(904) 이후에 플라즈마 처리(920)가 뒤따른다. 플라즈마 처리(920)는 단일 단계(921) 또는 복수의 단계들(922)일 수 있다. 플라즈마 처리(920)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들(chemistries)을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(900) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 유사하게, 플라즈마 처리(921)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(900) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 유사하게, 플라즈마 처리(922)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(900) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리(920), 또는 플라즈마 처리들(920)의 조합은, 퇴적 단계들(901-904) 및 플라즈마 처리(들)(920)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(950)을 생성하기 위하여 퇴적된 막들(911-914)의 특성들을 변경시킨다. 처리 단계(920)는, 상기 막 밀도를 변화시킴에 의해, 상기 막 두께를 변화시킴에 의해, 불순물들을 병합시킴에 의해, 불순물들을 제거함에 의해, 상기 막의 결정도를 변화시킴에 의해, 상기 막 조성을 변경함에 의해, 화학양론비를 변경함에 의해, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 하나라도 변화시킴에 의해, 퇴적된 상태의 막들(911-914)의 특성들을 변경시켜 처리된 막(950)을 생성할 수 있다.
제1 퇴적되고 처리된 막(950)이 형성된 이후에, 퇴적 및 처리 순서가 반복되어(990), 화학양론적 복합막(970), 즉, 처리된 막들(950)의 복수의 층들의 합성체를 생성한다. 화학양론적 복합막(970)을 생성하는 데 사용되는 박막들(950)의 스택 내의 특성들이 반복되는 퇴적들 및 처리들의 시퀀스(990)를 통하여 달라지는 누진된(graded) 막 구조들을 생성하기 위하여, 퇴적 단계들(901-904) 및 처리 단계(920)를 위한 공정 시퀀스의 변경들 역시 본 방법 발명 내에서 실행될 수 있다.
도 10은 기판(1000) 상에서의 막 성장 시퀀스(1099)의 개략도에 상응하는 NLD 공정 시퀀스(1098)의 플로차트를 나타낸다. 제1 퇴적 단계(1001)는 층 또는 막(1011)을 퇴적하기 위하여 제1 전구체, 또는 전구체들의 세트에 기판(1000)을 노출시키는 것이다. 제1 전구체들로부터의 퇴적 단계(1001)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다.
도 10에 도시된 실시예에서, 전구체들의 제1 세트를 사용한 퇴적 단계(1001) 이후에 제2 전구체, 또는 전구체들의 세트를 사용한 퇴적 단계(1002)가 뒤따를 수 있다. 퇴적 단계(1002)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 제2 퇴적 단계(1002)는 퇴적된 막(1011) 상에 퇴적된 막(1012)을 생성한다. 퇴적된 상태의 막(1011)과 비교할 때, 상기 막(1011)의 특성들에 대한 변경들이 퇴적 단계(1002)로부터 가능하고, 이는 본 발명의 범위 내에 유지된다.
막들(1012)이 연속적이지 않은 실시예들에서, 퇴적 단계(1002)는 실제로 하부 막(1011) "상"에 잔류하는 층을 생성하지는 않고, 오히려 상기 퇴적에서 원소들 일부 또는 전부가 하부 막(1011) 내부에 떨어질 수 있다. 이러한 그리고 다른 실시예들에서, 용어 "상"은 퇴적된 막들의 시간 순의 퇴적 시퀀스를 나타내기 위하여 사용되며, 도면들에서 나타난 것처럼 기하학적인 구조를 필수적으로 나타내지는 않는다. 상기 막들이 연속적이지 않은 이러한 경우들에서, 확산 효과들(diffusion effects)은 다이어그램들 내에 제안된 것과 같이 상기 원소들의 기하학적 적층(stacking)을 제한할 수 있다. 상기 구조 내의 상기 원소들의 기하학적 적층의 교환을 유발하는 불연속적인 막들은 본 발명의 범위 내에 속한다.
도 10에 도시된 실시예에서, 퇴적 단계(1002) 이후에 제3 전구체, 또는 전구체들의 세트를 사용한 퇴적 단계(1003)가 뒤따른다. 퇴적 단계(1003)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 제3 퇴적 단계(1003)는 퇴적된 막(1012) 상에 퇴적된 층 또는 막(1013)을 생성한다. 퇴적된 상태의 막들과 비교할 때, 퇴적 단계(1003)로부터 상기 막들(1011) 및 막(1012)의 특성들에 대한 변경들이 일어날 수 있고, 이는 본 발명의 범위 내에 유지된다.
도 10에 도시된 실시예에서, 퇴적 단계(1003) 이후에 제4 전구체, 또는 전구체들의 세트를 사용한 퇴적 단계(1004)가 뒤따른다. 퇴적 단계(1004)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 제4 퇴적 단계(1004)는 퇴적된 막(1013) 상에 퇴적된 막(1014)을 생성한다. 퇴적된 상태의 막들과 비교할 때, 상기 막들(1011-1013)의 특성들에 대한 변경들이 퇴적 단계(1004)의 결과로서 일어날 수 있고, 이는 본 발명의 범위 내에 유지된다.
도 10에 도시된 실시예에서, 퇴적 단계(1004) 이후에 제5 전구체, 또는 전구체들의 세트를 사용한 퇴적 단계(1005)가 뒤따른다. 퇴적 단계(1005)는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 제5 퇴적 단계(1005)는 퇴적된 막(1014) 상에 퇴적된 막(1015)을 생성한다. 퇴적된 상태의 막들과 비교할 때, 상기 막들(1011-1014)의 특성들에 대한 변경들이 퇴적 단계(1005)의 결과로서 일어날 수 있고, 이는 본 발명의 범위 내에 유지된다.
본 실시예(1098)에서, 처리된 막(1050)을 생성하기 위하여 전구체들의 제5 세트로부터의 막 퇴적(1005) 이후에 플라즈마 처리(1020)가 뒤따른다. 플라즈마 처리(1020)는 단일 단계(1021) 또는 복수의 단계들(1022)일 수 있다. 플라즈마 처리(1020)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1000) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 유사하게, 플라즈마 처리(1021)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1000) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 유사하게, 플라즈마 처리(1022)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1000) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리(1020), 또는 플라즈마 처리들(1020)의 조합은, 퇴적 단계들(1001-1005)로부터, 그리고 플라즈마 처리(들)(1020)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1050)을 생성하기 위하여 퇴적된 막들(1011-1015)의 특성들을 변경시킨다. 처리 단계(들)(1020)는, 상기 막 밀도를 변화시킴에 의해, 상기 막 두께를 변화시킴에 의해, 불순물들을 병합시킴에 의해, 불순물들을 제거함에 의해, 상기 막의 결정도를 변화시킴에 의해, 상기 막 조성을 변경함에 의해, 화학양론비를 변경함에 의해, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 하나라도 변화시킴에 의해, 퇴적된 상태의 막들(1011-1015)의 특성들을 변경시켜 처리된 막(1050)을 생성할 수 있다. 제1 퇴적되고 처리된 막(1050)이 형성된 이후에, 퇴적 및 처리 순서가 반복되어(1090), 화학양론적 복합막(1070), 즉, 처리된 막들(1050)의 복수 층들의 합성체를 생성한다. 화학양론적 복합막(1070)을 생성하는 데 사용되는 박막들(1050)의 스택 내의 특성들이 반복되는 퇴적들 및 처리들의 시퀀스(1090)를 통하여 달라지는 누진된 막 구조들을 생성하기 위하여, 퇴적 단계들(1001-1005) 및 처리 단계(1020)를 위한 공정 시퀀스의 변경들 역시 본 방법 발명 내에서 실행될 수 있다.
도 11은 기판(1100) 상에서의 막 성장 시퀀스(1199)의 개략도에 상응하는 NLD 공정 시퀀스(1198)의 플로차트를 나타낸다. 제1 퇴적 단계(1101)는 층 또는 막(1111)을 퇴적하기 위하여 제1 전구체, 또는 전구체들의 세트에 기판(1100)을 노출시키는 것이다. 제1 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다.
제1 전구체, 또는 전구체들 세트로부터의 막 퇴적(1101) 이후에, 처리된 막(1151)을 생성하기 위한 플라즈마 처리(1121)가 뒤따른다. 플라즈마 처리(1121)는 단일 단계 또는 복수의 단계들일 수 있다. 플라즈마 처리(1121)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1100) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리, 또는 플라즈마 처리들(1121)의 조합은, 제1 전구체들(1101)로부터 퇴적된 막으로부터, 그리고 플라즈마 처리(들)(1121)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1151)을 생성하기 위하여 상기 퇴적된 막들(1111)의 특성들을 변경시킨다. 상기 변경 단계는 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다.
도 11에 도시된 실시예(1198)에서, 처리 단계(1121) 이후에 전구체들의 제2 세트를 사용한 퇴적 단계(1102)가 뒤따르며, 이는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 퇴적 단계(1102)로부터의 우연한(inadvertent) 또는 의도된 효과들이 퇴적되고 처리된 막(1151)의 막 특성들 중 하나 또는 그 이상에 영향을 주어 처리된 막(1152)을 생성할 수 있다. 제2 퇴적 단계(1102)는 처리된 막(1152) 상에 퇴적된 층 또는 막(1112)을 생성한다.
처리된 복합막 층(1153)을 생성하기 위하여, 전구체들의 제2 세트로부터의 막 퇴적(1102) 이후에 플라즈마 처리(1122)가 뒤따른다. 플라즈마 처리(1122)는 단일 단계 또는 복수의 단계들일 수 있다. 플라즈마 처리(1122)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1100) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리(1122), 또는 플라즈마 처리들(1122)의 조합은, 제1 전구체(들) 퇴적 단계(1101)로부터, 그리고 제1 플라즈마 처리(들)(1121)로부터, 그리고 제2 전구체(들) 퇴적 단계(1102)로부터, 그리고 플라즈마 처리(들)(1122)로부터 상기 퇴적된 막으로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1153)을 생성하기 위하여 퇴적된 막들(1111, 1112)의 특성들을 변경시킨다. 처리 단계들(1121, 1122)은 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다
제1 퇴적되고 처리된 막(1153)이 형성된 이후에, 퇴적 및 처리 순서가 반복되어(1190), 화학양론적 복합막(1170), 즉, 처리된 막들(1153)의 복수 층들의 합성체를 생성한다. 화학양론적 복합막(1170)을 생성하는 데 사용되는 박막들(1153)의 스택 내의 특성들이 반복되는 퇴적들 및 처리들의 시퀀스(1190)를 통하여 달라지는 누진된 막 구조들을 생성하기 위하여, 그리고 박막들(1153)의 하나 또는 그 이상의 특성들이, 생성되는 복합막(1170) 내의 개별적인 층들(1153)의 하나 또는 그 이상을 위하여 달라지는 층을 생성하기 위하여, 퇴적 단계들(1101, 1102) 및 처리 단계(1121, 1122)를 위한 공정 시퀀스의 변경들 역시 본 방법 발명 내에서 실행될 수 있다.
도 12에, 도 11에서 설명된 상기 실시예의 예시가 더 도시된다.
도 12는 기판(1200) 상에서의 막 성장 시퀀스(1299)의 개략도에 상응하는 NLD 공정 시퀀스(1298)의 플로차트를 나타낸다. 제1 퇴적 단계(1201)는 층 또는 막(1211)을 퇴적하기 위하여 제1 전구체, 또는 전구체들의 세트에 기판(1200)을 노출시키는 것이다. 제1 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 이러한 예시의 목적들을 위하여, 제1 퇴적 단계(1201)는 단일 전구체, 다시 말하면, MOCVD 전구체, Ti, Ta, W, Cu, Al, Ni, Ru, Ir, Mo, Sb 또는 다른 어떠한 금속 원소와 같은 금속 원소를 함유하는 단일 전구체로부터의 퇴적이다. 이와는 달리, 상기 MOCVD는 Si, Ge, Te 또는 유기금속 전구체들(metallo-organic precursors)이 가능한 다른 원소들을 함유할 수 있다. 이러한 실시예에서, 기판(1200)은 CVD와 같은 퇴적의 전형적인 비포화 퇴적(non-saturating deposition) 특성을 생성하도록 충분히 높은, 고정된 온도로 설정된다. MOCVD 전구체들을 위한 온도들은 일반적으로 섭씨 100 내지 500도의 범위 내에 떨어지고, 가능한 전구체들의 범위를 위하여 더 넓게 달라질 수 있다. 예시적인 목적으로서, 퇴적 단계(1201)에서의 MOCVD 전구체는 TDMAT이고, 작동 온도는 섭씨 300도이다.
상기 제1 MOCVD 전구체로부터의 막 퇴적(1201) 이후에 처리된 막(1251)을 생성하기 위한 플라즈마 처리(1221)가 뒤따른다. 이러한 실시예에서 플라즈마 처리(1221)는 분자 수소, 암모니아, 메탄, 실란, 또는 수소를 함유하는 다른 가스와 같은 수소-함유 가스를 사용하는 단일 단계 플라즈마 처리이다. 이와는 달리, 플라즈마 처리(1221)는 수소-함유 가스 및 질소 또는 아르곤 또는 헬륨과 같은 불활성 가스 중 하나 또는 그 이상의 혼합물이다. 바람직하게는, 플라즈마 처리(1221)는 수소, 또는 수소 및 질소의 혼합물, 또는 암모니아 및 질소의 혼합물, 또는 수소 및 헬륨의 혼합물이다. 예시적인 목적으로서, 이러한 실시예에서의 플라즈마 처리는 수소 및 헬륨의 혼합물이고, 단일 단계 공정이다. 또한, 광범위한 수소/헬륨 가스 혼합물들이 플라즈마 처리(1221)를 위한 필수적인 조건을 제공할 것이지만, 예시적인 목적으로서, 상기 가스 혼합물은 헬륨 내의 3 내지 20%의 수소 범위이며, 예시를 제공하기 위한 목적으로서, 헬륨 내에서 3 내지 6%의 수소 범위이다. 광범위한 가스 플로우들이 플라즈마 처리(1221)를 위한 필수적인 조건을 제공할 것이지만, 수소/헬륨 혼합물의 가스 플로우는 5-200 sccm의 범위이며, 예시적인 목적으로서 50 sccm이다. 플라즈마 처리(1221)를 효과적으로 제공하기 위한 필수적인 조건들을 제공하기 위하여 상기 플라즈마의 압력은 1 mTorr 내지 100 Torr 범위일 수 있고, 전형적인 플로우의 예시를 제공하기 위한 목적으로 상기 압력은 100 mTorr이다. 상기 플라즈마 소스는 기판(1200) 상에 성장하는 막과 반응하도록 상기 기판에 이온화된 가스 상태의 종을 운반하기 위한 수단을 제공한다. 그럼으로써, 이온들 및 반응성 종(reactive species)은 해당 기술에서 알려진 다양한 범위의 기술들에 의해 제공될 수 있고, 이들 중 어느 것이라도 본 방법 발명 내에서 실행될 수 있다. 상기 플라즈마 소스는 고주파 유도 결합 플라즈마(inductively-coupled plasma) 소스, 축전 결합형 플라즈마(capacitively-coupled plasma), 마이크로웨이브 플라즈마(microwave plasma) 소스, 이온 소스 또는 기판(1200) 상의 상기 막들에 이온화된 가스 종을 운반하기 위하여 해당 기술에서 알려진 어떠한 다른 타입의 플라즈마 소스일 수 있다. 상기 플라즈마 소스는 수십 kHz 내지 수백 MHz의 주파수 범위를 갖는 RF-파워일 수 있다. 상기 플라즈마 소스는 마이크로웨이브 파워일 수 있다. 상기 플라즈마 소스는 가스를 이온화하고, 이후 추출되어 상기 기판으로 가속되는 전자 전류와 같은, 이온 소스 또는 이온 밀링 장비(ion milling machine) 내에서와 같이 일차 소스(primary source)를 사용하는 2차 소스(secondary source)일 수 있다. 예시적인 목적으로, 상기 플라즈마는 제너레이터(generator)와 웨이퍼 전극 사이의 임피던스 매칭(impedance matching)을 위하여 상기 웨이퍼 전극에 매칭 네트워크(matching network)와 함께 부착된 13.56 Mhz 제너레이터를 사용하여 생성된다. 이러한 구성에서, 광범위의 파워가 기판(1200) 상에 성장하는 막들을 효과적으로 처리하는 조건들을 생성하기 위하여 사용될 수 있다. 예시적인 목적으로서, 1W 내지 500W 범위의 파워 레벨들이 사용된다. 주어진 공정(1221)을 위한 최적의 파워 레벨들은 다른 인자들 중에서도 웨이퍼 사이즈, 플라즈마 압력, 전구체 선택, 퇴적된 막 사양들(specifications), 성장하는 막의 스퍼터 속도(만약 파워가 스퍼터 문턱(sputtering threshold) 이상이라면)에 의존할 것이다. 예시적인 목적으로서, 상기 웨이퍼 사이즈는 200 mm 이고, 파워 레벨은 300 W 이다. 고주파 유도 결합 플라즈마 소스와 같은 다른 플라즈마 소스들을 위하여, 요구되는 파워 레벨들은 달라지기 쉽고, 다른 인자들 중에서도 상기 플라즈마 소스 및 기판(1200) 사이의 거리, 및 작동 압력 및 가스의 선택과 같은 추가적인 인자들에 의존할 수 있다.
플라즈마 처리(1221)는 제1 전구체들(1201)로부터 퇴적된 막, 그리고 플라즈마 처리(1221)로부터 상기 원소들의 조합인, 처리된 화학양론적 막(1251)을 생성하기 위하여 퇴적된 막들(1211)의 특성들을 변경시킨다. 상기 변경 단계는 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다. 도 12에 기술된 예시적인 실시예에서, 플라즈마 처리 단계(1221)는 퇴적된 막(1211)으로부터 카본과 같은 불순물들을 제거하는 데 사용된다. 처리 단계(1221)의 다른 목적들은 역시 본 방법 발명 내에 제공되며 본 발명의 범위 내에 유지될 것이다.
도 12에 도시된 실시예(1298)에서, 처리 단계(1221) 이후에 전구체들의 제2 세트를 사용한 퇴적 단계(1202)가 뒤따르며, 이는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 예시적인 목적을 위하여, 제2 퇴적 단계(1202)는 단일 전구체, 다시 말하면 MOCVD 전구체, Ti, Ta, W, Cu, Al, Ni, Ru, Ir, Mo, Sb 또는 다른 어떠한 금속 원소와 같은 금속 원소를 함유하는 단일 전구체로부터의 퇴적이다. 이와는 달리, 상기 MOCVD는 Si, Ge, Te, Ba, Ca 또는 유기금속 전구체들이 가능한 다른 원소들을 함유할 수 있다. 예시적인 목적으로서, 퇴적 단계(1202) 내의 상기 MOCVD 전구체는 바륨 함유 MOCVD 전구체이고, 작동 온도는 섭씨 300도이다.
퇴적 단계(1202)로부터의 우연하거나 의도된 효과들이 퇴적되고 처리된 막(1251)의 막 특성들 중 하나 또는 그 이상에 영향을 주어 처리된 막(1252)을 생성할 수 있다. 제2 퇴적 단계(1202)는 처리된 막(1252) 상에 퇴적된 막(1212)을 생성한다.
제2 전구체로부터의 막 퇴적(1202) 이후에 처리된 복합막(1253)을 생성하기 위한 플라즈마 처리(1220)가 뒤따른다. 플라즈마 처리(1220)는 단일 단계 또는 복수의 단계들일 수 있다. 플라즈마 처리(1220)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1200) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리(1220), 또는 플라즈마 처리들(1220)의 조합은 퇴적 단계들(1201-1202)로부터 퇴적된 막, 그리고 플라즈마 처리(들)(1221, 1220)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1253)을 생성하기 위하여 퇴적된 막들(1212)의 특성들을 변경할 수 있다. 처리 단계들(1220)은 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다.
도 12에 나타난 실시예에서, 플라즈마 처리(1220)는 복수의 단계들(1222, 1225)의 시퀀스이다. 플라즈마 처리(1222)는 플라즈마 처리(1220)의 제1 단계이고, 예시적인 목적으로서, 수소 함유 플라즈마를 사용한 플라즈마 처리이다. 이러한 예시적인 실시예에서, 상기 플라즈마 처리 조건들은 제1 전구체들로부터의 퇴적 단계(1201) 이후에 오는 플라즈마 처리 단계(1221)를 위하여 기술된 것들과 유사하다.
이러한 예시적인 실시예에서, 수소 함유 플라즈마 처리(1222)는 퇴적된 막(1212)으로부터 카본과 같은 불순물들을 제거하기 위한 단계이다. 플라즈마 처리(1222)의 다른 목적들 역시 본 발명의 범위 내에 유지되어 사용될 수 있다.
플라즈마 처리(1225)는 플라즈마 처리(1220)의 제2 단계이고, 예시적인 목적으로서, 산소-함유 플라즈마를 사용한 플라즈마 처리이다. 이러한 예시적인 실시예에서, 플라즈마 처리(1225)는 분자 산소, 오존 또는 산소를 포함하는 다른 가스과 같은 산소-함유 가스를 사용한 플라즈마 처리이다. 이와는 달리, 플라즈마 처리(1225)는 산소-함유 가스, 및 질소 및 아르곤 또는 헬륨과 같은 불활성 가스 중 하나 또는 그 이상의 혼합물이다. 바람직하게는, 플라즈마 처리(1225)는 산소, 또는 산소 및 아르곤의 혼합물, 또는 산소 및 헬륨의 혼합물이다. 예시적인 목적으로, 이러한 실시예에서의 플라즈마 처리(1225)는 산소 및 아르곤의 혼합물이며, 단일 단계 공정이다. 또한, 광범위한 산소/아르곤 가스 혼합물들이 플라즈마 처리(1225)를 위한 필수적인 조건을 제공할 것이지만, 예시적인 목적으로서, 상기 가스 혼합물은 아르곤 내의 20 내지 50%의 산소 범위이며, 예시를 제공하기 위한 목적으로서, 아르곤 내에서 30%의 산소 범위이다. 광범위한 가스 플로우들이 플라즈마 처리(1225)를 위한 필수적인 조건을 제공할 것이지만, 산소/아르곤 혼합물의 가스 플로우는 5 내지 200 sccm의 범위이며, 예시적인 목적으로서 50 sccm이다. 플라즈마 처리(1225)를 효과적으로 제공하기 위한 필수적인 조건들을 제공하기 위하여 상기 플라즈마의 압력은 1 mTorr 내지 100 Torr 범위일 수 있고, 전형적인 플로우의 예시를 제공하기 위한 목적으로 상기 압력은 500 mTorr이다. 상기 플라즈마 소스는 기판(1200) 상에 성장하는 막과 반응하도록 상기 기판에 이온화된 가스 상태의 종을 운반하기 위한 수단을 제공한다. 그럼으로써, 이온들 및 반응성 종은 해당 기술에서 알려진 다양한 범위의 기술들에 의해 제공될 수 있고, 이들 중 어느 것이라도 본 방법 발명 내에서 실행될 수 있다. 상기 플라즈마 소스는 고주파 유도 결합 플라즈마 소스, 축전 결합형 플라즈마, 마이크로웨이브 플라즈마 소스, 이온 소스 또는 기판(1200) 상의 상기 막들에 이온화된 가스 종을 운반하기 위하여 해당 기술에서 알려진 어떠한 다른 타입의 플라즈마 소스일 수 있다. 상기 플라즈마 소스는 수십 kHz 내지 수백 MHz의 주파수 범위를 갖는 RF-파워일 수 있다. 상기 플라즈마 소스는 마이크로웨이브 파워일 수 있다. 상기 플라즈마 소스는 가스를 이온화하고, 이후 추출되어 상기 기판으로 가속되는 전자 전류와 같은, 이온 소스 또는 이온 밀링 장비 내에서와 같이 일차 소스를 사용하는 2차 소스일 수 있다. 예시적인 목적으로, 상기 플라즈마는 제너레이터와 웨이퍼 전극 사이의 임피던스 매칭을 위하여 상기 웨이퍼 전극에 매칭 네트워크와 함께 부착된 13.56 Mhz 제너레이터를 사용하여 생성된다. 이러한 구성에서, 광범위의 파워가 기판(1200) 상에 성장하는 막들을 효과적으로 처리하는 조건들을 생성하기 위하여 사용될 수 있다. 예시적인 목적으로서, 1W 내지 500W 범위의 파워 레벨들이 사용된다. 주어진 공정(1225)을 위한 최적의 파워 레벨들은 다른 인자들 중에서도 웨이퍼 사이즈, 플라즈마 압력, 전구체 선택, 퇴적된 막 사양들, 성장하는 막의 스퍼터 속도(만약 파워가 스퍼터 문턱 이상이라면)에 의존할 것이다. 예시적인 목적으로서, 상기 웨이퍼 사이즈는 200 mm 이고, 파워 레벨은 300 W 이다. 고주파 유도 결합 플라즈마 소스와 같은 다른 플라즈마 소스들을 위하여, 요구되는 파워 레벨들은 달라지기 쉽고, 다른 인자들 중에서도 상기 플라즈마 소스 및 기판(1200) 사이의 거리, 및 작동 압력 및 가스의 선택과 같은 추가적인 인자들에 의존할 수 있다.
플라즈마 처리(1225)는 퇴적 단계들(1201) 및 플라즈마 처리(1220)의 단계들(1221, 1225)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1253)을 생성하기 위하여 퇴적된 막들(1212, 1252)의 특성들을 변경한다. 변경 단계(1220)는 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다. 도 12에 기술된 예시적인 실시예에서, 플라즈마 처리 단계(1221)는 퇴적된 막(1212, 1252)으로부터 카본과 같은 불순물들을 제거하는 데 사용된다. 이러한 예시적인 실시예에서, 플라즈마 처리 단계(1225)는 막들(1212, 1252)로부터 카본과 같은 불순물들을 제거하고 막들(1212, 1252) 내로 산소를 병합하여 원하는 화학양론적 막(1253) 및 화학양론적 막(1270)을 생성하는 데 사용된다.
처리 단계(1221)의 다른 목적들은 역시 본 방법 발명 내에 제공되며 본 발명의 범위 내에 유지될 것이다.
제1 퇴적되고 처리된 막(1253)이 형성된 이후에, 퇴적 및 처리 순서가 반복되어(1290), 화학양론적 복합막(1270), 즉, 처리된 막들(1253)의 복수 층들의 합성체를 생성한다.
화학양론적 복합막(1270)을 생성하는 데 사용되는 박막들(1253)의 스택 내의 특성들이 반복되는 퇴적들 및 처리들의 시퀀스(1290)를 통하여 달라지는 누진된 막 구조들을 생성하기 위하여, 그리고 박막들(1253)의 하나 또는 그 이상의 특성들이, 생성되는 복합막(1270) 내의 개별적인 층들(1253)의 하나 또는 그 이상을 위하여 달라지는 층을 생성하기 위하여, 퇴적 단계들(1201, 1202) 및 처리 단계들(1221, 1220)을 위한 공정 시퀀스의 변경들 역시 본 방법 발명 내에서 실행될 수 있다.
도 13은 기판(1300) 상에서의 막 성장 시퀀스(1399)의 개략도에 상응하는 NLD 공정 시퀀스(1398)의 플로차트를 나타낸다. 제1 퇴적 단계(1301)는 층 또는 막(1311)을 퇴적하기 위하여 제1 전구체, 또는 전구체들의 세트에 기판(1300)을 노출시키는 것이다. 제1 전구체들로부터의 상기 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다.
제1 전구체, 또는 전구체들 세트로부터의 막 퇴적(1301) 이후에, 처리된 막(1351)을 생성하기 위한 플라즈마 처리(1321)가 뒤따른다. 플라즈마 처리(1321)는 단일 단계 또는 복수의 단계들일 수 있다. 플라즈마 처리(1321)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1300) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리, 또는 플라즈마 처리들(1321)의 조합은 제1 전구체들로부터 퇴적된 막(1301), 그리고 플라즈마 처리(들)(1321)로부터의 상기 원소들의 조합인, 처리된 막(1351)을 생성하기 위하여 퇴적된 막들(1311)의 특성들을 변경할 수 있다. 변경 단계(1321)는 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다.
도 13에 도시된 실시예(1398)에서, 처리 단계(1321) 이후에 막(1312)를 생성하기 위하여 전구체들의 제2 세트를 사용한 퇴적 단계(1302)가 뒤따르며, 이는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 퇴적 단계(1302)로부터의 우연한 또는 의도된 효과들이 퇴적되고 처리된 막(1351)의 막 특성들 중 하나 또는 그 이상에 영향을 주어 처리된 막(1352)을 생성할 수 있다. 제2 퇴적 단계(1302)는 처리된 막(1352) 상에 퇴적된 층 또는 막(1312)을 생성한다.
처리된 복합막 층(1353)을 생성하기 위하여, 전구체들의 제2 세트로부터의 막 퇴적(1302) 이후에 플라즈마 처리(1322)가 뒤따른다. 플라즈마 처리(1322)는 단일 단계 또는 복수의 단계들일 수 있다. 플라즈마 처리(1322)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1300) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리(1322), 또는 플라즈마 처리들(1322)의 조합은 퇴적 단계들(1301-1302)로부터 퇴적된 막, 그리고 플라즈마 처리(들)(1321-1322)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1353)을 생성하기 위하여 퇴적된 막들(1311, 1312)의 특성들을 변경할 수 있다. 처리 단계들(1321, 1322)은 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다.
도 13에 도시된 실시예(1398)에서, 처리 단계(1322) 이후에 막(1313)을 생성하기 위하여 전구체들의 제3 세트를 사용한 퇴적 단계(1303)가 뒤따르며, 이는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 퇴적 단계(1303)로부터의 우연한 또는 의도된 효과들이 퇴적되고 처리된 막(1353)의 막 특성들 중 하나 또는 그 이상에 영향을 주어 처리된 막(1354)을 생성할 수 있다. 제3 퇴적 단계(1303)는 처리된 막(1354) 상에 퇴적된 층 또는 막(1313)을 생성한다.
처리된 복합막 층(1355)을 생성하기 위하여, 전구체들의 제3 세트로부터의 막 퇴적(1303) 이후에 플라즈마 처리(1323)가 뒤따른다. 플라즈마 처리(1323)는 단일 단계 또는 복수의 단계들일 수 있다. 플라즈마 처리(1323)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1300) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리(1323), 또는 플라즈마 처리들(1323)의 조합은 퇴적 단계들(1301-1303)로부터, 그리고 제1 플라즈마 처리(들)(1321-1323)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1355)을 생성하기 위하여 퇴적된 막들(1313, 1354)의 특성들을 변경할 수 있다. 처리 단계들(1321-1323)은 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다.
제1 퇴적되고 처리된 복합막(1355)이 형성된 이후에, 퇴적 및 처리 순서가 반복되어(1390), 화학양론적 복합막(1370), 즉, 처리된 막들(1355)의 복수의 층들의 합성체를 생성한다. 화학양론적 복합막(1370)을 생성하는 데 사용되는 박막들(1355)의 스택 내의 특성들이 반복되는 퇴적들 및 처리들의 시퀀스(1390)를 통하여 달라지는 누진된 막 구조들을 생성하기 위하여, 그리고 박막들(1355)의 하나 또는 그 이상의 특성들이, 생성되는 복합막(1370) 내의 개별적인 층들(1355)의 하나 또는 그 이상을 위하여 달라지는 층을 생성하기 위하여, 퇴적 단계들(1301-1303) 및 처리 단계들(1321-1323)을 위한 공정 시퀀스의 변경들 역시 본 방법 발명 내에서 실행될 수 있다.
도 14에, 도 13에서 설명된 상기 실시예의 예시가 더 도시된다.
도 14는 기판(1400) 상에서의 막 성장 시퀀스(1499)의 개략도에 상응하는 NLD 공정 시퀀스(1498)의 플로차트를 나타낸다. 제1 퇴적 단계(1401)는 층 또는 막(1411)을 퇴적하기 위하여 제1 전구체, 또는 전구체들의 세트에 기판(1400)을 노출시키는 것이다. 제1 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 이러한 예시의 목적들을 위하여, 제1 퇴적 단계(1401)는 단일 전구체, 다시 말하면, MOCVD 전구체, Ti, Ta, W, Cu, Al, Ni, Ru, Ir, Mo, Sb 또는 다른 어떠한 금속 원소와 같은 금속 원소를 함유하는 단일 전구체로부터의 퇴적이다. 이와는 달리, 상기 MOCVD는 Si, Ge, Te 또는 유기금속 전구체들이 가능한 다른 원소들을 함유할 수 있다. 이러한 실시예에서, 기판(1400)은 CVD와 같은 퇴적의 전형적인 비포화 퇴적 특성을 생성하도록 충분히 높은, 고정된 온도로 설정된다. MOCVD 전구체들을 위한 온도들은 일반적으로 섭씨 100 내지 500도의 범위 내에 떨어지고, 가능한 전구체들의 범위를 위하여 더 넓게 달라질 수 있다. 예시적인 목적으로서, 퇴적 단계(1401)에서의 MOCVD 전구체는 TDMAT이고, 작동 온도는 섭씨 250 내지 300도 범위이다.
상기 제1 MOCVD 전구체로부터의 막 퇴적(1401) 이후에 처리된 막(1451) 생성을 위한 플라즈마 처리(1421)가 뒤따른다. 이러한 실시예에서 플라즈마 처리(1421)는 분자 수소, 암모니아, 메탄, 실란, 또는 수소를 함유하는 다른 가스와 같은 수소-함유 가스를 사용하는 단일 단계 플라즈마 처리이다. 이와는 달리, 플라즈마 처리(1421)는 수소-함유 가스 및 질소 또는 아르곤 또는 헬륨과 같은 불활성 가스 중 하나 또는 그 이상의 혼합물이다. 바람직하게는, 플라즈마 처리(1421)는 수소, 수소 및 아르곤의 혼합물, 또는 수소 및 헬륨의 혼합물이다. 예시적인 목적으로서, 이러한 실시예에서의 플라즈마 처리(1421)는 수소 및 헬륨의 혼합물이고, 단일 단계 공정이다. 또한, 광범위한 수소/헬륨 가스 혼합물들이 플라즈마 처리(1421)를 위한 필수적인 조건을 제공할 것이지만, 예시적인 목적으로서, 상기 가스 혼합물은 헬륨 내의 3 내지 20%의 수소 범위이며, 예시를 제공하기 위한 목적으로서, 헬륨 내에서 3 내지 6%의 수소 범위이다. 미리 혼합된 가스들은 헬륨 내의 대략 5%의 수소 혼합물 형태로 반도체 산업에서 일반적으로 사용 가능하다. 광범위한 가스 플로우들이 플라즈마 처리(1421)를 위한 필수적인 조건을 제공할 것이지만, 수소/헬륨 혼합물의 가스 플로우는 5-200 sccm의 범위이며, 예시적인 목적으로서 50 sccm이다. 플라즈마 처리(1421)를 효과적으로 제공하기 위한 필수적인 조건들을 제공하기 위하여 상기 플라즈마의 압력은 1mTorr 내지 100 Torr 범위일 수 있고, 전형적인 플로우의 예시를 제공하기 위한 목적으로 상기 압력은 100 mTorr이다. 상기 플라즈마 소스는 기판(1400) 상에 성장하는 막과 반응하도록 상기 기판에 이온화된 가스 상태의 종을 운반하기 위한 수단을 제공한다. 그럼으로써, 이온들 및 반응성 종은 해당 기술에서 알려진 다양한 범위의 기술들에 의해 제공될 수 있고, 이들 중 어느 것이라도 본 방법 발명 내에서 실행될 수 있다. 상기 플라즈마 소스는 고주파 유도 결합 플라즈마 소스, 축전 결합형 플라즈마, 마이크로웨이브 플라즈마 소스, 이온 소스 또는 기판(1400) 상의 상기 막들에 이온화된 가스 종을 운반하기 위하여 해당 기술에서 알려진 어떠한 다른 타입의 플라즈마 소스일 수 있다. 상기 플라즈마 소스는 수십 kHz 내지 수백 MHz의 주파수 범위를 갖는 RF-파워일 수 있다. 상기 플라즈마 소스는 마이크로웨이브 파워일 수 있다. 상기 플라즈마 소스는 가스를 이온화하고, 이후 추출되어 상기 기판으로 가속되는 전자 전류와 같은, 이온 소스 또는 이온 밀링 장비 내에서와 같이 일차 소스를 사용하는 2차 소스일 수 있다. 예시적인 목적으로, 상기 플라즈마는 제너레이터와 웨이퍼 전극 사이의 임피던스 매칭)을 위하여 상기 웨이퍼 전극에 매칭 네트워크와 함께 부착된 13.56 Mhz 제너레이터를 사용하여 생성된다. 이러한 구성에서, 광범위의 파워가 기판(1400) 상에 성장하는 막들을 효과적으로 처리하는 조건들을 생성하기 위하여 사용될 수 있다. 예시적인 목적으로서, 1W 내지 500W 범위의 파워 레벨들이 사용된다. 주어진 공정(1421)을 위한 최적의 파워 레벨들은 다른 인자들 중에서도 웨이퍼 사이즈, 플라즈마 압력, 전구체 선택, 퇴적된 막 사양들, 성장하는 막의 스퍼터 속도(만약 파워가 스퍼터 문턱 이상이라면)에 의존할 것이다. 예시적인 목적으로서, 상기 웨이퍼 사이즈는 200 mm 이고, 파워 레벨은 300 W 이다. 고주파 유도 결합 플라즈마 소스와 같은 다른 플라즈마 소스들을 위하여, 요구되는 파워 레벨들은 달라지기 쉽고, 다른 인자들 중에서도 상기 플라즈마 소스 및 기판(1400) 사이의 거리, 및 작동 압력 및 가스의 선택과 같은 추가적인 인자들에 의존할 수 있다.
플라즈마 처리(1421)는 제1 퇴적 단계(1401)로부터, 그리고 플라즈마 처리(1421)로부터의 원소들의 조합인, 처리된 화학양론적 막(1451)을 생성하기 위하여 퇴적된 막들(1411)의 특성들을 변경시킬 수 있다. 상기 변경 단계는 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다. 도 14에 기술된 예시적인 실시예에서, 플라즈마 처리 단계(1421)는 퇴적된 막(1411)으로부터 카본과 같은 불순물들을 제거하는 데 사용된다. 처리 단계(1421)의 다른 목적들은 역시 본 방법 발명 내에 제공되며 본 발명의 범위 내에 유지될 것이다.
도 14에 도시된 실시예(1498)에서, 처리 단계(1421) 이후에 전구체들의 제2 세트를 사용한 퇴적 단계(1402)가 뒤따르며, 이는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 예시적인 목적을 위하여, 제2 퇴적 단계(1402)는 단일 전구체, 다시 말하면 MOCVD 전구체, Ti, Ta, W, Cu, Al, Ni, Ru, Ir, Mo, Sb 또는 다른 어떠한 금속 원소와 같은 금속 원소를 함유하는 단일 전구체로부터의 퇴적이다. 이와는 달리, 상기 MOCVD는 Si, Ge, Te, Ba, Ca 또는 유기금속 전구체들이 가능한 다른 원소들을 함유할 수 있다. 예시적인 목적으로서, 퇴적 단계(1402) 내의 상기 MOCVD 전구체는 바륨 함유 MOCVD 전구체이고, 작동 온도는 섭씨 250 내지 300도 범위이다.
퇴적 단계(1402)로부터의 우연하거나 의도된 효과들이 퇴적되고 처리된 막(1451)의 막 특성들 중 하나 또는 그 이상에 영향을 주어 처리된 막(1452)을 생성할 수 있다. 제2 퇴적 단계(1402)는 처리된 막(1452) 상에 퇴적된 막(1412)을 생성한다.
제1 MOCVD 전구체로부터의 막 퇴적(1402) 이후에 처리된 막(1453)을 생성하기 위한 플라즈마 처리(1422)가 뒤따른다. 이러한 실시예에서의 플라즈마 처리(1422)는 분자 수소, 암모니아, 메탄, 실란, 또는 수소를 함유하는 다른 가스와 같은 수소-함유 가스를 사용하는 단일 단계 플라즈마 처리이다. 이와는 달리, 플라즈마 처리(1422)는 수소-함유 가스 및 질소 또는 아르곤 또는 헬륨과 같은 불활성 가스 중 하나 또는 그 이상의 혼합물이다. 바람직하게는, 플라즈마 처리(1422)는 수소, 수소 및 아르곤의 혼합물, 또는 수소 및 헬륨의 혼합물이다. 예시적인 목적으로서, 이러한 실시예에서의 플라즈마 처리(1422)는 수소 및 헬륨의 혼합물이고, 단일 단계 공정이다. 또한, 광범위한 수소/헬륨 가스 혼합물들이 상기 플라즈마 처리(1422)를 위한 필수적인 조건을 제공할 것이지만, 예시적인 목적으로서, 상기 가스 혼합물은 헬륨 내의 3 내지 20%의 수소 범위이며, 예시를 제공하기 위한 목적으로서, 헬륨 내에서 3 내지 6%의 수소 범위이다. 미리 혼합된 가스들은 헬륨 내의 대략 5%의 수소 혼합물 형태로 반도체 산업에서 일반적으로 사용 가능하다. 광범위한 가스 플로우들이 플라즈마 처리(1422)를 위한 필수적인 조건을 제공할 것이지만, 수소/헬륨 혼합물의 가스 플로우는 5 내지 200 sccm의 범위이며, 예시적인 목적으로서 50 sccm이다. 플라즈마 처리(1422)를 효과적으로 제공하기 위한 필수적인 조건들을 제공하기 위하여 상기 플라즈마의 압력은 1mTorr 내지 100 Torr 범위일 수 있고, 전형적인 플로우의 예시를 제공하기 위한 목적으로 상기 압력은 100 mTorr이다. 상기 플라즈마 소스는 기판(1400) 상에 성장하는 막과 반응하도록 상기 기판에 이온화된 가스 상태의 종을 운반하기 위한 수단을 제공한다. 그럼으로써, 이온들 및 반응성 종은 해당 기술에서 알려진 다양한 범위의 기술들에 의해 제공될 수 있고, 이들 중 어느 것이라도 본 방법 발명 내에서 실행될 수 있다. 상기 플라즈마 소스는 고주파 유도 결합 플라즈마 소스, 축전 결합형 플라즈마, 마이크로웨이브 플라즈마 소스, 이온 소스 또는 기판(1400) 상의 상기 막들에 이온화된 가스 종을 운반하기 위하여 해당 기술에서 알려진 어떠한 다른 타입의 플라즈마 소스일 수 있다. 상기 플라즈마 소스는 수십 kHz 내지 수백 MHz의 주파수 범위를 갖는 RF-파워일 수 있다. 상기 플라즈마 소스는 마이크로웨이브 파워일 수 있다. 상기 플라즈마 소스는 가스를 이온화하고, 이후 추출되어 상기 기판으로 가속되는 전자 전류와 같은, 이온 소스 또는 이온 밀링 장비 내에서와 같이 일차 소스를 사용하는 2차 소스일 수 있다. 예시적인 목적으로, 상기 플라즈마는 제너레이터와 웨이퍼 전극 사이의 임피던스 매칭)을 위하여 상기 웨이퍼 전극에 매칭 네트워크와 함께 부착된 13.56 Mhz 제너레이터를 사용하여 생성된다. 이러한 구성에서, 광범위의 파워가 기판(1400) 상에 성장하는 막들을 효과적으로 처리하는 조건들을 생성하기 위하여 사용될 수 있다. 예시적인 목적으로서, 1W 내지 500W 범위의 파워 레벨들이 사용된다. 주어진 공정(1422)을 위한 최적의 파워 레벨들은 다른 인자들 중에서도 웨이퍼 사이즈, 플라즈마 압력, 전구체 선택, 퇴적된 막 사양들, 성장하는 막의 스퍼터 속도(만약 파워가 스퍼터 문턱 이상이라면)에 의존할 것이다. 예시적인 목적으로서, 상기 웨이퍼 사이즈는 200 mm 이고, 파워 레벨은 300 W 이다. 고주파 유도 결합 플라즈마 소스와 같은 다른 플라즈마 소스들을 위하여, 요구되는 파워 레벨들은 달라지기 쉽고, 다른 인자들 중에서도 상기 플라즈마 소스 및 기판(1400) 사이의 거리, 및 작동 압력 및 가스의 선택과 같은 추가적인 인자들에 의존할 수 있다.
플라즈마 처리(1422)는 퇴적 단계들(1401-1402)로부터, 그리고 플라즈마 처리(1422)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1453)을 생성하기 위하여 퇴적된 막들(1412, 1452)의 특성들을 변경할 수 있다. 상기 변경 단계는 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다. 도 14에 나타난 예시적인 실시예에서, 플라즈마 처리 단계(1422)는 퇴적된 막들(1412, 1452)으로부터 카본과 같은 불순물들을 제거하는 데 사용된다. 또한, 본 방법 발명 내에 제공되는 처리 단계(1422)의 다른 목적들 역시 본 발명의 범위 내에 유지되어 사용될 수 있다.
도 14에 도시된 실시예(1498)에서, 처리 단계(1422) 이후에 전구체들의 제3 세트를 사용한 퇴적 단계(1403)가 뒤따르며, 이는 CVD 전구체 또는 MOCVD 전구체와 같은 단일 전구체로부터의 퇴적일 수 있고; 또는 CVD 전구체 또는 MOCVD 전구체 및 다른 CVD 또는 MOCVD 전구체, 반응 가스, 또는 비반응 가스와 같은 전구체들의 세트일 수 있으며; 또는 CVD 전구체, MOCVD 전구체, 반응 가스, 비반응 가스, 불활성 가스, 또는 희석제 중 하나 또는 그 이상의 어떠한 조합일 수도 있다. 이러한 예시적인 목적을 위하여, 제3 퇴적 단계(1403)는 단일 전구체, 다시 말하면 MOCVD 전구체, Ti, Ta, W, Cu, Al, Ni, Ru, Ir, Mo, Sb 또는 다른 어떠한 금속 원소와 같은 금속 원소를 함유하는 단일 전구체로부터의 퇴적이다. 이와는 달리, 상기 MOCVD는 Si, Ge, Te, Ba, Ca 또는 유기금속 전구체들이 가능한 다른 원소들을 함유할 수 있다. 예시적인 목적으로서, 퇴적 단계(1403) 내의 상기 MOCVD 전구체는 스트론튬 함유 MOCVD 전구체이고, 작동 온도는 섭씨 250 내지 300도 범위이다.
퇴적 단계(1403)로부터의 우연하거나 의도된 효과들이 퇴적되고 처리된 막(1452)의 막 특성들 중 하나 또는 그 이상에 영향을 주어 처리된 막(1453)을 생성할 수 있다. 제3 퇴적 단계(1403)는 처리된 막(1453) 상에 퇴적된 막(1413)을 생성한다.
제3 전구체(들)로부터의 막 퇴적(1403) 이후에 처리된 복합층(1455)을 생성하기 위한 플라즈마 처리(1420)가 뒤따른다. 이러한 실시예에서의 플라즈마 처리(1420)는 단일 단계 또는 복수의 단계들일 수 있다. 플라즈마 처리(1420)는 단일 단계이거나 공정 조건들의 세트일 수 있고, 또는 복수의 케미스트리들을 갖는 복수의 단계들로 구성될 수 있고, 압력, 온도, 가스 플로우, 플라즈마 파워, 바이어스 파워, 또는 기판(1400) 상의 상기 막들 상에 상기 플라즈마에 의해 부과되는 조건들을 달리할 수 있는 다른 공정 조건과 같은 공정 변수들의 범위 중 어느 것이라도 단계-단계 별로 변경을 줄 수 있다. 플라즈마 처리(1420), 또는 플라즈마 처리들(1420)의 조합은 퇴적 단계들(1401-1403)로부터 퇴적된 막, 그리고 플라즈마 처리(들)(1421-1422)로부터의 상기 원소들의 조합인, 처리된 화학양론적 막(1455)을 생성하기 위하여 퇴적된 막들(1413, 1453)의 특성들을 변경시킨다. 처리 단계들(1420)은 상기 막 밀도를 변화시키고, 상기 막 두께를 변화시키고, 불순물들을 병합하고, 불순물들을 제거하고, 상기 막의 결정도를 변화시키고, 상기 막 조성을 변경하고, 화학양론비를 변경하고, 또는 상기 막의 다른 물리적, 전자적, 자기적 또는 다른 특성들 어느 것이라도 변화시킬 수 있다.
도 14에 도시된 실시예에서, 플라즈마 처리(1420)는 복수의 단계들(1423, 1425)의 시퀀스이다. 플라즈마 처리(1423)는 플라즈마 처리(1420)의 제1 단계이고, 예시적인 목적으로서, 수소-함유 플라즈마를 사용하는 플라즈마 처리이다. 이러한 예시적인 실시예에서, 상기 플라즈마 처리 조건들은 각각 퇴적 단계들(1401, 1402) 이후에 뒤따르는 플라즈마 처리 단계(1421, 1422)를 위하여 기술된 것들과 유사하다.
이러한 예시적인 실시예에서, 수소-함유 플라즈마 처리(1423)는 퇴적된 막들(1413, 1453)로부터 카본과 같은 불순물들을 제거하기 위한 단계이다. 플라즈마 처리 단계(1423)의 다른 목적들 역시 본 발명의 범위 내에 유지되어 사용될 수 있다.
플라즈마 처리(1425)는 플라즈마 처리(1420)의 제2 단계이고, 예시적인 목적으로서, 산소-함유 플라즈마를 사용한 플라즈마 처리이다. 이러한 예시적인 실시예에서, 플라즈마 처리(1425)는 분자 산소, 오존 또는 산소를 포함하는 다른 가스과 같은 산소-함유 가스를 사용한 플라즈마 처리이다. 이와는 달리, 플라즈마 처리(1425)는 산소-함유 가스, 및 질소 및 아르곤 또는 헬륨과 같은 불활성 가스 중 하나 또는 그 이상의 혼합물이다. 바람직하게는, 플라즈마 처리(1425)는 산소, 또는 산소 및 아르곤의 혼합물, 또는 산소 및 헬륨의 혼합물이다. 예시적인 목적으로, 이러한 실시예에서의 플라즈마 처리(1425)는 산소 및 아르곤의 혼합물이며, 단일 단계 공정이다. 또한, 광범위한 산소/아르곤 가스 혼합물들이 플라즈마 처리(1425)를 위한 필수적인 조건을 제공할 것이지만, 예시적인 목적으로서, 상기 가스 혼합물은 아르곤 내의 20 내지 50%의 산소 범위이며, 예시를 제공하기 위한 목적으로서, 아르곤 내에서 30%의 산소 범위이다. 광범위한 가스 플로우들이 플라즈마 처리(1425)를 위한 필수적인 조건을 제공할 것이지만, 산소/아르곤 혼합물의 가스 플로우는 5 내지 200 sccm의 범위이며, 예시적인 목적으로서 50 sccm이다. 플라즈마 처리(1425)를 효과적으로 제공하기 위한 필수적인 조건들을 제공하기 위하여 상기 플라즈마의 압력은 1 mTorr 내지 100 Torr 범위일 수 있고, 전형적인 플로우의 예시를 제공하기 위한 목적으로 상기 압력은 500 mTorr이다. 상기 플라즈마 소스는 기판(1400) 상에 성장하는 막과 반응하도록 상기 기판에 이온화된 가스 상태의 종을 운반하기 위한 수단을 제공한다. 그럼으로써, 이온들 및 반응성 종은 해당 기술에서 알려진 다양한 범위의 기술들에 의해 제공될 수 있고, 이들 중 어느 것이라도 본 방법 발명 내에서 실행될 수 있다. 상기 플라즈마 소스는 고주파 유도 결합 플라즈마 소스, 축전 결합형 플라즈마, 마이크로웨이브 플라즈마 소스, 이온 소스 또는 기판(1400) 상의 상기 막들에 이온화된 가스 종을 운반하기 위하여 해당 기술에서 알려진 어떠한 다른 타입의 플라즈마 소스일 수 있다. 상기 플라즈마 소스는 수십 kHz 내지 수백 MHz의 주파수 범위를 갖는 RF-파워일 수 있다. 상기 플라즈마 소스는 마이크로웨이브 파워일 수 있다. 상기 플라즈마 소스는 가스를 이온화하고, 이후 추출되어 상기 기판으로 가속되는 전자 전류와 같은, 이온 소스 또는 이온 밀링 장비 내에서와 같이 일차 소스를 사용하는 2차 소스일 수 있다. 예시적인 목적으로, 상기 플라즈마는 제너레이터와 웨이퍼 전극 사이의 임피던스 매칭을 위하여 상기 웨이퍼 전극에 매칭 네트워크와 함께 부착된 13.56 Mhz 제너레이터를 사용하여 생성된다. 이러한 구성에서, 광범위의 파워가 기판(1400) 상에 성장하는 막들을 효과적으로 처리하는 조건들을 생성하기 위하여 사용될 수 있다. 예시적인 목적으로서, 1W 내지 500W 범위의 파워 레벨들이 사용된다. 주어진 공정(1425)을 위한 최적의 파워 레벨들은 다른 인자들 중에서도 웨이퍼 사이즈, 플라즈마 압력, 전구체 선택, 퇴적된 막 사양들, 성장하는 막의 스퍼터 속도(만약 파워가 스퍼터 문턱 이상이라면)에 의존할 것이다. 예시적인 목적으로서, 상기 웨이퍼 사이즈는 200 mm 이고, 파워 레벨은 300 W 이다. 고주파 유도 결합 플라즈마 소스와 같은 다른 플라즈마 소스들을 위하여, 요구되는 파워 레벨들은 달라지기 쉽고, 다른 인자들 중에서도 상기 플라즈마 소스 및 기판(1400) 사이의 거리, 및 작동 압력 및 가스의 선택과 같은 추가적인 인자들에 의존할 수 있다.
플라즈마 처리(1425)는 퇴적 단계들(1401 내지 1403)로부터 및 처리 단계들(1421 내지 1423 및 1425)로부터 구성요소들의 조합인 처리된 화학양론적 층(1455)을 생산하기 위하여 퇴적된 층들(1413 및 1453)의 특성들을 변화시킨다. 변화 단계(1420)는 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물을 혼입하거나(incorporate), 불순물을 제거하거나, 막의 결정도를 변경하거나, 막 조성을 변화시키거나, 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적 또는 다른 성질을 변화시킬 수 있다. 도 14에 도시된 예시적 실시예에서, 플라즈마 처리 단계(1423)는 퇴적된 막들(1413 및 1453)으로부터의 탄소와 같은 그런 불순물들을 제거하는데 사용된다. 본 예시적 실시예에서, 플라즈마 처리 단계(1425)는 퇴적된 막(1413 및 1453)으로부터의 탄소와 같은 그런 불순물들을 제거하고 원하는 화학양론적 층(1455) 및 화학양론적 막(1470)을 생산하기 위하여 막들(1413 및 1453)로 산소를 혼입하는데 사용된다.
또한, 처리 단계(1421)의 다른 목적들은 발명의 방법에서 제공되고 본 발명의 범위 내에 있을 수 있다.
퇴적되고 처리된 제1 복합층(1455)이 형성된 후, 퇴적 및 처리의 방법은 처리된 막들(1455)의 멀티 층들의 복합물인, 화학양론적 복합막(1470)을 생산하기 위하여 반복된다(1490).
또한, 퇴적 단계들(1401 내지 1403) 및 처리 단계들(1421 내지 1423 및 1425)에 대한 방법 순서에서의 변동들은, 경사진(graded) 막 구조물들을 생성하기 위한 본 발명의 방법에 적용될 수 있다. 여기서 화학양론적 복합막(1470)을 생산하기 위하여 사용된 상기 박막들(1453)의 스택의 특성들은 일련의 반복되는 퇴적들 및 처리들(1490)을 통하여 변화되어, 상기 복합막(1470)을 제조함에 있어서 상기 박층들(1455)의 특성들 중의 하나 이상이 하나 이상의 개별층들(1455)에 대하여 변화하는 층을 생성한다.
도 15는 기판(1500)에서 막 성장 순서(1599)의 대응되는 개략도와 함께 NLD 공정 순서(1598)의 흐름도를 나타낸다. 제1 퇴적 단계(1501)는 층 또는 막(1511)을 퇴적하기 위한 제1 전구체, 또는 전구체들의 세트로의 기판(1500)의 노출이다. 제1 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적일 수 있다; 또는 그것은 CVD 전구체나 MOCVD 전구체 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될수 있다; 또는 그것은 CVD 전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스, 또는 희석제 중 하나 이상의 임의의 조합일 수 있다.
제1 전구체 또는 전구체들의 세트로부터의 막 퇴적(1501)에 이어서 처리된 막(1551)을 생산하기 위한 플라즈마 처리(1521)가 있다. 플라즈마 처리(1521)는 단일 단계 또는 다중 단계들이 될 수 있다. 플라즈마 처리(1521)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1500)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리 또는 플라즈마 처리들(1521)의 조합은 제1 전구체들(1501)로부터 퇴적된 층으로부터, 및 플라즈마 처리(들)(1521)로부터 구성요소들의 조합인 처리된 층(1551)을 생산하기 위해 퇴적된 층들(1511)의 특성들을 변화시킨다. 변화 단계(1521)는 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막의 조성을 변경하거나, 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적, 또는 다른 성질을 변경할 수 있다.
도 15에 도시된 실시예(1598)에서, 처리 단계(1521) 뒤에 층(1512)을 생산하기 위한 전구체들(1502)의 제2 세트로 퇴적 단계가 이어지고, 상기 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적이 될 수 있다; 또는 그것은 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 전구체들의 세트 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될 수 있다; 또는 그것은 CVD전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스 또는 희석제 중 하나 이상의 임의의 조합이 될 수 있다. 퇴적 단계(1502)로부터의 의도하지 않았거나 의도한 효과들은 처리된 막(1552)을 생산하기 위하여 퇴적되고 처리된 막(1551)의 막 특성들 중 하나 이상에 영향을 미칠 수 있다. 제2 퇴적 단계(1502)는 처리된 막(1552)에서 퇴적된 층 또는 막(1512)을 생산한다.
전구체들의 제2 세트로부터의 막 퇴적(1502)에 이어서 처리된 복합막 층(1553)을 생산하기 위한 플라즈마 처리(1522)가 있다. 플라즈마 처리(1522)는 단일 단계 또는 다중 단계들일 수 있다. 플라즈마 처리(1522)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1500)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리(1522) 또는 플라즈마 처리들(1522)의 조합은, 퇴적 단계들(1501 내지 1502) 및 플라즈마 처리(들)(1521 내지 1522)로부터의 구성요소들의 조합인, 처리된 화학양론적 층(1553)을 생산하기 위하여 퇴적된 층들(1512 및 1552)의 특성들을 변화시킨다. 처리 단계들(1521 및 1522)은 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막 조성을 변화시키거나 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적 또는 다른 성질을 변경할 수 있다.
도 15에 도시된 실시예(1598)에서, 처리 단계(1522) 뒤에 층(1513)을 생산하기 위한 전구체들의 제3 세트로의 퇴적 단계(1503)가 이어지고, 상기 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적이 될 수 있다; 또는 그것은 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 전구체들의 세트 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될 수 있다; 또는 그것은 CVD전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스 또는 희석제 중 하나 이상의 임의의 조합이 될 수 있다. 퇴적 단계(1503)로부터의 의도하지 않았거나 의도한 효과들은 처리된 막(1554)을 생산하기 위하여 퇴적되고 처리된 막(1553)의 막 특성들 중 하나 이상에 영향을 미칠 수 있다. 제3 퇴적 단계(1503)는 처리된 막(1554) 위로 퇴적된 층(1513)을 생산한다.
전구체들의 제3 세트로부터의 막 퇴적(1503)에 이어서 처리된 복합막 층(1555)을 생산하기 위한 플라즈마 처리(1523)가 있다. 플라즈마 처리(1523)는 단일 단계 또는 다중 단계들일 수 있다. 플라즈마 처리(1523)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1500)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리(1523) 또는 플라즈마 처리들(1523)의 조합은, 퇴적 단계들(1501 내지 1503) 및 플라즈마 처리(들)(1521 내지 1523)로부터의 구성요소들의 조합인, 처리된 화학양론적 층(1555)을 생산하기 위하여 퇴적된 층들(1513 및 1554)의 특성들을 변화시킨다. 처리 단계들(1521 내지 1523)은 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막 조성을 변화시키거나 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적 또는 다른 성질을 변경할 수 있다.
도 15에 도시된 실시예(1598)에서, 처리 단계(1523) 뒤에 층(1514)을 생산하기 위한 제4 전구체들 또는 전구체들의 세트로의 퇴적 단계(1504)가 이어지고, 상기 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적이 될 수 있다; 또는 그것은 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 전구체들의 세트 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될 수 있다; 또는 그것은 CVD전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스 또는 희석제 중 하나 이상의 임의의 조합이 될 수 있다.
퇴적 단계(1504)로부터의 의도하지 않았거나 의도한 효과들은 처리된 막(1556)을 생산하기 위하여 퇴적되고 처리된 막(1555)의 막 특성들 중 하나 이상에 영향을 미칠 수 있다. 제4 퇴적 단계(1504)는 처리된 막(1556) 위로 퇴적된 층(1514)을 생산한다.
제4 전구체 또는 전구체들의 세트로부터의 막 퇴적(1504)에 이어서 처리된 복합막 층(1557)을 생산하기 위한 플라즈마 처리(1524)가 있다. 플라즈마 처리(1524)는 단일 단계 또는 다중 단계들일 수 있다. 플라즈마 처리(1524)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1500)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리(1524) 또는 플라즈마 처리들(1524)의 조합은, 퇴적 단계들(1501 내지 1504) 및 플라즈마 처리(들)(1521 내지 1524)로부터의 구성요소들의 조합인, 처리된 화학양론적 층(1557)을 생산하기 위하여 퇴적된 층들(1514 및 1556)의 특성들을 변화시킨다. 처리 단계들(1521 내지 1524)은 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막 조성을 변화시키거나 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적 또는 다른 성질을 변경할 수 있다.
퇴적되고 처리된 제1 복합층(1557)을 형성된 후에, 퇴적 및 처리의 방법은 처리된 막들(1557)의 다중 층들의 복합물인 화학양론적 복합막(1570)을 생산하기 위하여 반복된다(1590). 또한, 퇴적 단계들(1501 내지 1504) 및 처리 단계들(1521 내지 1524)에 대한 방법 순서에서의 변동들은, 경사진(graded) 막 구조물들을 생성하기 위한 본 발명의 방법에 적용될 수 있다. 여기서 화학양론적 복합막(1570)을 생산하기 위하여 사용된 상기 박막들(1557)의 스택의 특성들은 일련의 반복되는 퇴적들 및 처리들(1590)을 통하여 변화되어, 상기 복합막(1570)을 제조함에 있어서 상기 박층들(1557)의 특성들 중의 하나 이상이 하나 이상의 개별층들(1557)에 대하여 변화하는 층을 생성한다.
도 16a는 도 16b에 도시된 기판(1600)에서 막 성장 순서(1699)의 대응하는 개략도와 함께 NLD 공정 순서의 흐름도(1698)를 나타낸다. 제1 퇴적 단계(1601)는 제1 전구체 또는 전구체들의 세트로의 층 또는 막(1611)을 퇴적하기 위하여 제1 전구체, 또는 전구체들의 세트로의 기판(1600)의 노출이다. 제1 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적일 수 있다; 또는 그것은 CVD 전구체나 MOCVD 전구체 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될수 있다; 또는 그것은 CVD 전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스, 또는 희석제 중 하나 이상의 임의의 조합일 수 있다.
제1 전구체 또는 전구체들의 세트로부터의 막 퇴적(1601)에 이어서 처리된 막(1651)을 생산하기 위한 플라즈마 처리(1621)가 있다. 플라즈마 처리(1621)는 단일 단계 또는 다중 단계들이 될 수 있다. 플라즈마 처리(1621)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1600)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리 또는 플라즈마 처리들(1621)의 조합은 퇴적 단계(1601)로부터 퇴적된 층으로부터, 및 플라즈마 처리(들)(1621)로부터 구성요소들의 조합인 처리된 층(1651)을 생산하기 위해 퇴적된 층들(1611)의 특성들을 변화시킨다. 변화 단계(1621)는 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막의 조성을 변경하거나, 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적, 또는 다른 성질을 변경할 수 있다.
도 16a에 도시된 실시예(1698)에서, 처리 단계(1621) 뒤에 층(1612)을 생산하기 위한 전구체들(1602)의 제2 세트로 퇴적 단계가 이어지고, 상기 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적이 될 수 있다; 또는 그것은 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 전구체들의 세트 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될 수 있다; 또는 그것은 CVD전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스 또는 희석제 중 하나 이상의 임의의 조합이 될 수 있다. 퇴적 단계(1602)로부터의 의도하지 않았거나 의도한 효과들은 처리된 막(1652)를 생산하기 위하여 퇴적되고 처리된 막(1651)의 막 특성들 중 하나 이상에 영향을 미칠 수 있다. 제2 퇴적 단계(1602)는 처리된 막(1652)에서 퇴적된 층 또는 막(1612)을 생산한다.
전구체들의 제2 세트로부터의 막 퇴적(1602)에 이어서 도 16b에 도시된 처리된 복합막 층(1653)을 생산하기 위한 플라즈마 처리(1622)가 있다. 플라즈마 처리(1622)는 단일 단계 또는 다중 단계들일 수 있다. 플라즈마 처리(1622)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1600)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리(1622) 또는 플라즈마 처리들(1622)의 조합은, 퇴적 단계(1601 내지 1602) 및 플라즈마 처리(들)(1621 내지 1622)로부터의 구성요소들의 조합인, 처리된 화학양론적 층(1653)을 생산하기 위하여 퇴적된 층들(1612 및 1652)의 특성들을 변화시킨다. 처리 단계들(1621 및 1622)은 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막 조성을 변화시키거나 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적 또는 다른 성질을 변경할 수 있다.
도 16a에 도시된 실시예(1698)에서, 처리 단계(1622) 뒤에 도 16b에 도시된 층(1613)을 생산하기 위한 전구체들의 제3 세트로의 퇴적 단계(1603)가 이어진다. 처리 단계(1613)는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적이 될 수 있다; 또는 그것은 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 전구체들의 세트 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될 수 있다; 또는 그것은 CVD전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스 또는 희석제 중 하나 이상의 임의의 조합이 될 수 있다. 퇴적 단계(1603)로부터의 의도하지 않았거나 의도한 효과들은 처리된 막(1654)을 생산하기 위하여 퇴적되고 처리된 막(1653)의 막 특성들 중 하나 이상에 영향을 미칠 수 있다. 제3 퇴적 단계(1603)는 처리된 막(1654) 위로 퇴적된 층(1613)을 생산한다.
전구체들의 제3 세트로부터의 막 퇴적(1603)에 이어서 처리된 복합막 층(1655)을 생산하기 위한 플라즈마 처리(1623)가 있다. 플라즈마 처리(1623)는 단일 단계 또는 다중 단계들일 수 있다. 플라즈마 처리(1623)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1600)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리(1623) 또는 플라즈마 처리들(1623)의 조합은, 퇴적 단계들(1601 내지 1603) 및 플라즈마 처리(들)(1621 내지 1623)로부터의 구성요소들의 조합인, 처리된 화학양론적 층(1655)을 생산하기 위하여 퇴적된 층들(1613 및 1654)의 특성들을 변화시킨다. 처리 단계들(1621 내지 1623)은 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막 조성을 변화시키거나 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적 또는 다른 성질을 변경할 수 있다.
도 16a에 도시된 실시예(1698)에서, 처리 단계(1623) 뒤에 층(1614)을 생산하기 위한 제4 전구체들 또는 전구체들의 세트로의 퇴적 단계(1604)가 이어지고, 상기 퇴적 단계는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적이 될 수 있다; 또는 그것은 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 전구체들의 세트 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될 수 있다; 또는 그것은 CVD전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스 또는 희석제 중 하나 이상의 임의의 조합이 될 수 있다. 퇴적 단계(1604)로부터의 의도하지 않았거나 의도한 효과들은 처리된 막(1656)을 생산하기 위하여 퇴적되고 처리된 막(1655)의 막 특성들 중 하나 이상에 영향을 미칠 수 있다. 제4 퇴적 단계(1604)는 처리된 막(1656) 위로 퇴적된 층(1614)을 생산한다.
제4 전구체 또는 전구체들의 세트로부터의 막 퇴적(1604)에 이어서 처리된 복합막 층(1657)을 생산하기 위한 플라즈마 처리(1624)가 있다. 플라즈마 처리(1624)는 단일 단계 또는 다중 단계들일 수 있다. 플라즈마 처리(1624)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1600)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리(1624) 또는 플라즈마 처리들(1624)의 조합은, 퇴적 단계들(1601 내지 1604) 및 플라즈마 처리들(1621 내지 1624)로부터의 구성요소들의 조합인, 처리된 화학양론적 층(1657)을 생산하기 위하여 퇴적된 층들(1614 및 1656)의 특성들을 변화시킨다. 처리 단계들(1621 내지 1624)은 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막 조성을 변화시키거나 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적 또는 다른 성질을 변경할 수 있다.
도 16a에 도시된 실시예(1698)에서, 처리 단계(1624) 뒤에 도 16b에 도시된 층(1615)을 생산하기 위한 제5 전구체들 또는 전구체들의 세트로의 퇴적 단계(1605)가 이어진다. 처리 단계(1624)는 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 단일 전구체로부터의 퇴적이 될 수 있다; 또는 그것은 CVD 전구체 또는 MOCVD 전구체와 같은 그러한 전구체들의 세트 및 다른 CVD나 MOCVD 전구체, 반응성 가스, 또는 비반응성 가스가 될 수 있다; 또는 그것은 CVD전구체, MOCVD 전구체, 반응성 가스, 비반응성 가스, 불활성 가스 또는 희석제 중 하나 이상의 임의의 조합이 될 수 있다. 퇴적 단계(1605)로부터의 의도하지 않았거나 의도한 효과들은 처리된 막(1657)을 생산하기 위하여 퇴적되고 처리된 막(1657)의 막 특성들 중 하나 이상에 영향을 미칠 수 있다. 제5 퇴적 단계(1605)는 처리된 막(1658) 위로 퇴적된 층(1615)을 생산한다.
제5 전구체 또는 전구체들의 세트로부터의 막 퇴적(1605)에 이어서 처리된 복합막 층(1659)을 생산하기 위한 플라즈마 처리(1625)가 있다. 플라즈마 처리(1625)는 단일 단계 또는 다중 단계들일 수 있다. 플라즈마 처리(1625)는 단일 단계 또는 공정 조건들의 세트가 될 수 있거나, 또는 다중 화학반응들, 및 압력, 온도, 가스 흐름, 플라즈마 파워, 바이어스 파워, 또는 기판(1600)에서 막으로 플라즈마에 의해 부과된 조건들을 달리 할 수 있는 다른 공정 조건과 같은 그러한 공정 패러미터들의 범위 중 임의의 것에 따른 단계별 변동들과 함께 다중 단계들을 포함할 수 있다. 플라즈마 처리(1625) 또는 플라즈마 처리들(1625)의 조합은, 퇴적 단계들(1601 내지 1605) 및 플라즈마 처리들(1621 내지 1625)로부터의 구성요소들의 조합인, 처리된 화학양론적 층(1659)을 생산하기 위하여 퇴적된 층들(1615 및 1658)의 특성들을 변화시킨다. 처리 단계들(1621 내지 1625)은 막 밀도를 변경하거나, 막 두께를 변경하거나, 불순물들을 혼입하거나, 불순물들을 제거하거나, 막의 결정도를 변경하거나, 막 조성을 변화시키거나 화학양론을 변화시키거나, 또는 막의 임의의 다른 물리적, 전자적, 자기적 또는 다른 성질을 변경할 수 있다.
퇴적되고 처리된 제1 복합층(1659)이 형성된 후에, 퇴적 및 처리의 방법은 처리된 막들(1659)의 다중 층들의 복합물인 화학양론적 복합막(1670)을 생산하기 위하여 반복된다(1690). 또한, 퇴적 단계들(1601 내지 1605) 및 처리 단계들(1621 내지 1625)에 대한 방법 순서에서의 변동들은, 경사진(graded) 막 구조물들을 생성하기 위한 본 발명의 방법에 적용될 수 있다. 여기서 화학양론적 복합막(1670)을 생산하기 위하여 사용된 상기 박막들(1559)의 스택의 특성들은 일련의 반복되는 퇴적들 및 처리들(1690)을 통하여 변화되어, 상기 복합막(1670)을 제조함에 있어서 상기 박층들(1659)의 특성들 중의 하나 이상이 하나 이상의 개별층들(1659)에 대하여 변화하는 층을 생성한다.
막을 성장시키는 단계의 화학양론을 조절하기 위한 다른 수단들은 사이클마다 퇴적 단계들의 순서를 변동시키는 것이다. 예를 들면, 제1 사이클에서, 기본적인 퇴적 단계들 중 하나 이상의 지속시간은 후속하는 사이클에서 기본적인 퇴적 단계들의 지속시간과 다른 지속시간을 가질 수 있다. 다중 사이클들에서의 변동들은 본 발명의 범위 내에 있다. 퇴적 시간들이 특정 구성요소, 또는 구성요소들에 대한 모든 사이클들에서 다른 경우, 슈퍼-사이클(반복하기 전과 적어도 하나의 다른 조건을 가지는 사이클들의 조합)이 최종 막에서 목적한 화학양론적 기여를 생산하는데 사용된다. 화학양론적 막, 예를 들면 Ba2SrTi3O9, 에 있어서, 퇴적 단계들의 사이클 내부 지속 시간들은 막에 대한 목적 화학양론에 의해 정의되는 화학양론적 혼합을 항상 제공하지 않을 수 있으나, 총 사이클들에 대한 순 기여들은 이러한 화학양론을 제공해야만 한다. 사이클 내부 기여들이 화학양론적 조성과 다른 경우의 예시는 다음과 같다. 제1 바륨 함유 층은, 스트론튬 층, 티타늄 층 순으로 퇴적되고, 제1 사이클의 완료를 위한 산소에서 처리가 뒤따르고, 그런 다음 제2 바륨 층, 제2 티타늄 층, 및 제2 사이클의 완료를 위한 산소-함유 플라즈마에서 제2 처리가 뒤따른다. 본 예시에서, 제2 사이클은 스트론튬의 퇴적된 층을 포함하지 않는다. 본 단순한 예시에서, 제3 사이클은 제1 사이클과 같을 수 있고, 제4 사이클은 제2 사이클과 같을 수 있다. 원하는 화학양론은, 이전 예시에서와 같이 단일 사이클이라기 보다, 본 예시에서 2개의 후속하는 사이클들(슈펴-사이클)로부터 기여들을 함산함으로써 얻을 수 있다. 다른 반복들은, 단일 사이클 동안 구성요소들의 비율들이 원하는 화학양론으로 합산하지 않고 다수의 후속하는 사이클들을 통해서 구성요소들의 비율들이 원하는 화학양론적 비율을 제공하기 위해 합산되는 점이 내포될 수 있다. 다중 서브-사이클들에 대한 단계들의 순서를 변경하는 이러한 기술은, 구성요소의 반송된 양을 제어하기 위한 추가적인 방법을 제공하고, 불순물 수준들을 복합막에서 구성요소들 중 하나 이상에 대하여 어느 정도 원자 퍼센트 아래에 있는 경우에서, 막들에 대해 특히 유용하다.
이전 예시들에서, 퇴적과 처리 단계들 사이에서 필요에 따라 퍼지 단계들이 도입되었다는 점이 추정된다. 열거된 실시예들의 많은 것들에서, 퍼지 단계들은 최적화되고, 전구체들 사이에서의 호환성에 크게 의존한다.
본 발명의 또다른 실시예에서, Ba-퇴적, Sr-퇴적, Ti-퇴적의 순서 및 산소 함유 플라즈마에서의 처리를 사용하는 대신 다른 순서가, 제1 처리 단계가 바륨-함유 제1 전구체 또는 전구체들의 세트로부터 바륨-함유 층 또는 부분 층의 퇴적 이후에 삽입되고, 제2 처리 단계가 스트론튬-함유 제2 전구체 또는 전구체들의 세트로부터 스트론튬-함유 층 또는 부분 층의 퇴적 이후에 삽입되고, 제3 처리 단계가 티타늄-함유 제3 전구체 또는 전구체들의 세트로부터 티타늄-함유 층 또는 부분 층의 퇴적 이후에 삽입되는 식으로 사용될 수 있다. 제1 처리는 산소-함유 플라즈마 노출, 수소-함유 플라즈마 노출, 불활성 가스-함유 플라즈마 노출, 질소-함유 플라즈마 노출, 또는 다른 것들 중에서, 막 두께, 화학양론, 밀도, 결정성, 및 불순물 수준 중 하나 이상을 포함할 수 있는 원하는 막 특성들을 갖는 바륨 층을 생산하기 위한 다른 처리가 될 수 있다. 유사하게, 제2 처리는 산소-함유 플라즈마 노출, 수소-함유 플라즈마 노출, 불활성 가스-함유 플라즈마 노출, 질소-함유 플라즈마 노출, 또는 다른 것들 중에서, 막 두께, 화학양론, 밀도, 결정성, 및 불순물 수준 중 하나 이상을 포함할 수 있는 원하는 막 특성들을 갖는 바륨-스트론튬 복합층을 생산하기 위한 다른 처리가 될 수 있다. 유사하게, 제3 처리는 수소-함유 플라즈마 노출, 불활성 가스-함유 플라즈마 노출, 질소-함유 플라즈마 노출, 또는 다른 것들 중에서, 막 두께, 화학양론, 밀도, 결정성, 및 불순물 수준 중 하나 이상을 포함할 수 있는 원하는 막 특성들을 갖는 바륨-스트론튬-티타늄 복합층을 생산하기 위한 다른 처리가 될 수 있다. 이와 달리, 제3 처리는, 다른 것들 중에서 막 두께, 화학양론, 밀도, 결정성, 및 불순물 수준 중 하나 이상을 포함할 수 있는 원하는 막 특성을 갖는 바륨-스트론튬=티타늄-산소 복합층을 생산하기 위한 산소-함유 플라즈마에의 노출이 될 수 있다. 이와 다르게, 제3 처리 단계는, 수소-함유 플라즈마 노출, 불활성 가스-함유 노출, 질소-함유 플라즈마 노출, 또는 다른 것들 중에서, 막 두께, 화학양론, 밀도, 결정성, 및 불순물 수준 중 하나 이상을 포함할 수 있는 원하는 막 특성들을 갖는 바륨-스트론튬-티타늄 복합층을 생산하기 위한 다른 처리가 될 수 있고, 이후에 다른 것들 중에서 막 두께, 화학양론, 밀도, 결정성, 및 불순물 수준 중 하나 이상을 포함할 수 있는 원하는 막 특성들을 갖는 바륨-스트론튬-티타늄-산소 복합층을 생산하기 위한 산소-함유-플라즈마 노출이 이어진다. 본 예시에서의 처리 단계는 산소를 포함하지 않는 플라즈마 처리로의 제1 노출과 그 다음에 산소를 함유하지 않는 플라즈마 처리로의 제2 노출로 구성된 복합 처리 단계이다. 이러한 접근은 1차적으로, 예컨대 수소, 암모니아, 또는 불활성 가스를 사용하여 퇴적된 층 또는 층들로부터 원치 않는 불순물들을 제거하고, 2차적으로 불순물들을 제거한 후 복합물을 산화시키는 실제적인 방법을 제공한다.
Ba--Sr--Ti--O 복합물을 위해 제공된 예시적 방법 순서들은 아래와 같이 요약된다:
1) 배출 → 퇴적-Ba 층 → 퍼지 → 퇴적-Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → Ba--Sr--Ti--O 막을 형성하기 위한 Ba--Sr--Ti 복합층을 처리 (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
2) 배출 → [퇴적-Ba 층 → 퍼지 → 퇴적-Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → Ba--Sr--Ti--O 막을 형성하기 위한 Ba--Sr--Ti 복합층을 처리 → 퇴적-Ba 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → Ba--Sr--Ti--O 막을 형성하기 위한 Ba--Sr--Ti--O--Ba--Ti 복합층을 처리] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
3) 배출 → [퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퍼지 → 퇴적-Sr 층 → 퍼지 → 처리-Ba--Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → 처리 Ba--Ba--Sr--Ti--O 층을 형성하기 위한 Sr--Ti 복합층을 처리] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
4) 배출 → [퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퍼지 → 퇴적-Sr 층 → 퍼지 → 처리-Ba--Sr 복합층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → 하나 이상의 무산소 플라즈마 단계들로 Ba--Sr--Ti 복합층을 처리, 그 뒤에 Ba--Sr--Ti--O 막을 형성하기 위한 산소-함유 플라즈마와의 하나 이상의 처리들] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
발명의 고정에 대한 무수의 실시예들이 본 발명의 범위 내에서 가능하다. 본 예시에 대한 다른 실시예들은 다음의 순서들을 포함할 수 있다:
1) 배출 → [퇴적-Ba 층 → 퍼지 → 퇴적-Sr 층 → 퍼지 → 처리-Ba--Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → Ba--Sr-Ti--O 막을 형성하기 위한 하나 이상의 산소-함유 플라즈마 단계들로 -Ba--Sr--Ti 복합층을 처리] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
2) 배출 → [퇴적-Ba 층 → 퍼지 → 퇴적-Sr 층 → 퍼지 → 처리-Ba--Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → 하나 이상의 무산소 플라즈마 단계들로 Ba--Sr--Ti 복합층을 처리, 그 뒤에 Ba--Sr--Ti--O 막을 형성하기 위한 산소-함유 플라즈마와의 하나 이상의 처리들] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
3) 배출 → [퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퇴적-Sr 층 → 퍼지 → 퇴적-Ti 층 → Ba--Sr--Ti--O 막을 형성하기 위한 산소-함유 플라즈마와의 하나 이상의 처리들로 Ba--Sr--Ti 복합층을 처리] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
4) 배출 → [퇴적-Ba 층 → 퍼지 → 처리 → Ba 층 → 퇴적-Sr 층 → 퍼지 → 퇴적-Ti 층 → 하나 이상의 무산소 플라즈마 단계들로 Ba--Sr--Ti 복합층을 처리, 그 뒤에 Ba--Sr--Ti--O 막을 형성하기 위한 산소-함유 플라즈마와의 하나 이상의 처리] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
처리의 실제 깊이는 본 예시들에서 언급된 바와 같이 단순한 이전에 퇴적된 하나 또는 두 개의 층들에 제한되기 어려울 수 있다는 점 및 처리들은 설명된 임의의 주어진 처리 단계에 대한 이러한 순서들에서 언급된 층들 아래로 임의의 깊이까지 확장될 수 있는 점은 반드시 이해되어야 한다.
본 예시들에서 보여진 순서는 예시의 목적일 뿐이라는 점은 반드시 이해되어야 한다. 예를 들면, 복합막에서 구성요소들의 중 어떠한 것과 관련된 퇴적들 및 처리들의 차례의 역전은 본 발명의 범위 내에 있다. 다른 차례의 순서들도, 처음으로 티타늄 층, Sr, Ba 층 순으로 퇴적, 그 다음에 산소 플라즈마 에서의 처리와 같이 본 발명의 범위 내에 있다. 퇴적들 및 처리들의 조합을 사용하여 목적한 화학양론적 막들을 생산하기 위한 방법의 궁극적인 의도는 본 발명의 방법의 범위 내에 있고, 퇴적들 및 처리들의 특정 차례에 제한되지 않는다. 일부 화학양론적 조합들에 대하여, 퇴적들 및 처리들의 특정 순서는 원하는 결과를 생각할 수 있는 바로는 우선적으로 생산할 수 있고, 다른 화학양론적 조합들에 대하여, 퇴적들 및 처리들의 다른 순서가 보다 적합할 수 있다.
추가적으로, 하나 이상의 사이클들의 과정상에서 단일 구성요소의 다중 퇴적들은 본 발명의 범위 내에 있는 점은 반드시 이해되어야 한다. 하나 이상의 구성요소들의 층들에 대한 퇴적 및 처리에서 퇴적 및 처리 단계들의 예시들이 아래에 제공된다:
1) 배출 → [퇴적 Ti-층 → 퍼지 → 산소-함유 플라즈마와 함께 및/또는 없이 하나 이상의 처리들로 Ti-층을 처리 → 퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퍼지 → 퇴적 Ti-층 → 퍼지 → 산소-함유 플라즈마와 함께 및/또는 없이 하나 이상의 처리들로 Ti-층을 처리 → 퇴적-Sr 층 → 퍼지 → 처리-Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → Ba--Sr--Ti--O 막을 형성하기 위한 산소-함유 플라즈마로의 하나 이상의 노출들로 Ti-층을 처리] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
2) 배출 → [퇴적 Ti-층 → 퍼지 → 산소-함유 플라즈마와 함께 및/또는 없이 하나 이상의 처리들로 Ti-층을 처리 → 퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퍼지 → 퇴적 Ti-층 → 퍼지 → 산소-함유 플라즈마와 함께 및/또는 없이 하나 이상의 Ti-층을 처리 → 퇴적-Sr 층 → 퍼지 → 처리-Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → 하나 이상이 무산소 플라즈마 단계들로 Ti-층을 처리, 그 뒤에 원하는 Ba--Sr--Ti--O 막 복합물을 형성하기 위해 산소-함유 플라즈마로 하나 이상의 처리들] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
3) 배출 → [퇴적 Ti-층 → 퍼지 → 하나 이상의 무산소 플라즈마 단계들로 Ti-층을 처리, 그 뒤에 산소-함유 플라즈마로 하나 이상의 처리들 → 퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퍼지 → 퇴적 Ti-층 → 퍼지 → 하나 이상의 무산소 플라즈마 단계들로 Ti-층을 처리, 그 뒤에 산소-함유 플라즈마로 하나 이상의 처리들 → 퇴적-Sr 층 → 퍼지 → 처리-Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → 하나 이상의 무산소 플라즈마 단계들로 Ti-층 처리, 그 뒤에 원하는 Ba--Sr--Ti--O 막 복합물을 형성하기 위해 산소-함유 플라즈마로 하나 이상의 처리] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
4) 배출 → [퇴적 Ti-층 → 퍼지 → 하나 이상의 무산소 플라즈마 단계들로 Ti-층 처리, 그 뒤에 산소-함유 플라즈마로 하나 이상의 처리들 → 퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퍼지 → 퇴적 Ti-층 → 퍼지 → 하나 이상의 무산소 플라즈마 단계들로 Ti-층 처리, 그 뒤에 산소-함유 플라즈마로 하나 이상의 처리들 → 퇴적-Sr 층 → 퍼지 → 처리-Sr 층 → 퍼지 → 퇴적-Ti 층 → 퍼지 → 하나 이상의 무산소 플라즈마 단계들로 Ti-층 처리, 그 뒤에 원하는 Ba--Sr--Ti--O 막 복합물을 형성하기 위해 산소-함유 플라즈마로 하나 이상의 처리들] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
5) 배출 → [퇴적-Ba 층 → 퍼지 → 처리-Ba 층 -> 세철 → 퇴적-Sr 층 → 퍼지 → Ba--Sr 층을 처리 → 퍼지 → 퇴적 Ti-층 → 퍼지 → 원하는 Ba--Sr--Ti--O 막 복합물을 형성하기 위해 산소-함유 플라즈마로 Ba--Sr--Ti-층을 처리 → 퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퍼지 → 퇴적 Ti-층 → 퍼지 → 원하는 Ba--Sr--Ti--O 막 복합물을 형성하기 위해 산소-함유 플라즈마에서 Ba--Ti-층을 처리 → 퇴적-Ba 층 → 퍼지 → 처리-Ba 층 → 퍼지 → 퇴적-Sr 층 → 퍼지 → 처리 Ba--Sr 층 → 퍼지 → 퇴적 Ti-층 → 퍼지 → 원하는 Ba--Sr--Ti--O 막 복합물을 형성하기 위해 산소-함유 플라즈마로 Ba--Sr--Ti-층을 처리] (원하는 두께가 달성될 때까지, 괄호들[]의 내용들을 반복)
서브-사이클에서 변동들을 이용하는 발명의 방법에 대한 추가적인 조합들은 본 발명의 범위 내에 있을 수 있다.
막들을 성장시키는데 구성요소들의 목적하는 농도들을 만들기 위한 인접한 사이클들에서의 변동들에 더하여, 사이클에서 추가적 변동들이 막의 총 깊이를 가로질러서 경사진(graded) 막 구조들을 생산하기 위한 발명의 기술들에 도입될 수 있다. 예를 들면, Ba--Sr--Ti--O 막의 경우에서, 성장 막 및 기판과의 인터페이스의 근접부에서 구성요소들 중 하나의 농도를 감소시키는 것이 바람직할 수 있다. 이러한 경우들에서, 상기 특정 구성요소에 대한 퇴적 및 처리 단계들의 개수는 감소되거나, 함께 생략되거나, 또는 인터페이스의 근접부에서 감소되고 서서히 증가될 수 있다. 구성요소들의 농도에서의 계조(gradation)는 하나 이상의 구성요소들을 위해서, 그리고 하나 이상의 인터페이스들에서 구현될 수 있다.
퇴적된 막에서 구성요소들의 계조는 인터페이스나 인터페이스들 부근에서 하나 이상의 구성요소들의 농도의 저하를 초래할 수 있고, 인터페이스나 인터페이스들 부근에서 하나 이상의 농도의 상승을 초래할 수 있고, 막 스택에서 구성성분 구성요소들의 농도에서 원하는 분포들의 임의의 변동을 초래할 수 있다. 계조는 대칭적일 필요가 없다. 계조는 한 인터페이스에서 하나 이상의 구성요소들의 농도에서의 상승 및 다른 인터페이스에서, 벌크 농도와 관련 있는, 농도에서의 감소를 생산할 수 있다.
본 발명의 방법에 대한 또 다른 실시예에서, 퇴적된 막들은 열 처리, 또는 어닐(anneal)로 퇴적 후에 처리된다. 후 퇴적 처리는 원위치 또는 원위치 외에 있을 수 있다. 전형적으로 반도체 웨이퍼 공정에 사용되는 바와 같이, 후 퇴적 처리는 같거나 다른 단일 웨이퍼 클러스터 도구에서 분리된 모듈에 있을 수 있다. 후-퇴적 처리는 고온 전극, 급속 열 처리, 램프(lamp)들, 또는 막이나 기판을 가열하기 위한 다른 임의의 수단들로 수행될 수 있다. 후-퇴적 처리는 멀티 웨이퍼들이 그 내부에서 공정을 거치는 전로(furnace)에 있을 수 있다.
Ba--Sr--Ti--O 막들의 퇴적을 위해, 그리고 다른 복합체 4원(quaternary) 막들로 확장함에 따라 제공된 본 발명의 방법들의 예시들에서, Ba, Sr 및 Ti는, Ti의 경우 TiCl4와 같은 그런 가스의 구성성분이 될 수 있다. 이와 달리, 퇴적된 막의 금속성 성분들이 TDMAT와 같은 그러한 금속-유기성 전구체로부터 올 수 있다. 퇴적된 막의 금속성 성분들은 챔버(chamber)로 반송되기 전에 기화된 액체 전구체로부터 올 수 있다. 기체의 전구체들은, 질소, 아르곤, 헬륨, 또는 공정 챔버로 전구체의 반송을 보조하는 목적으로 사용되는 임의의 다른 가스와 같은 그런 운반(carrier) 가스와 함께 또는 없이 챔버로 반송될 수 있다.
처리 단계는 내내 적용된 같은 패러미터들을 갖는 하나의 단계가 될 수 있거나, 처리 단계는 조건들이 변동하는 다수의 단계들의 모음이 될 수 있다.
또 다른 실시예에서, 예시로서 Ba--Sr--Ti--O 복합막의 퇴적을 사용하여, 다음의 순서가 사용될 수 있다. 바륨을 함유하는 층의 제1 퇴적, 그 뒤에 산소-함유 플라즈마에서 플라즈마 처리, 스트론튬(Sr)을 함유하는 층의 제2 퇴적, 그 뒤에 산소-함유 플라즈마에서 다른 플라즈마 처리, 티타늄 함유 층의 제3 퇴적, 그 뒤에 산소 함유 플라즈마에서 다른 플라즈마 처리.
또 다른 실시예에서, Ba--Sr--Ti--O 복합물의 예시를 다시 사용하여, 바륨 함유 층의 제1 퇴적이 퇴적된다; 그 뒤에 스트론튬 함유 층의 제2 퇴적; 그 뒤에 막 두께, 화학양론, 밀도, 결정도, 그리고 불순물 수준 중 하나이상을 포함할 수 있는 원하는 Ba--Sr 막 특성들을 전환하기 위한 수소-함유 플라즈마에서 처리; 티타늄 함유 층의 퇴적; 그 뒤에, 결합된 Ba--Sr 및 Ti--O를, 막 두께, 화학양론, 밀도, 결정도, 및 불순물 수준 중 하나이상을 포함하는 원하는 Ba--Sr--Ti--O 막 특성들로 전환하기 위한 수소-함유 플라즈마에서 플라즈마 처리. 이러한 단계들은 원하는 전체의 막 두께가 달성될 때까지 반복된다.
또 다른 실시예에서, 예시로서 Ba--Sr--Ti--O 복합물을 사용하여, 다음의 순서가 사용될 수 있다. 바륨을 함유하는 층의 제1 퇴적, 그 뒤에 수소-함유 플라즈마에서 플라즈마 처리, 스트론튬(Sr)을 함유하는 층의 제2 퇴적, 그 뒤에 수소-함유 플라즈마에서 다른 플라즈마 처리, 티타늄 함유 층의 제3 퇴적, 그 뒤에 결합된 Ba--Sr 및 Ti--O를, 막 두께, 화학양론, 밀도, 결정도, 및 불순물 수준 중 하나이상을 포함하는 원하는 Ba--Sr--Ti--O 막 특성들로 전환하기 위한 산소-함유 플라즈마에서 플라즈마 처리. 이러한 단계들은 원하는 전체의 막 두께가 달성될 때까지 반복된다.
또 다른 실시예에서, 예시로서 Ba--Sr--Ti--O 복합물을 사용하여, 다음의 순서가 사용될 수 있다. 티타늄을 함유하는 층의 제1 퇴적, 그 뒤에 산소-함유 플라즈마에서 플라즈마 처리, 스트론튬(Sr)을 함유하는 층의 제2 퇴적, 그 뒤에 수소-함유 플라즈마에서 다른 플라즈마 처리, 바륨 함유 층의 제3 퇴적, 그 뒤에 결합된 Ti--O 및 Ba--Sr 층들을, 막 두께, 화학양론, 밀도, 결정도, 및 불순물 수준 중 하나이상을 포함하는 원하는 Ba--Sr--Ti--O 막 특성들로 전환하기 위한 수소-함유 플라즈마에서 플라즈마 처리. 이러한 단계들은 원하는 전체의 막 두께가 달성될 때까지 반복된다.
또 다른 실시예에서, 예시로서 Ba--Sr--Ti--O 복합물을 사용하여, 다음의 순서가 사용될 수 있다. 티타늄을 함유하는 층의 제1 퇴적, 그 뒤에 산소-함유 플라즈마에서 플라즈마 처리, 스트론튬(Sr)을 함유하는 층의 제2 퇴적, 그 뒤에 수소-함유 플라즈마에서 다른 플라즈마 처리, 바륨 함유 층의 제3 퇴적, 그 뒤에 결합된 Ti--O 및 Ba--Sr 층들을, 막 두께, 화학양론, 밀도, 결정도, 및 불순물 수준 중 하나이상을 포함하는 원하는 Ba--Sr--Ti--O 막 특성들로 전환하기 위한 수소-함유 플라즈마에서 플라즈마 처리. 본 실시예에서, 방법 단계 시간들에서 단계들은 정확하게 반복되지 않고, 원하는 전체의 막 두께를 달성하기 위해 막을 성장시키면서 어떤 방식으로 변동된다. 예를 들면, 일부 어플리케이션들에서, 바륨의 높은 농도는 전체의 막 두께의 최상단에서 선호된다. 이 경우에서, 바륨 퇴적 단계의 지속 시간은 전체의 막 스택의 중간에서 이후 퇴적들에서보다 더 길 수 있다. 다른 실시예에서, 산소의 낮은 농도는 전체의 막 두께의 일부 부분들에서 선호된다. 본 예시에서, 플라즈마에서의 산소 농도는, 막 스택에서 이러한 위치들에서 막에서 산소의 농도를 감소시키기 위해 감소되거나 희석될 수 있다. 예를 들어, 만약 감소된 산소 농도가 Ba--Sr--Ti--O 층과 접촉하여 들어오는 기판에서 층과의 인터페이스에서 선호된다면, 플라즈마 처리 단계에서의 산소 농도는 감소되거나 희석될 수 있거나, 또는 산소-함유 플라즈마 처리 단계의 지속 시간이, 이러한 초기 퇴적 사이클들에 이은 방법들에서의 단계들에서 퇴적되는 층들에서 산소 농도와 관련 있는 몇몇의 제1 퇴적 사이클들에서 산소 농도를 줄이기 위해 감소될 수 있다. 이와 달리, 산소의 높은 농도가 바람직할 수 있다. 산소의 높은 수준들이 원하는 경우에서, 산소 처리 단계들의 지속 시간은, 혼입된 산소의 수준을 증가시키기 위해 막의 벌크를 통틀어서 사용된 산소-함유 플라즈마 처리 단계들과 관련하여 확장될 수 있다.
본 발명의 또 다른 실시예들에서, 퇴적 시간들은 막 스택에서 구성요소들 중 하나 이상의 농도를 다르게 하기 위해 변동된다.
본 발명의 또 다른 실시예들에서, 하나 이상의 플라즈마 처리 단계들의 처리 시간들은 막 스택에서 구성요소들 중 하나 이상의 농도를 다르게 하기 위해 변동된다.
또 다른 실시예에서, 성장하는 막 두께와 함께 막 구조에서 하나 이상의 구성요소들의 농도는, 퇴적 단계들의 지속 시간 또는 처리 단계들의 지속 시간을 다르게 함으로써 변동된다.
또 다른 실시예에서, 막 두께, 화학양론, 밀도, 산화, 결정화, 및 불순물 수준 중 하나 이상은 개별적인 막 퇴적 단계들의 지속 시간 또는 처리 단계들의 지속 시간을 다르게 함으로써 변동한다.
위에서 제공된 실시예들은 단지 예시일 뿐이고 발명의 방법의 범위를 제한하는 의도가 아니다.
본 발명의 방법은, 예컨대 높은 유전 상수, 강유전성(ferroelectric) 산화물, 저항성 메모리들에 사용된 산화물들, 초전(pyroelectric) 막들, 및 초전도(superconducting) 산화물을 갖는 막들을 포함하는 다른 막들을 퇴적하는데 사용될 수 있다. 표1은 본 발명의 기술을 사용하여 퇴적될 수 있는 막들의 형태들의 일부에 대한 예시들의 리스트를 나타낸다.
복합막들의 예시들
하이 k 유전체
(High k Dielectrics)
강유전체
(Ferroelectrics)
압전체
(Piezoelectrics)
Ba-Ti-O 화합물 Pb--Zr--Ti--O 화합물 Ba--Ti--O 화합물
Ca-Cu-Ti-O 화합물 Ba--Sr--Ti--O 화합물 Sr--Br--Ti--O 화합물
La-Al-O 화합물 Sr--Bi--Ta--O 화합물 Pb--Zr--Ti--O 화합물
Sr-Ta-O 화합물 Pb--Ti--O 화합물
Pb-Mg-Nb-O 화합물
Pb-La-Zr-Ti-O 화합물
Ba-Sr-Ti-O 화합물
Ba-Zr-Ti-O 화합물
Bi-Zn-Nb-O 화합물 초전도체
(Superconductors)
초전체
(Pyroelectrics)
Sr-Ti-O 화합물 Y--Ba--Cu--O 화합물 Li--Nb--O 화합물
Ag-Ta-Nb-O 화합물 Nd--Ba--Cu--O 화합물 K--Nb--O 화합물
Ba-Ca-Ti-Zr-O 화합물 Th--Ba--Cu--O 화합물 Na--Nb--O 화합물
Sr-Bi-Ta-O 화합물 La--Sr--Cu--O 화합물
산소-함유 플라즈마 처리는 산소만 이거나, 산소 및 아르곤, 산소와 헬륨, 산소와 질소, 산소와 수소(저압), 그리고 암모니아와 같은 다른 가스들과 산소의 혼합물일 수 있다. 산소-함유 플라즈마 처리는 CO2, CO, NO2, NO 또는 아르곤, 헬륨, 수소, 질소, 암모니아와 같은 그런 다른 가스들과 혼합된 CO2, CO, NO2, 및 NO의 조합일 수 있다. 산소-함유 플라즈마는 오존(ozone) 처리일 수 있다. 산소-함유 플라즈마의 소스(source)는 고 밀도 플라즈마 소스, 저 밀도 플라즈마 소스, 원격 플라즈마 소스, 또는 이온 소스, 또는 여기된 분자 및 원자 종들의 다른 소스일 수 있다.
산소나 질소의 혼입을 요하지 않는 막들, 산소 단계가 적용될 필요가 없다. 예를 들면, GeSbTe의 알로이(alloy)의 퇴적의 경우에서, 처리들은, 산소 및 질소와 같은 기체의 구성요소들의 의도된 혼입 없이 퇴적된 층들로부터 과도한 물질을 제거하는 화학반응들을 감소시키는 것으로 제한될 수 있다. 예시들은 수소 및 암모니아를 포함한다. 이러한 경우에서, 금속 막들은 산화 단계들 없이 설명된 퇴적 순서들을 사용하여 퇴적된다.
도 17은, 2원, 3원, 4원, 5원, 6원 복합 박막들을 생산하는 각각의 퇴적 단계 이후에, 5개까지의 퇴적 단계들 및 선택적인 다중 처리 단계들과 함께 일반적인 경우를 보여주는 본 발명의 NLD 공정에 대한 흐름도이다. 제1 전구체 또는 전구체들의 세트로 퇴적하는 단계(1701), 그 뒤에 제2 내지 제5 전구체들 또는 전구체들의 세트들 각각으로 퇴적하는 선택적인 단계들(1702 내지 1705)을 포함하여, 제1 층은 퇴적된다(1780).
제1 층은 그런 다음 제1 처리(1721)로 플라즈마 처리된다(1781). 플라즈마 처리(1781)는 ‘n’ 플라즈마 처리 단계들까지 포함한다.
제2 층에 대한 제1 전구체로 퇴적되는 단계(1706)을 포함하여, 제2 층은 처리된 제1 층으로 퇴적된다(1782). 제2 내지 제5 전구체들로 퇴적하는 추가적이고 선택적인 단계들(1707 내지 1710)도 추가적인 구성요소들 또는 막들을 적용하는데 사용될 수 있다.
하위의 제1 층과 함께, 첫째 및 둘째 층들의 결합된 스택에 대한 ‘n’ 플라즈마 처리까지 포함하여, 제2 층은 그런 다음 처리 단계(1726)로, 그리고 선택적으로 (1727 내지 1730) 플라즈마 처리된다.
제3 층에 대한 제1 전구체 퇴적으로 퇴적하는 단계(1761)를 포함하여, 제3 층은 처리된 제1 및 제2 층들에 퇴적된다(1784). 제2 내지 제5 전구체들로 퇴적하는 추가적이고 선택적인 단계들(1762 내지 1765)도 추가적인 구성요소들 또는 막들을 적용하는데 사용될 수 있다.
하위의 제1 및 제2 층들과 함께, 제3 층은 처리 단계(1731)로, 그리고 선택적으로 (1732 내지 1735) 플라즈마 처리된다(1785).
제4 층에 대한 제1 전구체 퇴적으로 퇴적하는 단계(1766)를 포함하여, 제4 층은 처리된 제1, 제2 및 제3 층들 상에 퇴적된다(1786). 제2 내지 제5 전구체들로 퇴적하는 추가적이고 선택적인 단계들(1767 내지 1770)도 추가적 구성요소들 또는 막들을 적용하는데 사용될 수 있다.
하위의 제1, 제2 및 제3 층들과 함께, 제4 층은 그런 다음 처리 단계(1736)로, 그리고 선택적으로 (1737 내지 1740) 플라즈마 처리된다(1787).
제5 층에 대한 제1 전구체 퇴적으로 퇴적하는 단계(1771)를 포함하여, 제5 층은 처리된 제1, 제2, 제3 및 제4 층들 상에 퇴적된다(1788). 제2 내지 제5 전구체들로 퇴적하는 추가적 선택적 퇴적 단계들(1772 내지 1775)도 추가적인 구성요소들 또는 막들을 적용하는데 사용될 수 있다.
하위의 제1, 제2 제3 및 제4 층들과 함께, 제5층은 그런 다음 처리 단계들(1741)로, 그리고 선택적으로(1742 내지 1745) 플라즈마 처리된다(1788).
순서들은 원하는 두께가 달성될 때까지 반복된다(1790).
도 18은 퇴적 및 처리의 일반적인 경우를 보여주는 본 발명 NLD 공정에 대한 흐름도이다. 동작(1830)은, n이 층을 퇴적하는데 사용된 전구체 퇴적 단계들의 수일 때, 전구체 1, 2, ..., n, 또는 이들의 임의의 조합으로 퇴적한다. 동작(1840)은, n’이 처리 단계들의 수일 때, 1’, 2’, ..., n’ 단계들, 또는 이들의 임의의 조합으로 퇴적된 층들을 플라즈마 처리한다. 동작(1850)은 원하는 막 두께가 달성될 때까지 퇴적과 처리를 반복한다.
본 발명은 나노층(nanolayer) 퇴적 방법들의 바람직한 실시예들과 연관되어 설명되고 도시된 반면에, 당업자에 명백할 것으로서, 많은 변동들 및 변화들이 본 발명의 사상 및 범위로부터 벗어나지 않고 만들어 질 수 있다. 그러한 변동들 및 변화들은 정의된 청구항들에서 제시된 바와 같은 본 발명의 사상 및 범위 내에 포함되도록 의도되었기 때문에, 따라서, 첨부된 청구항들에서 제시된 바와 같이 본 발명은 위에서 제시된 구성의 정확한 부재에 제한되지 않는다.

Claims (40)

  1. 기판 상에서 복합막을 주기적(cyclical)으로 퇴적 및 처리하는 방법으로서,
    하나 또는 그 이상의 제 1 전구체들을 반응 챔버 내부로 도입하는 단계;
    상기 기판 상에 제 1 층을 상기 하나 또는 그 이상의 제 1 전구체들로부터 비자기-제한적으로(not self-limiting) 퇴적시키는 단계;
    하나 또는 그 이상의 제 2 전구체들을 상기 반응 챔버 내부로 도입하는 단계;
    상기 하나 또는 그 이상의 제 2 전구체들로부터 제 2 층을 퇴적시키는 단계;
    화합물 산화막을 생성하기 위하여 상기 반응 챔버 내의 산소-함유 플라즈마 환경에서 상기 제 1 층 및 상기 제 2 층을 처리하는 단계;
    후속하는 제 1 층 및 제 2 층들을 위하여 상기 퇴적시키는 단계들을 반복하는 단계; 및
    상기 후속 층들에 대하여 상기 처리하는 단계를 반복하는 단계;
    를 포함하고,
    상기 복합막의 원하는 총 두께의 일부 부분이 상기 단계들을 포함하는 각 주기(cycle)에서 퇴적되고,
    상기 퇴적시키는 단계들과 상기 처리하는 단계는 상기 복합막의 원하는 총 두께를 얻을 때까지 복수회 반복되는 복합막의 주기적 퇴적 및 처리 방법.
  2. 제 1 항에 있어서,
    상기 제 1 층 및 상기 제 2 층 중의 적어도 하나를 수소-함유 플라즈마 환경에서 처리하는 단계를 더 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  3. 제 1 항에 있어서,
    경사를 갖는(graded) 복합막을 형성하기 위하여 후속 층들에서 적어도 상기 제 1 막, 상기 제 2 막, 및 산소의 상대적인 조성이 달라지도록 하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  4. 제 1 항에 있어서,
    상기 복합막의 상부 계면 또는 하부 계면에 산소-부화(산소-富化, oxygen-rich) 복합막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  5. 제 1 항에 있어서,
    상기 제 1 전구체들이 리튬(Li)을 포함하고, 상기 제 2 전구체들이 니오븀(Nb)을 포함하고, 상기 화합물 산화막이 Li-Nb-산화물을 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  6. 제 1 항에 있어서,
    상기 제 1 전구체들이 칼륨(K)을 포함하고, 상기 제 2 전구체들이 니오븀(Nb)을 포함하고, 상기 화합물 산화막이 K-Nb-산화물을 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  7. 제 1 항에 있어서,
    상기 제 1 전구체들이 나트륨(Na)을 포함하고, 상기 제 2 전구체들이 니오븀(Nb)을 포함하고, 상기 화합물 산화막이 Na-Nb-산화물을 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  8. 제 1 항에 있어서,
    상기 제 1 전구체들이 란타늄(La)을 포함하고, 상기 제 2 전구체들이 알루미늄(Al)을 포함하고, 상기 화합물 산화막이 La-Al-산화물을 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  9. 제 1 항에 있어서,
    상기 제 1 전구체들이 스트론튬(Sr)을 포함하고, 상기 제 2 전구체들이 탄탈륨(Ta)을 포함하고, 상기 화합물 산화막이 Sr-Ta-산화물을 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  10. 제 1 항에 있어서,
    상기 제 1 전구체들이 스트론튬(Sr)을 포함하고, 상기 제 2 전구체들이 티타늄(Ti)을 포함하고, 상기 화합물 산화막이 Sr-Ti-산화물을 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  11. 제 1 항에 있어서,
    상기 제 1 전구체들이 바륨(Ba)을 포함하고, 상기 제 2 전구체들이 티타늄(Ti)을 포함하고, 상기 화합물 산화막이 Ba-Ti-산화물을 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  12. 제 1 항에 있어서,
    퇴적된 상기 막들의 특성들을 변화시키기 위하여 열처리에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  13. 기판 상에서의 복합막의 퇴적 및 처리 방법으로서,
    하나 또는 그 이상의 제 1 전구체들을 반응 챔버 내부로 도입하는 단계;
    상기 기판 상에 제 1 층을 상기 하나 또는 그 이상의 제 1 전구체들로부터 비자기-제한적으로(not self-limiting) 퇴적시키는 단계;
    하나 또는 그 이상의 제 2 전구체들을 상기 반응 챔버 내부로 도입하는 단계;
    상기 하나 또는 그 이상의 제 2 전구체들로부터 제 2 층을 퇴적시키는 단계;
    하나 또는 그 이상의 제 3 전구체들을 상기 반응 챔버 내부로 도입하는 단계;
    상기 하나 또는 그 이상의 제 3 전구체들로부터 제 3 층을 퇴적시키는 단계;
    화합물 산화막을 생성하기 위하여 상기 반응 챔버 내의 산소-함유 플라즈마 환경에서 상기 제 1 층, 상기 제 2 층 및 상기 제 3 층을 처리하는 단계;
    후속하는 제 1 층, 제 2 층 및 제 3 층들을 위하여 상기 퇴적시키는 단계들을 반복하는 단계; 및
    상기 후속 층들에 대하여 상기 처리하는 단계를 반복하는 단계;
    를 포함하고,
    상기 복합막의 원하는 총 두께의 일부 부분이 상기 단계들을 포함하는 각 주기(cycle)에서 퇴적되고,
    상기 퇴적시키는 단계들과 상기 처리하는 단계는 상기 복합막의 원하는 총 두께를 얻을 때까지 복수회 반복되는 복합막의 퇴적 및 처리 방법.
  14. 제 13 항에 있어서,
    상기 제 1 층, 상기 제 2 층 및 상기 제 3 층 중의 적어도 하나를 수소-함유 플라즈마 환경에서 처리하는 단계를 더 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  15. 제 13 항에 있어서,
    경사를 갖는(graded) 복합막을 형성하기 위하여 후속 층들에서 적어도 상기 제 1 막, 상기 제 2 막, 상기 제 3 막 및 산소의 상대적인 조성이 달라지도록 하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  16. 제 13 항에 있어서,
    상기 복합막의 상부 계면 또는 하부 계면에 산소-부화(富化) 복합막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  17. 제 13 항에 있어서,
    상기 제 1 전구체들이 스트론튬(Sr)을 포함하고, 상기 제 2 전구체들이 비스무트(Bi)를 포함하고, 상기 제 3 전구체들이 티타늄(Ti)을 포함하고, 상기 화합물 산화막이 Sr-Bi-Ti-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  18. 제 13 항에 있어서,
    상기 제 1 전구체들이 스트론튬(Sr)을 포함하고, 상기 제 2 전구체들이 비스무트(Bi)를 포함하고, 상기 제 3 전구체들이 탄탈륨(Ta)을 포함하고, 상기 화합물 산화막이 Sr-Bi-Ta-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  19. 제 13 항에 있어서,
    상기 제 1 전구체들이 이트륨(Y)을 포함하고, 상기 제 2 전구체들이 바륨(Ba)을 포함하고, 상기 제 3 전구체들이 구리(Cu)를 포함하고, 상기 화합물 산화막이 Y-Ba-Cu-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  20. 제 13 항에 있어서,
    상기 제 1 전구체들이 네오디뮴(Nd)을 포함하고, 상기 제 2 전구체들이 바륨(Ba)을 포함하고, 상기 제 3 전구체들이 구리(Cu)를 포함하고, 상기 화합물 산화막이 Nd-Ba-Cu-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  21. 제 13 항에 있어서,
    상기 제 1 전구체들이 토륨(Th)을 포함하고, 상기 제 2 전구체들이 바륨(Ba)을 포함하고, 상기 제 3 전구체들이 구리(Cu)를 포함하고, 상기 화합물 산화막이 Th-Ba-Cu-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  22. 제 13 항에 있어서,
    상기 제 1 전구체들이 란타늄(La)을 포함하고, 상기 제 2 전구체들이 스트론튬(Sr)을 포함하고, 상기 제 3 전구체들이 구리(Cu)를 포함하고, 상기 화합물 산화막이 La-Sr-Cu-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  23. 제 13 항에 있어서,
    상기 제 1 전구체들이 바륨(Ba)을 포함하고, 상기 제 2 전구체들이 스트론튬(Sr)을 포함하고, 상기 제 3 전구체들이 티타늄(Ti)을 포함하고, 상기 화합물 산화막이 Ba-Sr-Ti-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  24. 제 13 항에 있어서,
    상기 제 1 전구체들이 바륨(Ba)을 포함하고, 상기 제 2 전구체들이 지르코늄(Zr)을 포함하고, 상기 제 3 전구체들이 티타늄(Ti)을 포함하고, 상기 화합물 산화막이 Ba-Zr-Ti-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  25. 제 13 항에 있어서,
    상기 제 1 전구체들이 비스무트(Bi)를 포함하고, 상기 제 2 전구체들이 아연(Zn)을 포함하고, 상기 제 3 전구체들이 니오븀(Nb)을 포함하고, 상기 화합물 산화막이 Bi-Zn-Nb-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  26. 제 13 항에 있어서,
    상기 제 1 전구체들이 은(Ag)을 포함하고, 상기 제 2 전구체들이 탄탈륨(Ta)을 포함하고, 상기 제 3 전구체들이 니오븀(Nb)을 포함하고, 상기 화합물 산화막이 Ag-Ta-Nb-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  27. 제 13 항에 있어서,
    상기 제 1 전구체들이 칼슘(Ca)을 포함하고, 상기 제 2 전구체들이 구리(Cu)를 포함하고, 상기 제 3 전구체들이 티타늄(Ti)을 포함하고, 상기 화합물 산화막이 Ca-Cu-Ti-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  28. 제 13 항에 있어서,
    상기 제 1 전구체들이 납(Pb)을 포함하고, 상기 제 2 전구체들이 지르코늄(Zr)를 포함하고, 상기 제 3 전구체들이 티타늄(Ti)을 포함하고, 상기 화합물 산화막이 Pb-Zr-Ti-산화물을 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  29. 제 13 항에 있어서,
    퇴적된 상기 막들의 특성들을 변화시키기 위하여 열처리에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  30. 기판 상에서 복합막을 퇴적시키기 위한 퇴적 방법으로서,
    하나 또는 그 이상의 제 1 전구체들을 반응 챔버 내부로 도입하는 단계;
    상기 기판 상에 제 1 층을 상기 하나 또는 그 이상의 제 1 전구체들로부터 비자기-제한적으로(not self-limiting) 퇴적시키는 단계;
    하나 또는 그 이상의 제 2 전구체들을 상기 반응 챔버 내부로 도입하는 단계;
    상기 하나 또는 그 이상의 제 2 전구체들로부터 제 2 층을 퇴적시키는 단계;
    하나 또는 그 이상의 제 3 전구체들을 상기 반응 챔버 내부로 도입하는 단계;
    상기 하나 또는 그 이상의 제 3 전구체들로부터 제 3 층을 퇴적시키는 단계;
    하나 또는 그 이상의 제 4 전구체들을 상기 반응 챔버 내부로 도입하는 단계;
    상기 하나 또는 그 이상의 제 4 전구체들로부터 제 4 층을 퇴적시키는 단계;
    화합물 산화막을 생성하기 위하여 산소-함유 플라즈마 환경에서 상기 제 1 층, 상기 제 2 층, 상기 제 3 층 및 상기 제 4 층을 처리하는 단계;
    후속하는 제 1 층, 제 2 층, 제 3 층 및 제 4 층들을 위하여 상기 퇴적시키는 단계들을 반복하는 단계; 및
    상기 후속 층들에 대하여 상기 처리하는 단계를 반복하는 단계;
    를 포함하고,
    상기 퇴적시키는 단계들 및 상기 처리하는 단계가 상기 복합막의 원하는 총 두께를 얻을 때까지 반복되는 퇴적 방법.
  31. 제 30 항에 있어서,
    상기 제 1 층, 상기 제 2 층, 상기 제 3 층 및 상기 제 4 층 중의 적어도 하나를 수소-함유 플라즈마 환경에서 처리하는 단계를 더 포함하는 것을 특징으로 하는 퇴적 방법.
  32. 제 30 항에 있어서,
    경사를 갖는(graded) 복합막을 형성하기 위하여 후속 층들에서 적어도 상기 제 1 막, 상기 제 2 막, 상기 제 3 막, 상기 제 4 막 및 산소의 상대적인 조성이 달라지도록 하는 것을 특징으로 하는 퇴적 방법.
  33. 제 30 항에 있어서,
    상기 복합막의 상부 계면 또는 하부 계면에 산소-부화(富化) 복합막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 퇴적 방법.
  34. 제 30 항에 있어서,
    상기 제 1 전구체들이 납(Pb)을 포함하고, 상기 제 2 전구체들이 란타늄(La)을 포함하고, 상기 제 3 전구체들이 지르코늄(Zr)을 포함하고, 상기 제 4 전구체들이 티타늄(Ti)을 포함하고, 상기 화합물 산화막이 Pb-La-Zr-Ti-산화물을 포함하는 것을 특징으로 하는 퇴적 방법.
  35. 제 30 항에 있어서,
    상기 제 1 전구체들이 바륨(Ba)을 포함하고, 상기 제 2 전구체들이 칼슘(Ca)을 포함하고, 상기 제 3 전구체들이 티타늄(Ti)을 포함하고, 상기 제 4 전구체들이 지르코늄(Zr)을 포함하고, 상기 화합물 산화막이 Ba-Ca-Ti-Zr-산화물을 포함하는 것을 특징으로 하는 퇴적 방법.
  36. 제 30 항에 있어서,
    퇴적된 상기 막들의 특성들을 변화시키기 위하여 열처리에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 퇴적 방법.
  37. 제 1 항에 있어서,
    화합물 산화막을 생성하기 위하여 산소-함유 플라즈마 환경에서 상기 제 1 층 및 상기 제 2 층을 처리하는 단계의 이전에, 상기 제 1 층 및 상기 제 2 층 중의 적어도 하나를 산소-함유 플라즈마 환경에서 처리하는 단계를 더 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  38. 제 13 항에 있어서,
    화합물 산화막을 생성하기 위하여 산소-함유 플라즈마 환경에서 상기 제 1 층, 상기 제 2 층 및 상기 제 3 층을 처리하는 단계의 이전에, 상기 제 1 층, 상기 제 2 층 및 상기 제 3 층 중의 적어도 하나를 산소-함유 플라즈마 환경에서 처리하는 단계를 더 포함하는 것을 특징으로 하는 복합막의 퇴적 및 처리 방법.
  39. 제 1 항에 있어서,
    상기 제 2 층을 퇴적시키는 단계의 이전에 상기 제 1 층을 플라즈마 처리하는 단계를 더 포함하고, 상기 플라즈마 처리하는 단계는 산소-함유 플라즈마 환경 및 수소-함유 플라즈마 환경 중의 적어도 하나를 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
  40. 제 1 항에 있어서,
    산소-함유 플라즈마 환경에서 상기 제 1 층 및 상기 제 2 층을 처리하는 단계의 이전에 상기 제 2 층을 플라즈마 처리하는 단계를 더 포함하고, 상기 플라즈마 처리하는 단계는 산소-함유 플라즈마 환경 및 수소-함유 플라즈마 환경 중의 적어도 하나를 포함하는 것을 특징으로 하는 복합막의 주기적 퇴적 및 처리 방법.
KR1020120104261A 2011-09-19 2012-09-19 복합막을 위한 나노층 퇴적 공정 KR102027360B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/235,909 2011-09-19
US13/235,909 US9121098B2 (en) 2003-02-04 2011-09-19 NanoLayer Deposition process for composite films

Publications (2)

Publication Number Publication Date
KR20130030739A true KR20130030739A (ko) 2013-03-27
KR102027360B1 KR102027360B1 (ko) 2019-10-01

Family

ID=48180219

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120104261A KR102027360B1 (ko) 2011-09-19 2012-09-19 복합막을 위한 나노층 퇴적 공정

Country Status (1)

Country Link
KR (1) KR102027360B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020138975A1 (ko) * 2018-12-26 2020-07-02 한양대학교 에리카산학협력단 메모리 소자 및 그 제조 방법
WO2022092888A1 (ko) * 2020-10-30 2022-05-05 한양대학교 에리카산학협력단 합금 박막 및 그 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050031851A (ko) * 2003-09-30 2005-04-06 삼성전자주식회사 원자층 증착 공정을 이용한 물질 형성 방법, 이를 이용한박막 형성 방법 및 캐패시터의 제조 방법
KR20060056883A (ko) * 2003-02-04 2006-05-25 테갈 코퍼레이션 나노층 증착 공정
KR20100060615A (ko) * 2008-11-28 2010-06-07 주식회사 아이피에스 다원계 금속 산화물 박막 증착 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060056883A (ko) * 2003-02-04 2006-05-25 테갈 코퍼레이션 나노층 증착 공정
KR20050031851A (ko) * 2003-09-30 2005-04-06 삼성전자주식회사 원자층 증착 공정을 이용한 물질 형성 방법, 이를 이용한박막 형성 방법 및 캐패시터의 제조 방법
KR20100060615A (ko) * 2008-11-28 2010-06-07 주식회사 아이피에스 다원계 금속 산화물 박막 증착 방법

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020138975A1 (ko) * 2018-12-26 2020-07-02 한양대학교 에리카산학협력단 메모리 소자 및 그 제조 방법
KR20200080194A (ko) * 2018-12-26 2020-07-06 한양대학교 에리카산학협력단 메모리 소자 및 그 제조 방법
US20210273158A1 (en) * 2018-12-26 2021-09-02 Industry-University Cooperation Foundation Hanyang University Erica Campus Memory device and manufacturing method therefor
US12010929B2 (en) * 2018-12-26 2024-06-11 Industry-University Cooperation Foundation Hanyang University Erica Campus Memory device and manufacturing method therefor
WO2022092888A1 (ko) * 2020-10-30 2022-05-05 한양대학교 에리카산학협력단 합금 박막 및 그 제조 방법
KR20220058462A (ko) * 2020-10-30 2022-05-09 한양대학교 에리카산학협력단 합금 박막 및 그 제조 방법

Also Published As

Publication number Publication date
KR102027360B1 (ko) 2019-10-01

Similar Documents

Publication Publication Date Title
US9121098B2 (en) NanoLayer Deposition process for composite films
KR101483737B1 (ko) 나노층 증착 공정
US9708707B2 (en) Nanolayer deposition using bias power treatment
JP3687651B2 (ja) 薄膜形成方法
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7816278B2 (en) In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7531467B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US7972977B2 (en) ALD of metal silicate films
US20050037154A1 (en) Method for forming thin film
KR100674279B1 (ko) 처리장치 및 처리방법
US20020162506A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2004010469A2 (en) Atomic layer deposition of multi-metallic precursors
KR102027360B1 (ko) 복합막을 위한 나노층 퇴적 공정
US20230230830A1 (en) PEALD Nitride Films
KR20220081905A (ko) 실리콘 질화물 증착용 실리콘 전구체
TW202340503A (zh) 特徵部中的大晶粒鎢生長

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant