KR20220047948A - SiN 박막들의 형성 - Google Patents

SiN 박막들의 형성 Download PDF

Info

Publication number
KR20220047948A
KR20220047948A KR1020220043679A KR20220043679A KR20220047948A KR 20220047948 A KR20220047948 A KR 20220047948A KR 1020220043679 A KR1020220043679 A KR 1020220043679A KR 20220043679 A KR20220043679 A KR 20220043679A KR 20220047948 A KR20220047948 A KR 20220047948A
Authority
KR
South Korea
Prior art keywords
torr
silicon nitride
plasma
sii
nitrogen
Prior art date
Application number
KR1020220043679A
Other languages
English (en)
Other versions
KR102503837B1 (ko
Inventor
토시야 스즈키
빌자미 제이. 포어
샹 천
료코 야마다
다이 이시카와
쿠니토시 남바
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220047948A publication Critical patent/KR20220047948A/ko
Priority to KR1020230023134A priority Critical patent/KR20230034247A/ko
Application granted granted Critical
Publication of KR102503837B1 publication Critical patent/KR102503837B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)

Abstract

고압 하에서 반응 공간 내에서 기판 상에 실리콘 질화물 박막들을 형성하는 방법들이 제공된다. 상기 방법들은 복수의 플라즈마 강화 원자층 퇴적(plasma enhanced atomic layer deposition, PEALD) 사이클들을 포함할 수 있고, 적어도 하나의 PEALD 퇴적 사이클은, 상기 반응 공간 내에서 20 Torr 내지 500 Torr의 공정 압력에서 상기 기판을 질소 플라즈마와 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 실리콘 전구체는 H2SiI2와 같은 실릴 할라이드이다. 일부 실시예들에서, 상기 공정들은 3차원 구조물들 상에 향상된 특성들을 갖는 실리콘 질화물 막들의 퇴적을 허용한다. 예를 들어, 이러한 실리콘 질화물 막들은 희석된 HF 내에서 상기 상부 표면들 대 측벽들 상에서 약 1:1인 습식 식각율들의 비율을 가질 수 있다.

Description

SiN 박막들의 형성{Formation of SiN thin films}
[1] 본 개시는 일반적으로 반도체 소자 제조 분야와 관한 것이며, 더욱 상세하게는 실리콘 질화물 박막들의 저온 형성에 관한 것이다.
[2] 스페이서들은 후속의 공정 단계들로부터 보호하기 위한 구조물들로서 반도체 제조에서 널리 사용된다. 예를 들어, 게이트 전극들 옆에 형성되는 질화물 스페이서들은 도핑 또는 주입 단계들 동안에 아래에 놓이는 소스/드레인 영역들을 보호하도록 마스크로서 사용될 수 있다.
[3] 반도체 소자들의 물리적 기하학이 축소됨에 따라, 게이트 전극 스페이서는 더욱 작아진다. 스페이서 폭은 더욱 조밀한 게이트 전극들의 라인들 상에 콘포말하게 퇴적될 수 있는 질화물 두께에 의해 제한된다. 따라서, 질화물 스페이서 식각 공정은 퇴적된 상태의 질화물 층 두께에 대하여 스페이서 폭의 높은 비율을 갖는 것이 선호된다.
[4] 현재의 PEALD 실리콘 질화물 공정들은 트렌치 구조물과 같은 3차원 구조물 상에 퇴적될 때 일반적으로 이방성 식각 행동이 가해진다. 다시 말하면, 트렌치 또는 핀 또는 다른 3차원 피쳐의 측벽들 상에 퇴적되는 막은 상기 피쳐의 상부 영역 상의 막과 비교할 때 열등한 막 품질들을 나타낸다. 막 품질은 상기 트렌치의 상부 상의 또는 구조화된 웨이퍼의 평면 영역들 상의 타겟 어플리케이션을 위하여 충분할 수 있으나, 측벽들 또는 다른 비-수평면 또는 수직 표면들 상에서는 충분하지 않을 수 있다.
[5] 도 1a 및 도 1b는 실리콘 질화물 막의 일반적인 예시를 나타내며, 이는 예를 들어 스페이서 어플리케이션들에서 사용될 수 있다. 상기 막은 본 출원에서 설명된 공정이 아닌 통상의 PEALD 공정을 사용하여 400℃에서 퇴적되었다. 도 1a는 3차원 표면 상에 퇴적된 이후의, 그러나 HF에 의해 식각되기 이전의 막을 나타낸다. 식각 공정은 이후에 약 60초간 0.5% HF 내에서 작업물을 담금에 의해 수행되었다. 도 1b는 상기 실리콘 질화물 막의 수직 부분들이 상기 막의 수평 부분들보다 더 큰 정도로 식각되는 것을 나타낸다. 상기 막 두께들은 나노미터들로 표시된다. 이들과 같은 구조물들은 일반적으로 FinFET 스페이서 어플리케이션들 내에서와 같이 추가적인 공정을 견뎌내지 못할 것이다.
본 발명은 전술한 문제점을 해결하는 것을 그 과제로 한다.
[6] 일부 태양들에서, 실리콘 질화물 막들을 형성하는 원자층 퇴적(atomic layer deposition, ALD) 방법들이 제공된다. 일부 태양들에서, 실리콘 질화물 막들을 형성하는 플라즈마 강화 원자층 퇴적(plasma enhanced ALD, PEALD) 방법들이 제공된다. 상기 방법은 스텝 커버리지 및 패턴 로딩 효과들뿐만 아니라 요구되는 식각 특성들과 같은 요구되는 품질들을 갖는 실리콘 질화물 막들의 퇴적을 허용한다. 일부 실시예들에 따르면, 상기 실리콘 질화물 막들은 3차원 구조물들 상에 퇴적될 때, 수직 및 수평 부분들 모두에 대하여 상대적으로 균일한 식각율을 갖는다. 일부 실시예들에 있어서, 상기 3차원 구조물의 수직 및 수평 부분들 상에 퇴적되는 실리콘 질화물의 습식 식각율들은 대략 동일하다. 이러한 3차원 구조물들은 예를 들어, FinFET들 또는 다른 유형의 다중 게이트 FET들을 포함할 수 있으나, 이에 한정되지 않는다. 일부 실시예들에 있어서, 본 개시의 다양한 실리콘 질화물 막들은 희석된 HF(0.5%)에 대하여 분당 약 2-3 nm의 열산화물 제거 속도의 절반보다 작은 식각율을 갖는다.
[7] 일부 실시예들에 있어서, 반응 공간 내에서 기판 상에 실리콘 질화물 박막을 형성하는 방법은 플라즈마 강화 원자층 퇴적(PEALD) 공정들을 포함할 수 있다. PEALD 공정은 상기 기판의 표면 상에 흡착된 실리콘 종들을 제공하도록 상기 기판의 상기 표면을 기상 실리콘 전구체와 접촉시키는 단계, 및 상기 기판의 상기 표면 상에 실리콘 질화물을 형성하도록 상기 흡착된 실리콘 종들을 질소 플라즈마와 접촉시키는 단계를 포함하는 적어도 하나의 PEALD 퇴적 사이클을 포함할 수 있다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 실리콘 할라이드(halide)이다. 일부 실시예들에 있어서, 상기 실리콘 할라이드는 요오드(iodine)를 포함할 수 있고, 예를 들어 H2SiI2일 수 있다. 상기 접촉시키는 단계들 동안의 상기 반응 공간 내의 압력은 적어도 약 20 Torr일 수 있다.
[8] 일부 실시예들에 있어서, 상기 실리콘 질화물 박막은 상기 기판 상에서 3차원 구조물 상에 퇴적되고, 상기 3차원 구조물의 상부 표면 상에 형성되는 상기 실리콘 질화물 박막의 일부분 대 상기 3차원 구조물의 측벽 표면 상에 형성되는 상기 실리콘 질화물 박막의 일부분의 습식 식각율 비율은 약 1:1이다.
[9] 일부 실시예들에 있어서, 질소 플라즈마는 약 500 W 내지 약 1000 W의 플라즈마 파워를 사용하여 형성된다. 일부 실시예들에 있어서, 상기 접촉시키는 단계들은 약 100℃ 내지 약 650℃의 공정 온도에서 수행된다.
[10] 일부 실시예들에 있어서, 반응 공간 내에서 기판 상에 실리콘 질화물 박막을 형성하는 방법들은 복수의 원자층 퇴적(ALD) 공정들을 포함할 수 있다. 적어도 하나의 ALD 퇴적 사이클들은 상기 기판의 표면 상에 흡착된 실리콘 종들을 제공하도록 상기 기판의 표면을 기상 실리콘 전구체와 접촉시키는 단계, 및 상기 기판의 상기 표면 상에 실리콘 질화물을 형성하도록 상기 흡착된 실리콘 종들을 질소 반응물들과 접촉시키는 단계를 포함할 수 있다. 상기 접촉시키는 단계들 동안의 상기 반응 공간 내의 압력은 적어도 약 20 Torr일 수 있다. 일부 실시예들에 있어서, 상기 반응 공간 내의 공정 압력은 약 30 Torr 내지 약 500 Torr이다. 일부 실시예들에 있어서, 상기 접촉시키는 단계는 약 100℃ 내지 약 650℃의 공정 온도에서 수행될 수 있다.
[11] 일부 실시예들에 있어서, 상기 기상 실리콘 전구체는 실릴 할라이드를 포함할 수 있다. 일부 실시예들에 있어서, 상기 기상 전구체는 요오드를 포함하며, 예를 들어 H2SiI2일 수 있다.
[12] 일부 실시예들에 있어서, 상기 실리콘 질화물 박막은 상기 기판의 상기 표면 상에서 3차원 구조물 상에 퇴적된다. 상기 3차원 구조물의 상부 표면 상에 형성된 상기 실리콘 질화물 박막의 일부분 대 상기 3차원 구조물의 측벽 표면 상에 형성된 상기 실리콘 질화물 박막의 일부분의 습식 식각율의 비율은 약 1:1일 수 있다.
[13] 일부 실시예들에 있어서, 상기 적어도 하나의 원자층 퇴적 사이클은 플라즈마 강화 원자층 퇴적(PEALD) 사이클을 포함한다. 상기 질소 반응물들은 질소 전구체를 사용하여 플라즈마에 의해 생성될 수 있다. 일부 실시예들에 있어서, 상기 질소 플라즈마는 질소 가스(N2)로부터 형성된다. 일부 실시예들에 있어서, 상기 질소 가스(N2)는 상기 PEALD 퇴적 사이클 전체를 통해(throughout) 연속적으로 흐른다.
[14] 일부 실시예들에 있어서, 여분의 기상 실리콘 전구체들은 상기 기판의 표면을 상기 기상 실리콘 전구체와 접촉시키는 단계와 상기 흡착된 실리콘 종들을 상기 질소 반응물들과 접촉시키는 단계 사이에서 제거될 수 있다. 일부 실시예들에 있어서, 퍼지 가스는 상기 기판의 상기 표면을 기상 실리콘 전구체와 접촉시키는 단계와, 상기 흡착된 실리콘 종들을 상기 질소 반응물들과 접촉시키는 단계 사이에서 흘려질 수 있다.
[15] 일부 실시예들에 있어서, 반응 공간 내에서 기판 상에 실리콘 질화물 박막을 형성하는 방법들은 복수의 실리콘 질화물 퇴적 서브-사이클들을 포함하는 복수의 슈퍼-사이클들을 포함할 수 있고, 상기 서브-사이클들은, 상기 기판을 실리콘 전구체 및 질소 플라즈마와 교대로 및 순차적으로 접촉시키는 단계; 및 복수의 고압 처리 서브-사이클들을 포함하며, 여기서 상기 복수의 고압 처리 서브-사이클들 중 적어도 하나는 상기 기판을 약 20 Torr보다 큰 압력에서 질소 플라즈마와 접촉시키는 단계를 포함한다. 일부 실시예들에 있어서, 상기 압력은 약 20 Torr 내지 약 500 Torr이다. 일부 실시예들에 있어서, 상기 압력은 약 20 Torr 내지 약 30 Torr이다. 일부 실시예들에 있어서, 상기 압력은 30 Torr보다 크거나, 또는 30 Torr 내지 500 Torr이다.
[16] 일부 실시예들에 있어서, 상기 실리콘 전구체는 H2SiI2이다. 일부 실시예들에 있어서, 상기 질소 함유 플라즈마가 NH3, N2H4, N2/H2 혼합물, N2, 및 이들의 임의의 혼합물들로 구성된 군으로부터 선택되는 질소 전구체로부터 생성된다.
[17] 일부 실시예들에 있어서, 상기 실리콘 질화물 박막이 상기 기판 상의 3차원 구조물 상에 퇴적된다. 상기 3차원 구조물의 상부 표면 상에 형성되는 실리콘 질화물의 습식 식각율의, 상기 3차원 구조물의 측벽 표면 상에 형성되는 실리콘 질화물의 습식 식각율에 대한, 습식 식각율 비율은 1:1이다.
[18] 일부 실시예들에 있어서, 상기 적어도 하나의 실리콘 질화물 퇴적 서브-사이클은 상기 적어도 하나의 실리콘 질화물 퇴적 서브-사이클 전체를 통해 캐리어 가스를 흘리는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 적어도 하나의 실리콘 질화물 퇴적 서브-사이클은 상기 적어도 하나의 실리콘 질화물 퇴적 사이클 전체를 통해 수소-함유 가스 및 질소-함유 가스를 흘리는 단계를 더 포함한다.
[19] 일부 실시예들에 있어서, 상기 수소-함유 가스 및 상기 질소-함유 가스는 상기 질소-함유 플라즈마를 형성하는 데 사용된다. 일부 실시예들에 있어서, 상기 적어도 하나의 고압 처리 서브-사이클은 상기 적어도 하나의 고압 처리 서브-사이클 전체를 통해 캐리어 가스를 흘리는 단계를 포함한다.
[20] 본 발명은 바람직한 실시예들의 상세한 설명 및 첨부된 도면들로부터 더욱 잘 이해될 것이며, 이는 본 발명을 설명하기 위한 것이며 본 발명을 제한하기 위한 의도는 아니다.
[21] 도 1a 및 도 1b는 통상의 방법에 의해 퇴적된 실리콘 질화물 막 및 상기 실리콘 질화물 막 상에 수행된 식각 공정의 결과들을 나타낸다.
[22] 도 2a는 본 개시의 일부 실시예들에 따라 고압 PEALD 공정에 의한 실리콘 질화물 박막의 형성 방법을 나타내는 플로우 차트이다.
[23] 도 2b는 본 개시의 일부 실시예들에 따라 고압 처리 단계를 사용한 실리콘 질화물 박막의 형성 방법을 나타내는 플로우 차트이다.
[24] 도 3a 및 도 3b는 각각 더 낮은 압력의 플라즈마 및 더 높은 압력의 플라즈마에 의해 생성된 이온들의 3차원 구조물의 수직 표면들 상의 예시적인 입사각들을 나타내는 개략도들이다.
[25] 도 4a 및 도 4b는 일부 실시예들에 따른, 각각 실리콘 질화물 퇴적 서브-사이클 및 고압 처리 서브-사이클을 포함하는, 실리콘 질화물 퇴적 공정을 위한 타이밍도들의 예시들이다.
[26] 도 5a 내지 도 5c는 통상의 압력을 사용한 공정에 의해 형성되는 SiN 막의 습식 식각율 성능 곡선들(도 5a 및 도 5b), 및 여기 설명된 하나 또는 그 이상의 실시예들에 따른 고압 처리 공정을 사용하여 형성된 SiN 막의 습식 식각율 성능 곡선들(도 5c)을 나타낸다.
[27] 도 6a 내지 도 6d는 상기 막들의 습식 식각 디핑에 대한 노출 이전 및 이후에, 트렌치 구조물들 상에 형성된 SiN 막들의 단면도들을 나타내는 주사 전자 현미경(scanning electron microscope, SEM) 이미지들이다. 도 6a 및 도 6b는 저압을 사용하여 형성된 막들의 콘포말리티 및 습식 식각을 나타내며, 도 6c 및 도 6d는 여기 설명된 하나 또는 그 이상의 실시예들에 따라 퇴적된 막들의 콘포말리티 및 습식 식각을 나타낸다.
[28] 실리콘 질화물 막들은 당업자에게 명백할 것과도 같이 평면형 로직, DRAM, 및 낸드 플래시(NAND Flash) 소자들과 같은 다양한 어플리케이션들을 갖는다. 더욱 상세하게는, 균일한 식각 거동을 나타내는 콘포말한 실리콘 질화물 막들이 반도체 산업 내에서 및 반도체 산업 외부에서 또한 다양한 어플리케이션들을 갖는다. 본 개시의 일부 실시예들에 따르면, 다양한 실리콘 질화물 막들 및 전구체들, 및 원자층 퇴적(ALD) 공정에 의해 이러한 막들을 퇴적하는 방법들이 제공된다. 중요하게는, 일부 실시예들에 있어서 3차원 구조물 상에 퇴적될 때 상기 실리콘 질화물 막들이 수직 또는 수평 부분들 모두를 위하여 상대적으로 균일한 식각율을 갖는다. 이러한 3차원 구조물들은 예를 들어 FinFET들 또는 다른 유형들의 다중 게이트 FET들을 포함할 수 있으나, 이에 한정되지 않는다. 일부 실시예들에 있어서, 본 개시의 다양한 실리콘 질화물 막들은 희석된 HF (0.5%)에 대하여 분당 약 2-3nm의 열 산화물 제거 속도의 절반보다 작은 식각율을 갖는다.
[29] 일부 실시예들에 있어서, 실리콘 질화물 박막들이 플라즈마 강화 원자층 퇴적(PEALD) 공정들에 의해 기판 상에 퇴적된다. 일부 실시예들에 있어서, 실리콘 질화물 박막이 FinFET 소자의 형성에서의 핀과 같이 3차원 구조물 상부에 퇴적된다.
[30] 실리콘 질화물 막들의 화학식은 편의와 단순화를 위하여 여기에서 SiN으로 일반적으로 지칭된다. 그러나, 당업자는, 상기 막 내의 Si:N의 비율을 나타내며 수소 또는 다른 불순물들을 배제하는 실리콘 질화물의 실제 화학식이 SiNx으로 대표될 수 있고, 여기서 일부 Si-N 결합들이 형성되는 한 x는 약 0.5부터 약 2.0까지 달라질 수 있다는 점을 이해할 것이다. 일부 경우들에서, x는 약 0.9부터 약 1.7까지, 약 1.0부터 약 1.5까지, 또는 약 1.2부터 약 1.4까지 달라질 수 있다. 일부 실시예들에 있어서, Si이 +IV의 산화 상태를 갖는 실리콘 질화물이 형성될 수 있고, 상기 물질 내의 질화물의 양은 달라질 수 있다.
[31] 일부 실시예들에 있어서, 고압 PEALD 공정이 SiN 박막들을 퇴적하는 데 사용된다. 그 상부에 SiN 막이 퇴적될 기판이 실리콘 전구체 및 질소 반응물과 교대로 및 순차적으로 접촉되고, 여기서 질소 반응물은 질소 전구체를 사용하는 플라즈마에 의해 생성된 반응성 종들을 포함한다. 고압 공정은 복수의 퇴적 사이클들을 포함할 수 있고, 적어도 하나의 퇴적 사이클이 상승된 압력 영역에서 수행된다. 예를 들어, 고압 PEALD 공정의 퇴적 사이클은 상승된 압력 하에서 상기 기판을 실리콘 전구체 및 질소 반응물과 교대로 및 순차적으로 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, PEALD 공정의 하나 또는 그 이상의 퇴적 사이클들은 약 6 Torr 내지 약 500 Torr, 약 6 Torr 내지 약 50 Torr, 또는 약 6 Torr 내지 약 100 Torr의 공정 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 하나 또는 그 이상의 퇴적 사이클들은 약 20 Torr 내지 약 500 Torr, 약 30 Torr 내지 약 500 Torr, 약 40 Torr 내지 약 500 Torr, 또는 약 50 Torr 내지 약 500 Torr를 포함하여 약 20 Torr보다 큰 공정 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 하나 또는 그 이상의 퇴적 사이클들은 약 20 Torr 내지 약 30 Torr, 약 20 Torr 내지 약 100 Torr, 약 30 Torr 내지 약 100 Torr, 약 40 Torr 내지 약 100 Torr 또는 약 50 Torr 내지 약 100 Torr의 공정 압력에서 수행될 수 있다.
[32] 일부 실시예들에 있어서, SiN 박막들을 퇴적하는 데 사용되는 고압 PEALD 공정은 통상의 공정 압력에서 상기 기판을 실리콘 전구체와 접촉시키는 단계, 및 상승된 압력 영역 하에서 상기 기판 상에 흡착된 실리콘 종들을 질소 플라즈마와 같은 질소 반응물과 접촉시키는 단계를 포함하는 하나 또는 그 이상의 퇴적 사이클들을 포함할 수 있다. 예를 들어, 고압 PEALD 공정의 하나 또는 그 이상의 퇴적 사이클들은 약 3 Torr 또는 그 이하에서와 같이 약 0.1 Torr 내지 약 5 Torr의 공정 압력에서 상기 기판을 실리콘 전구체와 접촉시키는 단계, 및 약 6 Torr 내지 약 500 Torr, 약 20 Torr 내지 약 500 Torr, 약 30 Torr 내지 약 500 Torr, 약 40 Torr 내지 약 500 Torr, 또는 약 50 Torr 내지 약 500 Torr의 공정 압력에서 상기 흡착된 실리콘 종들을 질소 반응물과 접촉시키는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 흡착된 실리콘 종들을 상기 질소 반응물과 접촉시키는 단계는 약 20 Torr 내지 약 30 Torr, 약 20 Torr 내지 약 100 Torr, 약 30 Torr 내지 약 100 Torr, 약 40 Torr 내지 약 100 Torr, 또는 약 50 Torr 내지 약 100 Torr의 공정 압력에서 수행될 수 있다.
[33] 일부 실시예들에 있어서, 상기 고압 PEALD 공정은 상기 실리콘 전구체로서 실릴 할라이드를 사용할 수 있다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 요오드를 포함한다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 H2SiI2이다.
[34] 일부 실시예들에 있어서, 상기 고압 PEALD 공정을 위한 상기 질소 전구체는 질소 플라즈마를 포함한다. 예를 들어, 상기 제2 전구체는 N, NH, 또는 NH2 래디칼들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 질소 플라즈마는 N2로부터, 예를 들어 N2 및 H2의 혼합물로부터 생성될 수 있다. 그러나, 일부 실시예들에 있어서 수소 플라즈마가 사용되지 않는다. 질소 플라즈마는 예를 들어 약 10 W 내지 약 2000 W, 약 50 W 내지 약 1000 W, 약 100 W 내지 약 1000 W, 또는 약 500 W 내지 약 1000 W의 파워에서 생성될 수 있다. 예를 들어, 상기 질소 플라즈마는 약 800 W 내지 약 1000 W의 파워에서 생성될 수 있다.
[35] 일부 실시예들에 있어서, 상기 고압 PEALD 공정은 약 100℃ 내지 약 650℃의 공정 온도에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 PEALD 공정은 약 100℃ 내지 약 550℃ 또는 약 100℃ 내지 약 450℃의 공정 온도에서 수행될 수 있다.
[36] 예를 들어, 일부 실시예들에 있어서, 고압 PEALD 공정의 복수의 퇴적 사이클들 각각은 약 6 Torr 내지 약 500 Torr, 바람직하게는 약 20 Torr 내지 약 500 Torr, 더욱 바람직하게는 약 30 Torr 내지 약 500 Torr의 상승된 압력 영역 내에서, 약 100℃ 내지 약 650℃의 온도에서, 상기 실리콘 전구체로서 H2SiI2와 같은 실릴 할라이드를 사용하여 수행될 수 있다. 일부 실시예들에 있어서, 상기 복수의 퇴적 사이클들 중 적어도 하나는 이러한 조건들 하에서 수행된다. 예를 들어, 하나 또는 그 이상의 고압 퇴적 사이클들은 실리콘 질화물 막의 퇴적 동안에 간헐적으로 수행될 수 있고, 나머지 퇴적 사이클들은 통상의 압력에서 수행될 수 있다.
[37] 이러한 퇴적 공정들을 사용하여 3차원 구조물 상에 형성된 SiN 박막들은 유리하게는 상기 구조물의 수평 표면들(예를 들어 상부 표면들) 및 수직 표면들(예를 들어 측벽 표면들) 상에 형성된 상기 막들의 부분들 사이의 특성들에서 요구되는 균일성을 나타낼 수 있다. 예를 들어, 이러한 PEALD 공정들을 사용하여 형성된 SiN 박막들은, 3차원 구조물의 수평 표면들 및 수직 표면들 상에 형성된 SiN 막 사이에, 유리하게는 습식 식각율들(wet etch rates, WER), 막 두께들, 밀도 및 순도에서 증가된 균일성을 나타낼 수 있다. 일부 실시예들에 있어서, 이러한 PEALD 공정은 유리하게는 요구되는 습식 식각율 비율들(wet etch rate ratios, WERR)을 갖는 SiN 박막들을 제공할 수 있다. 여기 사용되는 것과 같이, 습식 식각율 비율은 수평 표면(예를 들어 상부 표면) 상에 형성된 SiN 막의 식각율 대 수직 표면(예를 들어 측벽 표면) 상에 형성된 SiN 막의 식각율의 비율을 가리킨다. 예를 들어, 여기에 설명된 고압 PEALD 공정을 사용하여 퇴적된 SiN 박막의 습식 식각율은 수직 및 수평 표면들 상에서 동일하거나 실질적으로 동일한 WER을 나타낼 수 있고, 예를 들어 희석 HF(0.5 질량 % 수용액)에 노출될 때 약 1의 습식 식각율 비율(WERR)을 제공한다. 일부 실시예들에 있어서, 상기 비율은 약 0.25 내지 약 2, 약 0.5 내지 약 1.5, 약 0.75 내지 약 1.25, 또는 약 0.9 내지 약 1.1일 수 있다. 일부 실시예들에 있어서, 이러한 비율들은 약 2보다 큰 종횡비들에서, 바람직하게는 약 3보다 큰 종횡비들, 더욱 바람직하게는 약 5보다 큰 종횡비들, 가장 바람직하게는 약 8보다 큰 종횡비들에서 얻어질 수 있다. 일부 실시예들에 있어서, 이러한 PEALD 공정은 유리하게는 수직 및 수평 표면들 모두 상에서 동일하거나 실질적으로 동일한 두께를 갖는 SiN 박막들을 제공할 수 있다. 임의의 특정한 이론에 구속되지 않고, 일부 실시예들에 있어서는 상승된 압력 영역 내에서 수행되는 SiN PEALD 공정은 유리하게는 플라즈마의 이온들 사이의 충돌을 증가시킴에 의해 이온 충격들(ion bombardments)의 이방성을 감소시킬 수 있고, 이에 따라 3차원 구조물의 수평 및 수직 표면들 상에 형성되는 SiN 막의 하나 또는 그 이상의 특성들에서의 차이들을 감소시킬 수 있다.
[38] 일부 실시예들에 있어서, SiN은 적어도 하나의 저압 퇴적 사이클을 사용하여 퇴적될 수 있고, 이후 요구되는 특성들을 갖는 SiN 박막들을 제공하도록 고압 처리 공정에 의해 처리된다. 일부 실시예들에 있어서, SiN 박막들을 형성하기 위한 공정은 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들 및 하나 또는 그 이상의 고압 처리 서브-사이클들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들은 통상의 압력에서 상기 기판 상에 SiN을 퇴적하고, 상기 하나 또는 그 이상의 고압 처리 서브-사이클들은 간헐적으로 제공될 수 있으며, 유리하게는 향상된 습식 식각율 비율과 같은 하나 또는 그 이상의 요구되는 특성들을 갖는 SiN 박막을 제공하도록 상기 퇴적된 SiN의 하나 또는 그 이상의 특성들을 향상시킬 수 있다. 상기 고압 처리 서브-사이클은 각각의 실리콘 질화물 퇴적 서브-사이클 이후에 제공될 수 있거나, 또는 예를 들어 매 2, 3, 4, 5, 10, 20 사이클 등 이후에 상기 퇴적 공정 도중에 규칙적인 간격으로와 같이 간헐적으로 제공될 수 있다.
[39] 실리콘 질화물 퇴적 서브-사이클은 통상의 퇴적 압력에서 수행되는 PEALD 공정, 및 이에 뒤따르는, 상기 통상의 퇴적 압력보다 현저히 높은 압력에서 수행되는 플라즈마 단계를 포함하는 고압 처리 공정을 포함할 수 있다. 예를 들어, 상기 PEALD 공정은 약 3 Torr 이하, 또는 약 4 Torr 이하와 같이 약 0.1 Torr 내지 약 5 Torr의 공정 압력에서 수행될 수 있고, 상기 고압 처리 서브-사이클은 약 6 Torr 내지 약 500 Torr, 약 7 Torr 내지 약 500 Torr, 약 20 Torr 내지 약 500 Torr, 약 30 Torr 내지 약 500 Torr, 약 40 Torr 내지 약 500 Torr, 약 6 Torr 내지 약 100 Torr, 약 50 Torr 내지 약 100 Torr, 약 40 Torr 내지 약 100 Torr, 약 30 Torr 내지 약 100 Torr, 또는 약 20 Torr 내지 약 100 Torr를 포함하여 적어도 약 7 Torr, 적어도 약 20 Torr, 적어도 약 40 Torr와 같이, 적어도 약 6 Torr의 공정 압력에서 수행될 수 있다.
[40] 일부 실시예들에 있어서, 상기 PEALD 공정은 질소 플라즈마와 같은 질소 전구체와 결합하여 실리콘 전구체로서 H2SiI2와 같이 예를 들어 요오드를 포함하는 실릴 할라이드를 사용할 수 있다. 상기 고압 처리 공정은 상승된 압력에서 질소 플라즈마를 제공하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 이러한 실리콘 질화물 형성 공정은 뜻밖에도 3차원 구조물 상의, 수직 및 수평 표면들 모두 상에서 요구되는 막 특성들을 갖는 콘포말한 SiN 막들의 형성을 가능하게 할 수 있다. 예를 들어, 이러한 실리콘 질화막 형성 공정은 습식 식각율들(WER) 및/또는 막 두께들 사이의 차이들을 포함하여, 수직 및 수평 표면들 상에 형성되는 박막 사이의 품질의 차이를 뜻밖에도 감소시킬 수 있는 한편, 또한 요구되는 불순물 레벨들을 갖는 막을 제공할 수 있다. 일부 실시예들에 있어서, 이러한 실리콘 질화물 형성 공정은 유리하게는 수직 및 수평 표면들 모두 상에서 동일하거나 실질적으로 동일한 WER을 갖는 SiN 박막들을 제공할 수 있다. 일부 실시예들에 있어서, 이러한 실리콘 질화물 형성 공정은 유리하게는 수직 및 수평 표면들 모두 상에서 동일하거나 실질적으로 동일한 두께를 갖는 SiN 박막들을 제공할 수 있다. 일부 실시예들에 있어서, 이러한 실리콘 질화물 형성 공정은 유리하게는 수직 및 수평 표면들 모두 상에서 막 밀도 및/또는 불순물 레벨들의 요구되는 균일성을 갖는 SiN 박막들을 제공할 수 있다. 예를 들어, 희석된 HF (0.5 질량%의 수용액)에 노출될 때 3차원 구조물의 수평 표면들(예를 들어 상부 표면들) 상에 형성되는 SiN 박막의 일부분의 습식 식각율 대 수직 표면들 상에 형성되는 SiN 막의 일부분의 습식 식각율의 비율은 약 1일 수 있다. 일부 실시예들에 있어서, 상기 비율은 약 0.25 내지 약 2, 약 0.5 내지 약 1.5, 약 0.75 내지 약 1.25, 또는 약 0.9 내지 약 1.1일 수 있다. 이러한 비율들은 예를 들어 약 2보다 큰 종횡비들, 바람직하게는 약 3보다 큰 종횡비들, 더욱 바람직하게는 약 5보다 큰 종횡비들, 가장 바람직하게는 약 8보다 큰 종횡비들에서 달성될 수 있다.
[41] 일부 실시예들에 있어서, 실리콘 질화물 박막들을 형성하기 위한 공정은 하나 또는 그 이상의 슈퍼-사이클들을 포함할 수 있고, 상기 하나 또는 그 이상의 슈퍼-사이클들 각각은 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들 및 하나 또는 그 이상의 고압 처리 서브-사이클들을 포함한다. 슈퍼-사이클은 상기 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들과, 이에 뒤따르는 상기 하나 또는 그 이상의 고압 처리 서브-사이클들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 슈퍼-사이클은 요구되는 두께의, 하나 또는 그 이상의 특성들을 갖는 실리콘 질화물 박막을 형성하도록 복수 회 반복될 수 있다. 일부 실시예들에 있어서, 하나의 슈퍼-사이클의 실리콘 질화물 퇴적 서브-사이클들의 횟수 및 고압 처리 서브-사이클들의 횟수는 복수의 슈퍼-사이클들을 포함하는 실리콘 질화물 형성 공정의 하나 또는 그 이상의 다른 슈퍼 사이클들과는 다를 수 있다. 일부 실시예들에 있어서, 하나의 슈퍼-사이클의 실리콘 질화물 퇴적 서브-사이클들의 횟수 및 고압 처리 서브-사이클들의 횟수는 복수의 슈퍼-사이클들을 포함하는 실리콘 질화물 형성 공정의 하나 또는 다른 슈퍼 사이클들과 동일할 수 있다. 일부 실시예들에 있어서, 실리콘 질화물 막의 형성을 위한 공정은 하나의 슈퍼 사이클을 포함할 수 있고, 상기 슈퍼 사이클은 다수의 실리콘 질화물 퇴적 서브-사이클들, 및 이에 뒤따르는 다수의 고압 처리 서브-사이클들을 포함한다. 슈퍼 사이클들, 및/또는 슈퍼 사이클 내의 실리콘 질화물 퇴적 서브-사이클들 및 고압 처리 서브-사이클들의 횟수는 요구되는 특성들을 갖는 실리콘 질화물 막을 형성하도록 선택될 수 있다. 여기 설명된 바와 같이, 여기 설명된 하나 또는 그 이상의 공정들은 3차원 구조물 상부에 콘포말한 SiN 박막을 제공할 수 있고, 상기 3차원 구조물 상에 형성되는 상기 SiN 박막은 또한 수직 및 수평 표면들 모두 상에 요구되는 특성들의 균일성을 나타낸다.
실리콘 질화물 박막들의 형성
[42] 도 2a는 일부 실시예들에 따르면 실리콘 질화물 박막을 퇴적하는 데 사용될 수 있는 상승된 공정 압력 하에서 수행되는 실리콘 질화물 PEALD 퇴적 사이클(200)을 일반적으로 나타내는 플로우 차트이다. 특정 실시예들에 따르면, 다수의 실리콘 질화물 퇴적 사이클들을 포함하는 고압 PEALD-타입의 공정에 의해 실리콘 질화물 박막이 기판 상에 형성되며, 각각의 실리콘 질화물 퇴적 사이클(200)은,
[43] (1) 기판 표면 상에 실리콘 종들이 흡착되도록 상승된 공정 압력 하에서 기판의 표면을 기체화된 실리콘 전구와 접촉시키는 단계(202);
[44] (2) 상기 실리콘 종들을 실리콘 질화물로 변환시키도록 상기 상승된 공정 압력 하에서 상기 흡착된 실리콘 종들을 질소-함유 반응물들과 접촉시키는 단계(204)를 포함한다.
[45] 일부 실시예들에 있어서, 상기 질소-함유 반응물들은 하나 또는 그 이상의 질소-함유 전구체들로부터의 플라즈마에 의해 생성되는 반응물들을 포함한다.
[46] 일부 실시예들에 있어서, 상기 하나 또는 그 이상의 질소 전구체들은 상기 사이클 전체를 통해 연속적으로 흐르고, 적절한 횟수로 형성된 상기 질소-함유 플라즈마와 함께 흡착된 실리콘 종들을 실리콘 질화물로 변환시킬 수 있다. 예를 들어, 질소 가스(N2) 및/또는 수소 가스(H2)는 상기 사이클 전체를 통해 연속적으로 흐를 수 있다.
[47] 요구되는 두께와 조성의 박막이 얻어질 때까지 상기 접촉시키는 단계들이 반복된다. 여분의 반응물들은 각각의 접촉시키는 단계, 즉 단계들(202, 204) 이후에 상기 반응 공간으로부터 퍼지될 수 있다.
[48] 일부 실시예들에 있어서, PEALD 퇴적 사이클(200)의 상기 실리콘 전구체는 실릴 할라이드를 포함할 수 있다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 H2SiI2이다.
[49] 일부 실시예들에 있어서, 상기 고압 PEALD 공정은 약 100℃ 내지 약 650℃, 약 100℃ 내지 약 550℃, 약 100℃ 내지 약 450℃, 약 200℃ 내지 약 600℃의 온도에서 수행된다. 일부 실시예들에 있어서, 상기 온도는 약 300℃, 또는 약 550℃이다. 일부 실시예들에 있어서, 상기 온도는 약 400℃ 내지 약 500℃이다. 일부 실시예들에 있어서, 상기 고압 PEALD 공정은 약 550℃ 내지 약 600℃의 온도에서 수행된다.
[50] 일부 실시예들에 있어서, 도 2a를 참조로 설명한 상기 접촉시키는 단계들 (1) 및 (2) 중 하나 또는 모두 이후에 여분의 반응물들 및/또는 만약 있다면 반응 부산물들이 상기 기판의 인접부(vicinity)로부터 제거되는 단계가 뒤따를 수 있다. 예를 들어, 퍼지 단계는 상기 접촉시키는 단계들 (1) 및 (2) 중 하나 또는 모두를 뒤따를 수 있다.
[51] 아래에서 더욱 상세히 논의될 것과도 같이, 상기 실리콘 질화물 박막을 퇴적하기 위한 상기 고압 PEALD 공정은 약 6 Torr, 또는 약 20 Torr보다 큰 공정 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 공정 압력은 약 6 Torr 내지 약 500 Torr, 약 6 Torr 내지 약 100 Torr, 약 40 Torr 내지 약 500 Torr, 약 50 Torr 내지 약 100 Torr, 약 40 Torr 내지 약 100 Torr, 약 30 Torr 내지 약 100 Torr, 또는 약 20 Torr 내지 약 100 Torr의 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 공정 압력은 약 20 Torr 내지 약 50 Torr, 또는 약 20 Torr 내지 약 30 Torr일 수 있다. 예를 들어, 상기 고압 PEALD 공정의 하나 또는 그 이상의 PEALD 퇴적 사이클들은 약 30 Torr 내지 약 50 Torr를 포함하여, 약 20 Torr 내지 약 500 Torr의 공정 온도에서 수행될 수 있다. 일부 실시예들에 있어서, 도 2a를 참조로 설명한 상기 접촉시키는 단계들 (1) 및 (2)는 이러한 상승된 압력들에서 수행될 수 있다.
[52] 아래에서 더욱 상세하게 설명될 것과 같이, 도 2a를 참조로 설명되는 상기 질소-함유 플라즈마는 NH3, 및 N2H4와 같은 N 및 H를 모두 갖는 화합물들, N2/H2의 혼합물, 또는 N-H 결합을 갖는 다른 전구체들을 포함하는 가스를 포함하여 질소-함유 가스를 사용하여 생성될 수 있다. 일부 실시예들에 있어서, 상기 질소-함유 플라즈마를 생성하기 위하여 사용되는 플라즈마 파워는 약 10 와트(W) 내지 약 2000 W, 약 50 W 내지 약 1000 W, 약 100 W 내지 약 1000 W, 또는 약 500 W 내지 약 1000 W일 수 있다. 일부 실시예들에 있어서, 상기 질소-함유 플라즈마를 생성하기 위하여 사용되는 플라즈마 파워는 약 800 W 내지 약 1000 W일 수 있다.
[53] 여기 설명된 것과 같이, 일부 실시예들에 있어서, SiN을 형성하기 위한 PEALD 공정의 하나 또는 그 이상의 퇴적 사이클들 또는 퇴적 사이클의 부분들은 두 개의 다른 공정 압력들에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 기판을 상기 실리콘 전구체와 접촉시키는 단계는 약 0.1 Torr 내지 약 5 Torr 또는 약 1 Torr 내지 약 5 Torr를 포함하여 약 0.01 Torr 내지 약 5 Torr의 공정 압력에서 수행될 수 있는 한편, 상기 흡착된 실리콘 종들을 접촉시키는 단계는 여기 설명된 것과 같이 상승된 압력 영역 하에서 수행될 수 있다. 예를 들어, 상기 흡착된 실리콘 종들을 질소 반응물들과 접촉시키는 단계는 적어도 약 6 Torr, 약 7 Torr, 약 20 Torr, 약 30 Torr, 또는 약 40 Torr의 공정 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 공정 압력은 약 6 Torr 내지 약 500 Torr, 약 7 Torr 내지 약 500 Torr, 약 20 Torr 내지 약 500 Torr, 약 6 Torr 내지 약 500 Torr, 약 20 Torr 내지 약 100 Torr, 또는 약 30 Torr 내지 약 100 Torr일 수 있다.
[54] 도 2b를 참조하면, 다른 실시예에 따른 실리콘 질화물 박막의 형성을 위한 공정을 일반적으로 나타내는 플로우 차트가 도시된다. 여기 설명되는 것과 같이, 일부 실시예들에 있어서, 실리콘 질화물 박막의 형성 방법은 하나 또는 그 이상의 슈퍼-사이클들(220)을 포함할 수 있고, 여기서 상기 하나 또는 그 이상의 슈퍼-사이클들 각각은 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들(226) 및 하나 또는 그 이상의 고압 처리 서브-사이클들(228)을 포함한다. 특정 실시예에 따르면, 실리콘 질화물 퇴적 서브-사이클(226)은 PEALD 공정을 포함할 수 있고, 상기 PEALD 공정은,
[55] (1) 실리콘 종들이 상기 기판의 표면 상에 흡착되도록 기판 표면을 기체화된 실리콘 전구체들과 접촉시키는 단계(222);
[56] (2) 상기 흡착된 실리콘 화합물을 실리콘 질화물로 변환시키도록 상기 흡착된 실리콘 종들을 질소 반응물들과 접촉시키는 단계(204)를 포함할 수 있다.
[57] 일부 실시예들에 있어서, 상기 실리콘 질화물 퇴적 서브-사이클(226)은 약 0.01 Torr 내지 약 5 Torr, 바람직하게는 약 0.1 Torr 내지 약 5 Torr, 더욱 바람직하게는 약 1 Torr 내지 약 5 Torr의 공정 압력에서 수행된다. 상기 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들(226)은 도 2a를 참조로 설명한 상기 PEALD 공정에서 적용되는 것보다 현저히 작은 압력에서 수행될 수 있다.
[58] 일부 실시예들에 있어서, 상기 실리콘 질화물 퇴적 서브-사이클(226)의 상기 실리콘 전구체는 실릴 할라이드를 포함할 수 있다. 일부 실시예들에 있어서, 상기 실리콘 전구체는 H2SiI2이다.
[59] 일부 실시예들에 있어서, 상기 실리콘 질화물 퇴적 서브-사이클(226)은 약 100℃ 내지 약 650℃, 약 100℃ 내지 약 550℃, 약 100℃ 내지 약 450℃, 약 200℃ 내지 약 600℃, 약 300℃ 내지 약 550℃, 또는 약 400℃ 내지 약 500℃의 온도에서 수행된다. 일부 실시예들에 있어서, 상기 실리콘 질화물 퇴적 서브-사이클(226)은 약 550℃ 또는 약 600℃의 온도에서 수행된다. 상기 실리콘 질화물 퇴적 서브-사이클(226)은 SiN의 요구되는 퇴적을 제공되도록 다수 회 반복될 수 있다.
[60] 도 2b에 도시된 것과 같이, 상기 슈퍼-사이클(220)은 하나 또는 그 이상의 고압 처리 서브-사이클들(228)을 포함할 수 있다. 일부 실시예들에 있어서, 상기 실리콘 질화물 퇴적 서브-사이클(226)은 하나 또는 그 이상의 고압 처리 서브-사이클들(228)을 수행하기 이전에 상기 하나 또는 그 이상의 슈퍼-사이클들(220) 각각 내에서 다수 회 반복될 수 있다. 상기 하나 또는 그 이상의 고압 처리 서브-사이클들(228)은 상기 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들(226)을 사용하여 퇴적된 SiN의 하나 또는 그 이상의 특성들을 향상시키도록 구성될 수 있다.
[61] 아래에서 더욱 상세하게 설명될 것과 같이, 고압 처리 서브-사이클은 약 6 Torr, 약 20 Torr, 약 30 Torr, 또는 약 50 Torr보다 큰 압력과 같이 상승된 압력 영역 내에서 수행되는 하나 또는 그 이상의 플라즈마 단계들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 플라즈마 단계는 약 20 Torr 내지 약 500 Torr의 압력에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 하나 또는 그 이상의 플라즈마 단계들은 수소-함유 종들이 없거나 실질적으로 없는 질소-함유 종들을 포함할 수 있다. 예를 들어, 상기 질소-함유 플라즈마는 수소가 없거나 실질적으로 없는 가스를 사용하여 생성될 수 있다. 예를 들어, 수소-함유 가스(예를 들어, 수소(H2) 가스)는 상기 고압 처리 서브-사이클들(228)의 하나 또는 그 이상의 플라즈마 단계들 동안에 상기 반응 챔버로 흐르지 않는다. 일부 실시예들에 있어서, 고압 처리 서브-사이클들(228)은 약 100℃ 내지 약 650℃ 사이, 약 100℃ 내지 약 550℃, 약 100℃ 내지 약 450℃, 약 200℃ 내지 약 400℃, 약 300℃ 내지 약 400℃, 또는 약 400℃의 온도에서 수행된다. 고압 처리 서브-사이클(228) 내의 플라즈마 단계를 위한 플라즈마 파워는 약 100 W 내지 약 1500 W, 바람직하게는 약 200 W 내지 약 1000 W, 더욱 바람직하게는 약 500 W 내지 약 1500 W일 수 있다. 예를 들어, 고압 처리 공정은 약 800 W의 플라즈마 파워를 가질 수 있다.
실리콘 질화물의 PEALD
[62] 여기서 설명되는 것과 같이, 일부 실시예들에 있어서 SiN 박막들의 형성 공정은 상승된 공정 압력 영역 내에서 수행되는 PEALD 공정일 수 있다. 고압 PEALD를 위한 공정 압력은 약 20 Torr, 약 30 Torr, 또는 약 50 Torr보다 큰 것을 포함하여 약 6 Torr보다 클 수 있다. 일부 실시예들에 있어서, 고압 PEALD 공정을 위한 공정 압력은 약 30 Torr 내지 약 500 Torr, 약 20 Torr 내지 약 100 Torr, 약 30 Torr 내지 약 100 Torr, 약 20 Torr 내지 약 50 Torr, 또는 약 30 Torr 내지 약 50 Torr를 포함하여, 약 20 Torr 내지 약 500 Torr일 수 있다. 일부 실시예들에 있어서, SiN 박막들의 형성 공정은, 하나 또는 그 이상의 고압 처리 서브-사이클들과 결합하여 SiN 퇴적을 위한 낮은 공정 압력들에서 수행되는 PEALD 공정들을 포함하는 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들을 포함할 수 있는 복수의 슈퍼-사이클들을 포함할 수 있다. 예를 들어, 상기 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들을 위한 PEALD 공정들은 약 0.01 Torr 내지 약 5 Torr, 바람직하게는 약 0.1 Torr 내지 약 3 Torr의 공정 압력을 포함할 수 있고, 상기 하나 또는 그 이상의 고압 처리 서브-사이클들은 약 30 Torr 또는 약 50 Torr보다 큰 것을 포함하여, 약 20 Torr보다 큰 공정 압력을 포함할 수 있다.
[63] PEALD 공정들은 집적 회로 작업물들과 같이 기판들 상에, 일부 실시예들에 있어서 상기 기판들 상에 3차원 구조물들 상에 SiN을 퇴적하는 데 사용될 수 있다. 간략하게, 기판 또는 작업물은 반응 챔버 내에 놓여지고, 교대로 반복되는 표면 반응들이 가해진다. 일부 실시예들에 있어서, 얇은 SiN 막들은 자기-제한적인(self-limiting) ALD 사이클의 반복에 의해 형성된다. ALD-타입의 공정들은 조절되고, 일반적으로 자기-제한적 표면 반응들에 기초한다. 상기 기판을 상기 반응물들에 교대로 및 순차적으로 접촉시킴에 의해 기상 반응들이 일반적으로 방지된다. 기상 반응물들은, 예를 들어 반응물 펄스들 사이에서 여분의 반응물들 및/또는 반응물 부산물들을 제거함에 의해 상기 반응 챔버 내에서 서로 분리된다. 상기 반응물들은 퍼지 가스 및/또는 진공의 도움으로 상기 기판 표면의 인접부로부터 제거될 수 있다. 일부 실시예들에 있어서, 여분의 반응물들 및/또는 반응물 부산물들이 예를 들어 불활성 가스로 퍼지하는 단계에 의해 상기 반응 공간으로부터 제거된다.
[64] 바람직하게는, SiN 막들을 퇴적하기 위하여, 각각의 ALD 사이클은 적어도 두 개의 별개의 상들(phases)을 포함한다. 상기 반응 공간으로부터 반응물의 제공 및 제거는 하나의 상으로 여겨질 수 있다. 제1 상에서, 실리콘을 포함하는 제1 반응물이 제공되고, 상기 기판 표면 상에 대략 하나의 모노레이어(monolayer)보다 작게 형성한다. 이러한 반응물이 또한 여기서 "실리콘 전구체", "실리콘-함유 전구체" 또는 "실리콘 반응물"로 지칭되며, 예를 들어 H2SiI2일 수 있다.
[65] 제2 상에서, 반응성 종들을 포함하는 제2 반응물이 제공되며, 흡착된 실리콘을 실리콘 질화물로 변환시킬 수 있다. 일부 실시예들에 있어서, 상기 제2 반응물은 질소 반응물을 포함한다. 일부 실시예들에 있어서, 상기 제2 반응물은 질소 함유 플라즈마로부터의 종들을 포함한다. 예를 들어, 상기 제2 반응물은 하나 또는 그 이상의 질소 전구체들로부터의 플라즈마에 의해 생성된 질소-함유 반응물들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제2 반응물은 질소 래디칼들, 질소 원자들 및/또는 질소 플라즈마를 포함한다. 상기 제2 반응물은 질소-함유 반응물들이 아닌 다른 종들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제2 반응물은 수소의 플라즈마, 수소의 래디칼들, 또는 하나의 형태 또는 다른 형태의 원자 수소를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제2 반응물은 예를 들어 플라즈마 형태 또는 원소 형태의 래디칼들로서 He, Ne, Ar, Kr 또는 Xe, 바람직하게는 Ar 또는 He와 같은 비활성 가스(noble gas)로부터의 종들을 포함할 수 있다. 비활성 가스들로부터의 이러한 반응성 종들은 퇴적된 막에 필수적으로 물질을 기여하지는 않으나, 일부 상황들에서 플라즈마의 형성 및 점화를 도울 뿐만 아니라 막 성장에 기여할 수 있다. 일부 실시예들에 있어서, 플라즈마를 형성하는 데 사용되는 가스가 상기 퇴적 공정 전체를 통해 일정하게 흐를 수 있으나, 오직 간헐적으로 활성화될 수 있다. 일부 실시예들에 있어서, 상기 제2 반응물은 Ar과 같은 비활성 가스로부터의 종들을 포함하지 않는다. 따라서, 일부 실시예들에 있어서, 상기 흡착된 실리콘 전구체는 Ar로부터의 플라즈마에 의해 생성되는 반응성 종들과 접촉되지 않는다.
[66] 최종 막의 조성을 조절하도록 요구될 때 추가적인 상들이 추가될 수 있고, 상들이 제거될 수 있다.
[67] 하나 또는 그 이상의 상기 반응물들은 하나 또는 그 이상의 비활성 가스들과 같은 캐리어 가스의 도움으로 제공될 수 있다. 일부 실시예들에 있어서, 상기 캐리어 가스는 Ar 및 He 중 하나 또는 그 이상을 포함한다. 일부 실시예들에 있어서, 상기 실리콘 전구체 및 상기 제2 반응물은 캐리어 가스의 도움으로 제공된다.
[68] 일부 실시예들에서, 상기 상들 중 두 상이 오버랩되거나 또는 결합될 수 있다. 예를 들어, 상기 실리콘 전구체 및 상기 제2 반응물은 부분적으로 또는 완전히 오버랩된 펄스들 내에서 동시에 제공될 수 있다. 추가적으로, 상기 제1 및 제2 상들로서 지칭되기는 하지만, 상기 제1 및 제2 반응물들, 상기 상들의 순서는 달라질 수 있고, ALD 사이클은 상기 상들 중 임의의 하나로 시작할 수 있다. 즉, 다르게 특정되지 않는 한, 상기 반응물들은 임의의 순서로 제공될 수 있고, 상기 공정은 상기 반응물들 중 임의의 것으로 시작할 수 있다.
[69] 일부 실시예들에 따르면, 실리콘 질화물 박막이 FinFET 어플리케이션 내에서와 같이 3차원 피쳐들을 구비하는 기판 상에 PEALD 공정을 사용하여 퇴적된다. 상기 공정은 다음의 단계들을 포함할 수 있다:
[70] (1) 반응 공간 내에 3차원 구조물을 포함하는 기판이 제공된다;
[71] (2) 실리콘-함유 종들이 상기 3차원 구조물의 표면들 상을 포함하여 상기 기판의 표면에 흡착되도록 상기 기판을 SiI2H2와 같은 실리콘-함유 전구체와 접촉시키는 단계;
[72] (3) 여분의 실리콘-함유 전구체 및 반응 부산물들이 상기 반응 공간으로부터 제거된다;
[73] (40) 상기 흡착된 실리콘 종들을 질소-함유 종들과 접촉시키는 단계로서, 여기서 상기 질소-함유 종들은 N2, NH3, N2H4, 또는 N2 및 H2와 같은 기상 반응물들을 사용하여 질소-함유 플라즈마를 생성하는 단계에 의해 형성된다;
[74] (5) 여분의 질소 원자들, 플라즈마, 또는 래디칼들 또는 반응 부산물들을 제거하는 단계;
[75] 요구되는 두께의 실리콘 질화물 막이 형성될 때까지 단계 (2) 내지 단계 (6)이 반복될 수 있다.
[76] 일부 실시예들에 있어서, 단계 (4)는 질소 원자들, 플라즈마 또는 래디칼들이 원거리에서 형성되고 상기 반응 공간으로 제공되는 단계에 의해 대체될 수 있다.
[77] 일부 실시예들에 있어서, 상기 PEALD 공정은 약 100℃ 내지 약 650℃ 사이, 약 100℃ 내지 약 550℃, 약 100℃ 내지 약 450℃, 약 200℃ 내지 약 600℃, 또는 약 400℃ 내지 약 500℃의 온도에서 수행된다. 일부 실시예들에 있어서, 상기 온도는 약 300℃이다. 일부 실시예들에 있어서, 상기 PEALD 공정은 약 550℃ 내지 약 600℃의 온도에서 수행된다.
[78] 아래에서 더욱 상세하게 논의될 것과 같이, SiN 막을 퇴적하기 위한 일부 실시예들에 있어서, 하나 또는 그 이상의 PEALD 퇴적 사이클들은 상기 실리콘 전구체의 제공과 함께 시작되며, 이후 상기 제2 전구체가 뒤따른다. 다른 실시예들에 있어서, 퇴적은 상기 제2 전구체의 제공과 함께 시작되고, 이후 상기 실리콘 전구가 뒤따른다. 당업자는 상기 제1 전구체 상이 일반적으로 이전 사이클에서의 마지막 상에 의해 남겨진 터미네이션과 반응한다는 점을 인식할 것이다. 따라서, 만약 반응성 종들의 상이 제1 PEALD 사이클 내의 제1 상이라면, 반응물이 상기 기판 표면 상에 이미 흡착되지 않거나 상기 반응 공간 내에 이미 존재하지 않을 수 있는 반면, 후속 PEALD 사이클들에서 반응성 종들의 상이 상기 실리콘 상을 효과적으로 뒤따를 것이다. 일부 실시예들에 있어서, 하나 또는 그 이상의 다른 PEALD 서브-사이클들이 SiN 박막을 형성하기 위한 공정 내에서 제공된다.
[79] 여분의 반응물 및 만약 있다면 반응 부산물들이 반응물 펄스들 사이에서 상기 기판의 인접부로부터, 특히 상기 기판 표면으로부터 제거된다. 일부 실시예들에 있어서, 상기 반응 챔버는 반응물 펄스들 사이에서 불활성 가스로 퍼지되는 단계에 의해서와 같이 퍼지된다. 각각의 반응물의 유속 및 시간은 제거 단계에서와 같이 조절될 수 있고, 상기 막의 품질 및 다양한 특성들의 조절을 허용한다.
[80] 앞서 언급된 것과 같이, 일부 실시예들에 있어서 가스가 각각의 퇴적 사이클 동안에 상기 반응 챔버에 연속적으로 제공되며, 상기 반응 챔버 내에서 또는 상기 반응 챔버로의 상류로(upstream) 흐르는 상기 가스 내에서 플라즈마를 생성함에 의해 반응성 종들이 제공된다. 일부 실시예들에 있어서, 상기 가스는 질소를 포함한다. 일부 실시예들에 있어서, 상기 가스는 질소이다. 다른 실시예들에 있어서, 상기 가스를 헬륨 또는 아르곤을 포함할 수 있다. 일부 실시예들에 있어서, 상기 가스는 헬륨 또는 질소이다. 흐르는 가스는 또한 상기 제1 및/또는 제2 반응물(또는 반응성 종들)을 위한 퍼지 가스로서 작용할 수 있다. 예를 들어, 흐르는 질소는 제1 실리콘 전구체를 위한 퍼지 가스로 작용할 수 있고, 또한 제2 반응물(반응성 종들의 소스)로서 작용할 수 있다. 일부 실시예들에 있어서, 질소, 아르곤 또는 헬륨은 제1 전구체를 위한 퍼지 가스로 작용할 수 있고, 상기 실리콘 전구체를 실리콘 질화물 막으로 변환시키기 위한 여기된 종들의 소스로 작용할 수 있다. 일부 실시예들에 있어서, 상기 플라즈마가 생성되지 않는 상기 가스는 아르곤을 포함하지 않고, 상기 흡착된 실리콘 전구체는 Ar으로부터의 플라즈마에 의해 생성되는 반응성 종들과 접촉하지 않는다.
[81] 상기 PEALD 퇴적 사이클은 요구되는 두께 및 조성의 SiN 막이 얻어질 때까지 반복된다. 일부 실시예들에 있어서, 유속, 흐름 기간, 퍼지 기간, 및/또는 반응물들 자체와 같은 퇴적 변수들은 요구되는 특성들을 갖는 막을 얻기 위하여 하나 또는 그 이상의 퇴적 서브-사이클들 내에서 달라질 수 있다. 일부 실시예들에 있어서, 수소 및/또는 수소 플라즈마는 퇴적 서브-사이클, 또는 상기 퇴적 공정 내에서 제공되지 않는다.
[82] 용어 "펄스"는 미리 결정된 시간동안 상기 반응 챔버 내부로 반응물을 공급하는 단계를 포함하는 것으로 이해될 수 있다. 용어 "펄스"는 상기 펄스의 기간의 길이를 제한하지 않으며, 펄스는 시간의 임의의 길이일 수 있다.
[83] 일부 실시예들에 있어서, 상기 실리콘 반응물이 먼저 제공된다. 만약 필요하거나 요구된다면 최초의 표면 터미네이션 이후에, 제1 실리콘 반응물 펄스가 상기 작업물에 공급된다. 일부 실시예들에 따르면, 상기 제1 반응물 펄스는 캐리어 가스 흐름과, 관심이 있는 작업물 표면들과 반응성이 있는 H2SiI2와 같은 휘발성 실리콘 종들을 포함한다. 따라서, 상기 실리콘 반응물은 이러한 작업물 표면들 상에 흡착된다. 제1 반응물 펄스의 임의의 여분의 성분들이 이러한 공정에 의해 형성된 분자층과 더 이상 반응하지 않도록 상기 제1 반응물 펄스는 상기 작업물 표면들에 자기-포화된다.
[84] 상기 제1 실리콘 반응물 펄스는 바람직하게는 기체 형태로 공급된다. 상기 실리콘 전구체 가스는, 노출된 표면들을 포화시키기에 충분한 농도로 상기 작업물에 상기 종들을 수송하도록 상기 종들이 공정 조건들 하에서 충분한 증기 압력을 나타낸다면, 본 설명의 목적을 위하여 "휘발성"으로 간주된다.
일부 실시예들에 있어서, 상기 티타늄 전구체는 상기 캐리어 가스 내부로 상기 티타늄 전구체를 주입함에 의해 상기 기판과 접촉한다. 일부 다른 실시예들에서, 상기 티타늄 전구체는 임의의 캐리어 가스 또는 불활성 가스 흐름과는 분리되어 상기 기판과 접촉한다.
[85] 일부 실시예들에 있어서, 상기 실리콘 반응물 펄스는 약 0.5초 내지 약 5.0초, 약 0.1초 내지 약 3초 또는 약 0.2초 내지 약 1.0초이다. 최적의 펄스 기간은 특정한 상황들에 기초하여 당업자에 의해 즉각적으로 결정될 수 있다.
[86] 상기 기판 표면 상에 분자층이 흡착하기에 충분한 시간 이후에, 여분의 제1 실리콘 반응물이 이후 상기 반응 공간으로부터 제거된다. 일부 실시예들에 있어서, 상기 제1 케미스트리의 흐름을 멈추는 한편, 상기 반응 공간으로부터 여분의 반응물들 및 만약 있다면 반응물 부산물들을 확산시키거나 퍼지시키기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스의 흐름을 계속함에 의해 상기 여분의 제1 반응물이 퍼지된다. 일부 실시예들에 있어서, 상기 여분의 제1 전구체가 상기 서브-사이클 전체를 통해 흐르는 질소 또는 아르곤과 같은 불활성 가스의 도움으로 퍼지된다.
[87] 일부 실시예들에 있어서, 상기 제1 반응물은 약 0.1초 내지 약 10초, 약 0.3초 내지 약 5초 또는 약 0.3초 내지 약 1초 동안 퍼지된다. 상기 실리콘 반응물의 제공 및 제거가 PEALD 공정의 상기 제1 또는 제2 상으로 간주될 수 있다.
[88] 상기 제2 상에서, 질소 플라즈마와 같은 반응성 종들을 포함하는 제2 반응물이 상기 작업물로 제공된다. 일부 실시예들에 있어서 각각의 ALD 사이클 동안에 상기 반응 챔버로 질소, 즉 N2가 연속적으로 흐른다. 예를 들어 리포트 플라즈마 생성기를 통해 상기 질소를 흘림에 의해, 상기 반응 챔버 내의 또는 상기 반응 챔버의 상류로 흐르는 질소 내에 플라즈마를 생성함에 의해 질소 플라즈마가 형성될 수 있다.
[89] 일부 실시예들에 있어서, 플라즈마는 흐르는 H2 및 N2 가스들 내에서 생성된다. 일부 실시예들에 있어서, 상기 H2 및 N2는 상기 플라즈마가 점화되거나 질소 및 수소 원자들 또는 래디칼들이 형성되기 전에 상기 반응 챔버로 제공된다. 임의의 이론에 한정되지 않고, 상기 수소는 리간드 제거 단계에 유리한 효과를 가질 수 있는 것으로 믿어지며, 다시 말하면 이는 잔류하는 리간드들 일부를 제거하거나, 상기 막 품질에 유리한 다른 효과들을 가질 수 있다. 일부 실시예들에 있어서, 상기 H2 및 N2는 상기 반응 챔버에 연속적으로 제공되고, 질소 및 수소 함유 플라즈마, 원자들 또는 래디칼들이 생성되거나 필요할 때 공급된다.
[90] 일부 실시예들에 있어서, 상기 질소-함유 플라즈마는 수소-함유 종들을 포함하지 않거나 실질적으로 포함하지 않는다. 예를 들어, 상기 질소-함유 플라즈마는 수소-함유 종들이 없거나 실질적으로 없는 가스를 사용하여 생성된다. 일부 실시예들에 있어서, 전체 SiN 퇴적은 수소가 없이 수행된다. 그러나, 일부 실시예들에 있어서 고압 공정 동안에 H-종들을 포함하는 플라즈마가 사용될 수 있다.
[91] 일반적으로 예를 들어 질소 플라즈마를 포함하는 상기 제2 반응물이 약 0.1초 내지 약 10초 동안 제공된다. 일부 실시예들에 있어서, 질소 플라즈마와 같은 상기 제2 반응물이 약 0.1초 내지 약 10초, 0.5초 내지 약 5초, 또는 0.5초 내지 약 2.0초 동안 제공된다. 그러나, 반응기 종류, 기판 종류 및 그 표면적에 의존하여, 상기 제2 반응물 펄스 시간은 약 10초보다 더 클 수도 있다. 일부 실시예들에 있어서, 펄스 시간들은 수 분 오더일 수 있다. 최적의 펄스 시간은 특정한 상황들에 기초하여 당업자에 의해 즉각적으로 결정될 수 있다.
[92] 일부 실시예들에 있어서, 상기 제2 반응물이 둘 또는 그 이상의 개별적인 펄스들 내에서, 상기 둘 또는 그 이상의 펄스들 중 임의의 것 사이에 다른 반응물의 도입이 없이 제공된다. 예를 들어, 일부 실시예들에 있어서 질소 플라즈마는 둘 또는 그 이상의, 바람직하게는 두 개의 순차적인 펄스들 내에 제공되며, 상기 순차적인 펄스들 사이에 실리콘 전구체의 도입이 없다. 일부 실시예들에 있어서, 질소 플라즈마의 제공 동안에 둘 또는 그 이상의 순차적인 플라즈마 펄스들이 생성된다. 제1 기간 동안 플라즈마 방전을 제공하는 단계, 제2 기간 동안 예를 들어 약 0.1초 내지 약 10초, 약 0.5초 내지 약 5초 또는 약 1.0초 내지 약 4.0초 동안 플라즈마 방전을 끄는 단계, 및 상기 Si-전구체 또는 퍼지 단계 이전과 같이 다른 전구체의 도입 또는 제거 단계 이전에 제3 기간 동안 이를 다시 여기시키는 단계에 의해 질소 플라즈마의 제공 동안에 둘 또는 그 이상의 순차적인 플라즈마 펄스들이 생성된다. 플라즈마의 추가적인 펄스들은 동일한 방식으로 도입될 수 있다. 일부 실시예들에서, 플라즈마는 상기 펄스들 각각 내에서 동등한 시간 동안 점화된다.
[93] 일부 실시예들에 있어서, 질소 플라즈마는 약 100 W 내지 약 2000 W, 바람직하게는 약 50 W 내지 약 1000 W, 더욱 바람직하게는 약 500 W 내지 약 1000 W의 RF 파워를 인가함에 의해 생성될 수 있다. 일부 실시예들에 있어서, 상기 RF 파워 밀도는 약 0.02 W/cm2 내지 약 2.0 W/cm2, 바람직하게는 약 0.05 W/cm2 내지 약 1.5 W/cm2일 수 있다. RF 파워는 질소 플라즈마 펄스 시간 동안 흐르고, 상기 반응 챔버를 통해 연속적으로 흐르고, 및/또는 리모트 플라즈마 생성기를 통해 흐르는 질소에 인가될 수 있다. 따라서, 일부 실시예들에 있어서 상기 플라즈마는 인 시츄로 생성되는 한편, 다른 실시예들에서는 상기 플라즈마는 원거리에서 생성된다. 일부 실시예들에 있어서, 샤워헤드 반응기(showerhead reactor)가 사용되고, 플라즈마가 서셉터(그 상부의 기판이 위치하는) 및 샤워헤드 플레이트 사이에서 생성된다. 일부 실시예들에 있어서, 상기 서셉터 및 샤워헤드 플레이트 사이의 갭은 약 0.1 cm 내지 약 20 cm, 약 0.5 cm 내지 약 5 cm, 또는 약 0.8 cm 내지 약 3.0 cm이다.
[94] 이전에 흡착된 분자층이 상기 질소 플라즈마 펄스와 완전히 포화되고 반응하기에 충분한 시간 이후에, 임의의 여분의 반응물 및 반응 부산물들이 상기 반응 공간으로부터 제거된다. 상기 제1 반응물의 제거와 함께, 이러한 단계는 반응성 종들의 생성을 정지하는 단계 및, 여분의 반응성 종들 및 휘발성 반응 부산물들이 상기 반응 공간으로부터 확산되고 퍼지되기에 충분한 시간 동안 질소 또는 아르곤과 같은 불활성 가스의 흐름을 연속하는 단계를 포함할 수 있다. 다른 실시예들에 있어서, 별개의 퍼지 가스가 사용될 수 있다. 일부 실시예들에 있어서, 상기 퍼지는 약 0.1초 내지 약 10초, 약 0.1초 내지 약 4초 또는 약 0.1초 내지 약 0.5초일 수 있다. 이와 함께, 상기 질소 플라즈마의 제공 및 제거는 실리콘 질화물 원자층 퇴적 사이클에서 제2의 반응성 종들의 상으로 대표된다.
[95] 본 개시의 일부 실시예들에 따르면, PEALD 반응들은 위에서 논의한 것과 같이 약 25℃ 내지 약 700℃, 약 50℃ 내지 약 600℃, 약 100℃ 내지 약 600℃, 약 200℃ 내지 약 600℃, 약 100℃ 내지 약 450℃, 또는 약 200℃ 내지 약 400℃ 범위의 온도들에서 수행될 수 있다. 일부 실시예들에 있어서, 상기 온도는 약 300℃, 약 550℃ 또는 약 400℃ 내지 약 500℃일 수 있다. 일부 실시예들에 있어서, 최적의 반응기 온도는 최대로 허용된 열 버짓(thermal budget)에 의해 제한될 수 있다. 그러므로, 일부 실시예들에 있어서, 상기 반응 온도는 약 300℃ 내지 약 400℃이다. 일부 어플리케이션들에서, 최대 온도는 약 400℃이고, 그러므로 상기 PEALD 공정은 이러한 반응 온도에서 수행된다.
[96] 일부 실시예들에 있어서, 상기 작업물의 노출 표면들은 상기 PEALD 서브-사이클의 상기 제1 상과 반응할 반응성 사이트들을 제공하도록 전처리될(pretreated) 수 있다. 일부 실시예들에 있어서, 별개의 전처리 단계가 수행되지 않는다. 일부 실시예들에 있어서, 요구되는 표면 터미네이션을 제공하도록 상기 기판이 전처리된다. 일부 실시예들에 있어서, 상기 기판은 플라즈마로 전처리된다.
[97] 일부 실시예들에 있어서, 그 상부에 퇴적이 요구되는 반도체 작업물과 같은 상기 기판이 반응기 내부로 로딩된다. 상기 반응기는 집적 회로의 형성 내에서의 다양한 다른 공정들이 수행되는 클러스터 툴(cluster tool)의 일부분일 수 있다. 일부 실시예들에 있어서, 흐름-타입(flow-type)의 반응기가 사용된다. 일부 실시예들에 있어서, 샤워헤드 타입의 반응기가 사용된다. 일부 실시예들에 있어서, 공간이 분리된 반응기가 사용된다. 일부 실시예들에 있어서, 고용적 제조 가능 단일 웨이퍼 PEALD 반응기가 사용된다. 다른 실시예들에 있어서, 다수의 기판들을 포함하는 뱃치(batch) 반응기가 사용된다. 뱃치 PEALD 반응기들이 사용되는 실시예들의 경우에, 기판들의 개수는 바람직하게는 10 내지 200의 범위, 더욱 바람직하게는 50 내지 150의 범위, 가장 바람직하게는 100 내지 130의 범위이다.
[98] 특히 PEALD 공정들을 향상시키도록 설계된 예시적인 단일 웨이퍼 반응기들은 Pulsar® 2000 및 Pulsar® 3000의 상표 하에 ASM America, Inc. (Phoenix, AZ) 및 Eagle® XP, XP8 및 Dragon®의 상표 하에 ASM Japan K.K. (Tokyo, Japan)으로부터 상용 가능하다. 특히 PEALD 공정들을 향상시키도록 설계된 예시적인 뱃치 PEALD 반응기들은 A400TM 및 A412TM의 상표 하에서 ASM Europe B.V(Almere, Netherlands)로부터 상용 가능하다.
고압 처리 서브-사이클
[99] 여기 설명된 것과 같이, 일부 실시예들에 따르면, SiN 박막들의 형성 공정은 통상의 압력에서 수행되는 하나 또는 그 이상의 SiN 퇴적 사이클들 및 하나 또는 그 이상의 고압 처리 서브-사이클들을 포함하는 하나 또는 그 이상의 슈퍼-사이클들을 포함할 수 있다. 여기서 사용된 것과 같이, 고압 처리 서브-사이클은 상기 서브-사이클의 적어도 일부분 동안, 적어도 약 7 Torr, 적어도 약 20 Torr, 약 30 Torr, 약 40 Torr, 또는 약 50 Torr를 포함하여, 적어도 약 6 Torr의 공정 압력을 포함하는 처리 서브-사이클을 가리킨다. 일부 실시예들에 있어서, 상기 고압 처리 서브-사이클은 적어도 약 20 Torr의 공정 압력에서 수행되는 플라즈마 단계를 포함한다. 예를 들어, 상기 플라즈마 단계 동안에 상기 기판이 노출되는 상기 반응 챔버 내의 압력은 상기 플라즈마 단계의 적어도 일부분 동안 적어도 약 30 Torr, 약 40 Torr, 또는 약 50 Torr를 포함하여 적어도 약 20 Torr일 수 있다. 일부 실시예들에 있어서, 상기 플라즈마 단계 동안에 상기 기판이 노출되는 상기 반응 챔버 내의 압력은 약 50 Torr까지, 약 100 Torr까지 또는 약 500 Torr까지일 수 있다. 예를 들어, 상기 반응 챔버 내의 상기 압력은 전체 플라즈마 단계 또는 실질적으로 전체 플라즈마 단계 동안 약 6 Torr 내지 약 50 Torr, 20 Torr 내지 약 50 Torr, 6 Torr 내지 약 500 Torr, 또는 약 20 Torr 내지 약 500 Torr일 수 있다. 일부 실시예들에 있어서, 상기 고압 처리 서브-사이클 내의 플라즈마 단계의 공정 압력은 약 30 Torr 내지 약 500 Torr, 약 40 Torr 내지 약 500 Torr, 약 50 Torr 내지 약 500 Torr, 약 6 Torr 내지 약 100 Torr, 약 20 Torr 내지 약 100 Torr, 약 30 Torr 내지 약 100 Torr, 약 20 Torr 내지 약 50 Torr, 또는 약 20 Torr 내지 약 30 Torr일 수 있다
[100] 일부 실시예들에 있어서, 상기 고압 처리 서브-사이클 내의 상기 하나 또는 그 이상의 플라즈마 단계들은 수소 이온들(예를 들어, H+, 및/또는 H3+ 이온들)이 없거나 실질적으로 없을 수 있다. 예를 들어, 수소-함유 가스(예를 들어 수소(H2) 가스)가 아니거나 실질적으로 아닌 가스가 상기 하나 또는 그 이상의 플라즈마 단계들 동안에 상기 반응 챔버로 흐른다. 활동성 있는(energetic) 수소 이온들이 없거나 실질적으로 없는 플라즈마 단계를 포함하는 고압 처리 서브-사이클은 유리하게는 상기 기판으로부터 퇴적된 실리콘 질화물의 박리(delamination)을 감소시키거나 방지할 수 있다. 일부 실시예들에 있어서, 수소-함유 가스가 아니거나 실질적으로 아닌 가스가 상기 고압 처리 서브-사이클 전체를 통해 상기 반응 챔버로 흐른다.
[101] 일부 대안적 실시예들에 있어서, 고압 처리 서브-사이클 내의 상기 하나 또는 그 이상의 플라즈마 단계들은 수소-함유 종들을 포함할 수 있다. 예를 들어, 하나 또는 그 이상의 플라즈마 단계들은 수소-함유 성분들로부터 생성된 플라즈마를 포함할 수 있다.
[102] 일부 실시예들에 있어서, 고압 처리 서브-사이클 내의 플라즈마 단계를 위한 플라즈마 파워는 약 100 W 내지 약 1500 W, 바람직하게는 약 200 W 내지 약 1000 W, 더욱 바람직하게는 약 500 W 내지 약 1000 W일 수 있다. 예를 들어, 고압 처리 공정은 약 800 W의 플라즈마 파워를 가질 수 있다.
[103] 일부 실시예들에 있어서, SiN의 퇴적을 위한 PEALD 공정은 축전 결합된(capacitively coupled) 평행 플레이트들에 의해 생성된 플라즈마를 사용하여 수행될 수 있고, 이는 예를 들어 수평 및 수직 표면들 상에 불균일한 특성들을 갖는 막을 제공함에 의해 상기 기판 상에 이방성 이온 충격을 생성할 수 있다. 예를 들어, 상기 기판 상부 표면들 및 측벽 표면들 상의 막 두께들 및 막 품질은 현저히 다를 수 있다. 상기 막 두께들 및 막 품질의 불균일성(unevenness)은 상기 SiN의 퇴적 동안에 상기 기판의 3차원 피쳐들 상의 오목 프로파일(re-entrant profile)의 형성시 더욱 향상될 수 있고, 상기 오목 프로파일은 이온 충격으로부터 측벽 부분(예를 들어 트렌치 구조의 측벽 부분)을 그늘지게 한다(shadow). 일부 실시예들에 있어서, 하나 또는 그 이상의 실리콘 질화물 퇴적 서브-사이클들 이후에 하나 또는 그 이상의 상기 고압 처리 서브-사이클을 수행하는 단계는 수직 및 수평 면들 상에 형성된 막의 막 특성들에서 요구되는 균일성을 갖는 SiN 박막들을 제공할 수 있다.
[104] 도 3a 및 도 3b는 고압 플라즈마에 의해 생성된 이온들과 비교할 때, 더 낮은 플라즈마 내에서 생성된 이온들에 의해 나타나는 이온 입사각들의 개략적인 예시들을 나타낸다. 여기서 사용된 것과 같이, 이온 입사각 값들(Θ1, Θ2)은 이온 입사각 분포의 반치폭(full width at half maximum, FWHM) 값들이다. 여기서 설명되는 것과 같이, 상기 고압 플라즈마 단계의 공정 압력은 약 6 Torr보다 클 수 있고, 예를 들어 약 30 Torr 내지 약 100 Torr를 포함하여, 약 6 Torr 내지 약 50 Torr, 약 20 Torr 내지 약 50 Torr, 약 6 Torr 내지 약 500 Torr, 또는 약 20 Torr 내지 약 500 Torr일 수 있다. 일부 실시예들에 있어서, 상기 저압 플라즈마 단계의 공정 압력은 6 Torr보다 작을 수 있고, 예를 들어 약 0.1 Torr 내지 약 5 Torr일 수 있다. 도 3a는 더 낮은 압력의 플라즈마에서 생성된 이온의 이온 입사각(Θ1)의 예시를 나타내고, 도 3b는 더 높은 압력의 플라즈마에서 생성된 이온의 이온 입사각(Θ2)의 예시를 나타낸다. 이온 입사각(Θ2)이 이온 입사각(Θ1)보다 클 수 있다. 예를 들어, 더 높은 플라즈마는 상기 기판 상의 플라즈마 시스 영역(sheath region) 내에 더욱 많은 수의 이온 충돌들을 생성할 수 있고, 상기 기판의 수직 표면들 상에 증가된 이온 입사각을 제공한다.
[105] 일부 실시예들에 있어서, 플라즈마 단계의 조건들은 약 50°보다 크거나, 약 75°보다 큰 것을 포함하여, 약 20°보다 큰 입사각 값들을 제공하도록 선택된다. 일부 실시예들에 있어서, 이러한 입사각 값들은 약 2보다 큰 종횡비들, 약 3보다 큰 종횡비들, 약 5보다 큰 종횡비들, 그리고 일부 실시예들에서는 약 8보다 큰 종횡비들을 갖는 3차원 구조물들 내에서 얻어진다.
[106] 상승된 압력 영역 내에서 수행되는 플라즈마 단계는 유리하게는 상기 3차원 구조물의 수평 표면들(예를 들어 상부 표면) 상에 형성된 막과 상기 3차원 구조물의 수직 표면들 상에 형성된 막 사이의 특성들의 요구되는 균일성을 갖는 콘포말한 SiN 박막의 형성을 용이하게 할 수 있다. 일부 실시예들에 있어서, 증가된 이온 입사각들은 유리하게는 3차원 구조물의 수평 표면들 및 수직 표면들 상에 형성된 SiN 막의 습식 식각율들 및/또는 막 두께들에서의 향상된 균일성을 제공할 수 있다. 일부 실시예들에 있어서, 증가된 이온 입사각들은 유리하게는 수평 및 수직 표면들 상에 형성된 막 사이의 막 밀도 및/또는 불순물 레벨들에서 요구되는 균일성을 갖는 SiN 박막들을 제공할 수 있다.
[107] 일부 실시예들에 있어서, 고압 처리 서브-사이클은, 플라즈마 래디칼들이 없거나 실질적으로 없는 공간으로 상기 기판이 이동되는 하나 또는 그 이상의 단계들과 같이, 상기 기판이 플라즈마에 노출되지 않는 하나 또는 그 이상의 단계들 및/또는 하나 또는 그 이상의 퍼지 단계들을 포함할 수 있다. 일부 실시예들에 있어서, 퍼지 단계는 고압 처리 서브-사이클 내에서 플라즈마 단계에 선행할 수 있다. 일부 실시예들에 있어서, 퍼지 단계는 고압 처리 서브-사이클 내에서 플라즈마 단계를 뒤따를 수 있다. 일부 실시예들에 있어서, 고압 처리 서브-사이클 내의 플라즈마 단계보다 퍼지 단계가 선행하고, 또 뒤따를 수 있다. 예를 들어, 고압 처리 서브-사이클은 제1 퍼지 단계, 이를 뒤따르는 플라즈마 단계, 및 이후 상기 플라즈마 단계를 뒤따르는 제2 퍼지 단계를 포함할 수 있다.
[108] 일부 실시예들에 있어서, 상기 퍼지 단계를 위한 퍼지 가스는 캐리어 가스를 포함한다. 일부 실시예들에 있어서, 상기 퍼지 단계를 위한 퍼지 가스는 상기 고압 처리 서브-사이클의 플라즈마 단계 내에서 사용되는 질소-함유 가스를 포함한다. 일부 실시예들에 있어서, 상기 캐리어 가스 및 상기 질소-함유 가스는 상기 고압 처리 서브-사이클 전체를 통해 연속적으로 흐를 수 있다. 예를 들어, 상기 캐리어 가스와 질소-함유 가스의 흐름은 제1 퍼지 단계를 위하여 점화될 수 있다. 상기 캐리어 가스 및 질소-함유 가스의 흐름은 후속적인 플라즈마 단계 동안에 유지되거나 실질적으로 유지될 수 있고, 플라즈마 파워가 켜진다. 플라즈마 파워는 요구되는 기간 이후에 꺼질 수 있고, 상기 캐리어 가스 및 질소-함유 가스의 흐름이 상기 플라즈마 파워가 꺼진 후, 상기 플라즈마 단계를 뒤따르는 상기 제2 퍼지 단계 동안에 유지될 수 있다.
[109] 일부 실시예들에 있어서, 상기 고압 처리 서브-사이클의 공정 압력은 상기 퍼지 단계 동안에, 상기 플라즈마 단계 이전에 증가될 수 있고, 상기 플라즈마 단계를 뒤따르는 퍼지 단계 동안에 감소될 수 있다. 예를 들어, 상기 플라즈마 단계가 요구되는 공정 압력에서 시작하도록 상기 반응 챔버의 압력은 상기 퍼지 단계 동안에 후속적인 플라즈마 단계의 요구되는 압력까지 증가될(ramp up) 수 있다. 상기 요구되는 공정 압력은 상기 플라즈마 단계 동안에 유지되거나 실질적으로 유지된다. 상기 반응 챔버 압력은 이후 상기 플라즈마 단계를 뒤따르는 상기 퍼지 단계 동안에 더 낮은 압력으로 감소될(ramp down) 수 있다. 일부 실시예들에 있어서, 상기 고압 처리 서브-사이클의 상기 공정 압력은 상기 서브-사이클의 플라즈마 단계를 위하여 요구되는 공정 압력에서 유지될 수 있다.
[110] 일부 실시예들에 있어서, 플라즈마 단계를 뒤따르는 퍼지 단계는 후속적인 실리콘 질화물 퇴적 서브-사이클의 제1 단계 내에서 사용되는 하나 또는 그 이상의 가스들의 흐름을 포함할 수 있다. 예를 들어, 고압 처리 서브-사이클의 플라즈마 단계 이후에 및 실리콘 질화물 퇴적 서브-사이클 이후에 수행되는 퍼지 단계는 후속적인 실리콘 질화물 퇴적 서브-사이클의 제1 단계에 사용되는 하나 또는 그 이상의 가스들의 흐름을 포함할 수 있다. 일부 실시예들에 있어서, 상기 퍼지 단계는 수소 가스(H2)의 흐름을 포함할 수 있다. 예를 들어, 수소 가스(H2)의 흐름이 상기 실리콘 질화물 퇴적 서브-사이클의 상기 제1 단계를 위한 속도로 유지되거나 실질적으로 유지되도록 상기 퍼지 단계는 후속적인 실리콘 질화물 퇴적 서브-사이클 단계를 위하여 사용되는 속도로의 수소 가스(H2)의 흐름을 포함할 수 있다. 예를 들어, 상기 퍼지 단계는 수소 가스(H2)뿐만 아니라 캐리어 가스 및 질소-함유 가스(예를 들어 N2 가스)의 흐름을 포함할 수 있다.
[111] 도 4a 및 도 4b는 실리콘 질화물 퇴적 서브-사이클 및 고압 처리 서브-사이클을 위한 다양한 공정 변수들의 타이밍도의 예시들을 나타낸다. 도 4a에 도시된 실리콘 질화물 퇴적 서브-사이클에서, 상기 실리콘 질화물 퇴적 서브-사이클은 PEALD 타입의 공정을 포함할 수 있다. 예를 들어, 상기 실리콘 질화물 퇴적 서브-사이클은 실리콘 전구체 단계(예를 들어 상기 반응 챔버로의 하나 또는 그 이상의 실리콘 전구체들의 흐름), 이를 뒤따르는 퍼지 단계, 이후 질소 가스(N2) 및 수소 가스(H2)의 흐름을 포함하는 플라즈마 단계, 및 다른 퍼지 단계를 포함할 수 있다. 실리콘 질화물 퇴적 서브-사이클은 상기 기판을 상기 하나 또는 그 이상의 실리콘 전구체들(예를 들어 상기 하나 또는 그 이상의 실리콘 전구체들의 펄스에 의해) 및 상기 하나 또는 그 이상의 질소 반응물들(예를 들어 상기 플라즈마 단계의 적용에 의해)과 교대로 및 순차적으로 접촉시키는 단계를 포함할 수 있다. 상기 플라즈마 단계를 위하여 사용되는 캐리어 가스 및 하나 또는 그 이상의 가스들(예를 들어, 질소 가스(N2))의 흐름은 상기 서브-사이클의 기간 동안 연속될 수 있다. 여기서 설명된 것과 같이, 상기 실리콘 질화물 퇴적 서브-사이클은 고압 처리 공정에서 사용되는 것보다 현저히 낮은 공정 압력에서 수행될 수 있다.
[112] 도 4a에 도시된 것과 같이, 상기 실리콘 전구체 단계는 상기 하나 또는 그 이상의 실리콘 전구체들의 흐름을 시작하는 단계 및 이후 정지하는 단계(예를 들어 상기 하나 또는 그 이상의 실리콘 전구체들을 펄스하는 단계)를 포함할 수 있다. 상기 실리콘 전구체 단계는 예를 들어 상기 하나 또는 그 이상의 실리콘 전구체들의 상기 기판으로의 전달을 용이하게 하도록 캐리어 가스의 흐름을 또한 포함할 수 있다. 일부 실시예들에 있어서, 상기 캐리어 가스는 Ar이거나 Ar을 포함한다. 상기 실리콘 전구체 단계는 질소 가스(N2) 및 수소 가스(H2)의 흐름을 포함할 수 있다. 일부 실시예들에 있어서, 질소 가스(N2) 및 수소 가스(H2)는 상기 실리콘 질화물 퇴적 서브-사이클 전체를 통해 연속적으로 또는 실질적으로 연속적으로 흐를 수 있다.
[113] 상기 기판의 인접부로부터 여분의 실리콘 전구체들을 제거하도록 상기 실리콘 전구체 단계 이후에 제1 퍼지 단계가 뒤따를 수 있다. 상기 제1 퍼지 단계는 상기 캐리어 가스 및 상기 질소 가스(N2) 및 수소 가스(H2)의 흐름을 포함할 수 있다. 도 4a에서 도시된 것과 같이, 상기 실리콘 전구체는 상기 퍼지 단계 동안 흐르지 않는 한편, 상기 캐리어 가스, 상기 질소 가스(N2) 및 수소 가스(H2)의 흐름은 계속된다. 예를 들어, 상기 캐리어 가스 및 상기 질소 가스(N2) 및 수소 가스(H2)의 흐름은 상기 실리콘 전구체 단계에서 사용되었던 흐름의 속도로 상기 제1 퍼지 단계 전체를 통해 유지되거나 실질적으로 유지될 수 있다.
[114] 상기 제1 퍼지 단계 이후에 상기 플라즈마 단계가 뒤따를 수 있다. 도 4a에 도시된 것과 같이, 상기 질소 반응물들이 상기 흡착된 실리콘 전구체들과 반응하도록 예를 들어 상기 하나 또는 그 이상의 반응물들의 상기 기판으로의 전달을 용이하게 하기 위하여 상기 캐리어 가스가 상기 플라즈마 단계 동안에 흐를 수 있다. 상기 플라즈마 단계는, 상기 캐리어 가스, 및 상기 질소 가스(N2) 및 수소 가스(H2)가 흐르는 한편, 상기 플라즈마를 켜는 단계와 이후 끄는 단계를 포함할 수 있다. 예를 들어, 제1 퍼지 단계가 수행된 이후, 상기 플라즈마는 단계는 상기 제1 퍼지 단계 동안에 사용되었던 속도로 상기 캐리어 가스 및 상기 질소 가스(N2) 및 수소 가스(H2)의 흐름을 유지하거나 실질적으로 유지하는 한편, 상기 플라즈마를 튀게 하는(strike) 단계를 포함할 수 있다. 상기 플라즈마 단계는 N*, H*, NH*, 및/또는 NH2 * 래디칼들을 포함하는 플라즈마를 생성하도록 구성될 수 있다.
[115] 상기 플라즈마 파워는 요구되는 플라즈마가 제공된 이후에 꺼질 수 있고, 제2 퍼지 단계가 뒤따를 수 있다. 도 4a의 예시에서 도시된 것과 같이, 여분의 반응물들 및/또는 반응 부산물들을 제거하도록 상기 캐리어 가스 및 상기 질소 가스(N2) 및 수소 가스(H2)의 흐름은 상기 제2 퍼지 단계 동안에 계속될 수 있다. 예를 들어, 상기 캐리어 가스 및 상기 질소 가스(N2) 및 수소 가스(H2)의 흐름은 상기 플라즈마 단계에서 사용된 속도로 상기 제2 퍼지 단계 동안에 유지될 수 있다. 일부 실시예들에 있어서, 상기 캐리어 가스 및 상기 질소 가스(N2) 및 수소 가스(H2)의 흐름은 상기 실리콘 질화물 퇴적 서브-사이클 전체를 통해 동일한 속도로 유지되거나 실질적으로 유지될 수 있다.
[116] 도 4b는 고압 처리 서브-사이클을 위한 다양한 공정 변수들의 타이밍도의 예시를 도시한다. 도 4b에 도시된 것과 같이, 실리콘 전구체들이 상기 고압 처리 서브-사이클 동안에 제공되지 않는다. 도 4b에 도시된 예시에 따르면, 상기 고압 처리 서브-사이클은 제1 퍼지 단계, 이를 뒤따르는 플라즈마 단계, 및 이후의 제2 퍼지 단계를 포함할 수 있다. 상기 제1 퍼지 단계는 캐리어 가스 및 질소 가스(N2)의 흐름을 포함할 수 있다. 수소 이온들(예를 들어, H+ 및/또는 H3+ 이온들)이 없거나 실질적으로 없는 고압 처리 서브-사이클이 제공될 수 있도록, 예를 들어 만약 수소 가스(H2)가 바로 전에 선행하는 실리콘 질화물 퇴적 서브-사이클에서의 단계 동안 흘렀다면, 수소 가스(H2)의 임의의 흐름이 상기 제1 퍼지 단계 동안에 꺼질 수 있다. 상기 제1 퍼지 단계 동안에 공정 압력이 증가될 수 있다. 예를 들어, 상기 공정 압력은 최초의 낮은 압력(예를 들어, 바로 선행하는 실리콘 질화물 퇴적 서브-사이클 또는 바로 선행하는 고압 처리 서브-사이클의 압력)으로부터 후속의 플라즈마 단계의 요구되는 압력까지 증가될 수 있다.
[117] 상기 고압 처리 서브-사이클 내의 상기 제1 퍼지 단계 이후에 플라즈마 단계가 뒤따를 수 있다. 상기 질소 가스(N2) 및 캐리어 가스의 흐름은 상기 플라즈마 단계 동안에 연속될 수 있다. 예를 들어, 상기 플라즈마 단계에서, 플라즈마 파워가 제공되는 한편, 질소 가스(N2) 및 상기 캐리어 가스가 상기 제1 퍼지 단계 동안에 흘렀던 속도에서와 같이 흐른다. 상기 질소 가스(N2)는 비반응성 이온들을 포함하는 플라즈마를 생성하는 데 사용될 수 있다. 상기 플라즈마는 상기 기판의 상기 플라즈마에 대한 요구되는 노출 이후에 꺼질 수 있고, 상기 제2 퍼지 단계가 수행될 수 있다.
[118] 상기 질소 가스(N2) 및 상기 캐리어 가스의 흐름은 상기 제2 퍼지 단계 동안 연속될 수 있다. 예를 들어, 상기 질소 가스(N2) 및 상기 캐리어 가스의 흐름은 상기 플라즈마 단계에서 사용되었던 속도로 유지될 수 있다. 일부 실시예들에 있어서, 상기 질소 가스(N2) 및 상기 캐리어 가스의 흐름은 상기 고압 처리 서브-사이클 전체를 통해 동일한 속도로 유지되거나 실질적으로 유지될 수 있다. 상기 공정 압력은 상기 제2 퍼지 단계 동안에 감소될 수 있다. 예를 들어, 상기 기판이 노출되는 상기 반응 챔버 내의 압력은 상기 제2 퍼지 단계 동안에, 상기 플라즈마 단계의 공정 압력으로부터 더 낮은 압력으로 감소될 수 있다.
[119] 일부 실시예들에 있어서, 도 4b에 도시된 것과 같이, 수소 가스(H2)는 상기 제2 퍼지 단계 동안에 켜질 수 있다. 예를 들어, 만약 상기 고압 처리 서브-사이클 바로 이후에 수소 가스(H2)의 흐름을 포함하는 실리콘 질화물 퇴적 서브-사이클이 뒤따른다면, 상기 수소 가스(H2)가 켜질 수 있다.
[120] 일부 실시예들에 있어서, SiN 박막을 형성하기 위한 공정은 복수의 슈퍼 사이클들을 포함할 수 있고, 각각의 슈퍼-사이클은 도 4a의 상기 실리콘 질화물 퇴적 서브-사이클의 다수 회의 반복들, 및 이에 뒤따르는 도 4b의 상기 고압 처리 서브-사이클의 다수 회의 반복들을 포함할 수 있다. 슈퍼-사이클들, 실리콘 질화물 퇴적 서브-사이클들, 및/또는 고압 처리 서브-사이클들의 횟수는 여기 설명된 것과 같이 하나 또는 그 이상의 요구되는 특성들을 갖는 SiN 박막을 형성하도록 선택될 수 있다.
Si 전구체들
[121] 일부 실시예들에 있어서, SiN 박막을 퇴적하기 위한 Si 전구체는 실릴 할라이드(silyl halide)를 포함한다. 일부 실시예들에 있어서, 상기 Si 전구체는 요오드를 포함한다. 특정한 실시예들에서, 상기 Si 전구체는 H2SiI2이다.
[122] SiN을 퇴적하기 위한 실리콘 전구체들의 예시들은 2014년 1월 29일자로 출원된 "Si precursors for deposition of SiN at low temperatures"라는 명칭의 미국특허출원번호 제14/167,904호에 제공되며, 이는 그 전문이 여기에 참조문헌으로서 병합된다.
[123] 일부 실시예들에 있어서, 상기 Si-전구체는 요오드 및 하나 또는 그 이상의 유기 리간드들과 같은 하나 또는 그 이상의 리간드들을 포함한다. 일부 실시예들에 있어서, 상기 Si-전구체는 요오드 및 메틸기, 에틸기, 프로필기, 및/또는 수소와 같은 하나 또는 그 이상의 알킬기들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 Si-전구체는 요오드 및 브롬(bromine) 또는 염소(chlorine)와 같은 하나 또는 그 이상의 다른 할라이드들을 포함한다.
[124] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 3개의 요오드들 및 하나의 아민 또는 알킬아민 리간드들을 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI3)NH2, (SiI3)NHMe, (SiI3)NHEt, (SiI3)NHiPr, (SiI3)NHtBu, (SiI3)NMe2, (SiI3)NMeEt, (SiI3)NMeiPr, (SiI3)NMetBu, (SiI3)NEt2, (SiI3)NEtiPr, (SiI3)NEttBu, (SiI3)NiPr2, (SiI3)NiPrtBu, 및 (SiI3)NtBu2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI3)NH2, (SiI3)NHMe, (SiI3)NHEt, (SiI3)NHiPr, (SiI3)NHtBu, (SiI3)NMe2, (SiI3)NMeEt, (SiI3)NMeiPr, (SiI3)NMetBu, (SiI3)NEt2, (SiI3)NEtiPr, (SiI3)NEttBu, (SiI3)NiPr2, (SiI3)NiPrtBu, (SiI3)NtBu2 및 이들의 조합들로부터 선택된 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15개 또는 그 이상의 화합물들을 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 2개의 요오드들 및 2개의 아민 또는 알킬아민 리간드들을 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NHiPr)2, (SiI2)(NHtBu)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NMeiPr)2, (SiI2)(NMetBu)2, (SiI2)(NEt2)2, (SiI2)(NEtiPr)2, (SiI2)(NEttBu)2, (SiI2)(NiPr2)2, (SiI2)(NiPrtBu)2, 및 (SiI2)(NtBu)2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NHiPr)2, (SiI2)(NHtBu)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NMeiPr)2, (SiI2)(NMetBu)2, (SiI2)(NEt2)2, (SiI2)(NEtiPr)2, (SiI2)(NEttBu)2, (SiI2)(NiPr2)2, (SiI2)(NiPrtBu)2, (SiI2)(NtBu)2 및 이들의 조합들로부터 선택된 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15개 또는 그 이상의 화합물들을 포함한다.
[125] 특정한 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 2개의 요오드들, 수소 및 하나의 아민 또는 알킬 아민 리간드, 또는 2개의 요오드들 및 2개의 알킬아민 리간드들을 포함하며, 여기서 아민 또는 알킬아민 리간드들은 아민(amine, NH2-), 메틸아민(methylamine, MeNH-), 디메틸아민(dimethylamine, Me2N-), 에틸메틸아민(ethylmethylamine, EtMeN-), 에틸아민(ethylamine, EtNH-), 및 디에틸아민(diethylamine, Et2N-)으로부터 선택된다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, 및 (SiI2)(NEt2)2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NEt2)2 및 이들의 조합들로부터 선택된 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12개 또는 그 이상의 화합물들을 포함한다.
[126] 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: SiI4, HSiI3, H2SiI2, H3SiI, Si2I6, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, Si3I8, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, MeSi2I5, Me2Si2I4, Me3Si2I3, Me4Si2I2, Me5Si2I, HMeSiI2, HMe2SiI, HMeSi2I4, HMe2Si2I3, HMe3Si2I2, HMe4Si2I, H2MeSiI, H2MeSi2I3, H2Me2Si2I2, H2Me3Si2I, H3MeSi2I2, H3Me2Si2I, H4MeSi2I, EtSiI3, Et2SiI2, Et3SiI, EtSi2I5, Et2Si2I4, Et3Si2I3, Et4Si2I2, Et5Si2I, HEtSiI2, HEt2SiI, HEtSi2I4, HEt2Si2I3, HEt3Si2I2, HEt4Si2I, H2EtSiI, H2EtSi2I3, H2Et2Si2I2, H2Et3Si2I, H3EtSi2I2, H3Et2Si2I, 및 H4EtSi2I.
[127] 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: EtMeSiI2, Et2MeSiI, EtMe2SiI, EtMeSi2I4, Et2MeSi2I3, EtMe2Si2I3, Et3MeSi2I2, Et2Me2Si2I2, EtMe3Si2I2, Et4MeSi2I, Et3Me2Si2I, Et2Me3Si2I, EtMe4Si2I, HEtMeSiI, HEtMeSi2I3, HEt2MeSi2I2, HEtMe2Si2I2, HEt3MeSi2I, HEt2Me2Si2I, HEtMe3Si2I, H2EtMeSi2I2, H2Et2MeSi2I, H2EtMe2Si2I, 및 EtMeSi2I.
[128] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 요오드, 하나의 수소 및 2개의 아민 또는 알킬아민 리간드를 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiIH)(NH2)2, (SiIH)(NHMe)2, (SiIH)(NHEt)2, (SiIH)(NHiPr)2, (SiIH)(NHtBu)2, (SiIH)(NMe2)2, (SiIH)(NMeEt)2, (SiIH)(NMeiPr)2, (SiIH)(NMetBu)2, (SiIH)(NEt2)2, (SiIH)(NEtiPr)2, (SiIH)(NEttBu)2, (SiIH)(NiPr2)2, (SiIH)(NiPrtBu)2, 및 (SiIH)(NtBu)2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiIH)(NH2)2, (SiIH)(NHMe)2, (SiIH)(NHEt)2, (SiIH)(NHiPr)2, (SiIH)(NHtBu)2, (SiIH)(NMe2)2, (SiIH)(NMeEt)2, (SiIH)(NMeiPr)2, (SiIH)(NMetBu)2, (SiIH)(NEt2)2, (SiIH)(NEtiPr)2, (SiIH)(NEttBu)2, (SiIH)(NiPr2)2, (SiIH)(NiPrtBu)2, 및 (SiIH)(NtBu)2 및 이들의 조합들로부터 선택된 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15개 또는 그 이상의 화합물들을 포함한다.
[129] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 요오드, 2개의 수소들, 및 하나의 아민 또는 알킬아민 리간드를 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상의 포함한다: (SiIH2)NH2, (SiIH2)NHMe, (SiIH2)NHEt, (SiIH2)NHiPr, (SiIH2)NHtBu, (SiIH2)NMe2, (SiIH2)NMeEt, (SiIH2)NMeiPr, (SiIH2)NMetBu, (SiIH2)NEt2, (SiIH2)NEtiPr, (SiIH2)NEttBu, (SiIH2)NiPr2, (SiIH2)NtPrtBu, 및 (SiIH2)NtBu2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiIH2)NH2, (SiIH2)NHMe, (SiIH2)NHEt, (SiIH2)NHiPr, (SiIH2)NHtBu, (SiIH2)NMe2, (SiIH2)NMeEt, (SiIH2)NMeiPr, (SiIH2)NMetBu, (SiIH2)NEt2, (SiIH2)NEtiPr, (SiIH2)NEttBu, (SiIH2)NiPr2, (SiIH2)NiPrtBu, (SiIH2)NtBu2, 및 이들의 조합들로부터 선택된 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15개 또는 그 이상의 화합물들을 포함한다.
[130] 일부 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 하나의 요오드 및 3개의 아민 또는 알킬아민 리간드들을 포함한다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI)(NH2)3, (SiI)(NHMe)3, (SiI)(NHEt)3, (SiI)(NHiPr)3, (SiI)(NHtBu)3, (SiI)(NMe2)3, (SiI)(NMeEt)3, (SiI)(NMeiPr)3, (SiI)(NMetBu)3, (SiI)(NEt2)3, (SiI)(NEtiPr)3, (SiI)(NEttBu)3, (SiI)(NiPr2)3, (SiI)(NiPrtBu)3, 및 (SiI)(NtBu)3. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI)(NH2)3, (SiI)(NHMe)3, (SiI)(NHEt)3, (SiI)(NHiPr)3, (SiI)(NHtBu)3, (SiI)(NMe2)3, (SiI)(NMeEt)3, (SiI)(NMeiPr)3, (SiI)(NMetBu)3, (SiI)(NEt2)3, (SiI)(NEtiPr)3, (SiI)(NEttBu)3, (SiI)(NiPr2)3, (SiI)(NiPrtBu)3, (SiI)(NtBu)3 및 이들의 조합들로부터 선택된 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15개 또는 그 이상의 화합물들을 포함한다.
[131] 특정한 실시예들에 있어서, 실리콘 전구체는 실리콘에 결합된 2개의 요오드들, 수소 및 하나의 아민 또는 알킬아민 리간드, 또는 2개의 요오드들 및 2개의 알킬아민 리간드들을 포함하며, 여기서 아민 또는 알킬아민 리간드들은 아민(amine, NH2-), 메틸아민(methylamine, MeNH-), 디메틸아민(dimethylamine, Me2N-), 에틸메틸아민(ethylmethylamine, EtMeN-), 에틸아민(ethylamine, EtNH-), 및 디에틸아민(diethylamine, Et2N-)으로부터 선택된다. 일부 실시예들에 있어서, 실리콘 전구체는 다음 중 하나 또는 그 이상을 포함한다: (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, 및 (SiI2)(NEt2)2. 일부 실시예들에 있어서, 실리콘 전구체는 (SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NEt2)2 및 이들의 조합들로부터 선택된 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12개 또는 그 이상의 화합물들을 포함한다.
N 전구체들
[132] 위에서 논의된 것과 같이, 본 개시에 따라 실리콘 질화물을 퇴적하기 위한 상기 제2 반응물은 질소 전구체를 포함할 수 있고, 이는 반응성 종들을 포함할 수 있다. PEALD 공정의 적합한 플라즈마 조성들은 질소 플라즈마, 질소 래디칼들 또는 하나의 형태 또는 다른 형태의 원자 질소를 포함한다. 일부 실시예들에 있어서, 수소 플라즈마, 수소 래디칼들 또는 하나의 형태 또는 다른 형태의 원자 수소가 또한 제공된다. 또 일부 실시예들에 있어서, 플라즈마는 또한 플라즈마 형태 또는 원소 형태의 래디칼들로서 He, Ne, Ar, Kr 또는 Xe, 바람직하게는 Ar 또는 He와 같은 비활성 가스들을 포함할 수 있다. 일부 실시예들에 있어서, 상기 제2 반응물은 Ar와 같은 비활성 가스로부터의 임의의 종들을 포함하지 않는다. 따라서, 일부 실시예들에 있어서 플라즈마가 비활성 가스를 포함하는 가스 내에서 생성되지 않는다.
[133] 따라서, 일부 실시예들에 있어서, 상기 제2 반응물은 NH3 및 N2H4와 같이 N 및 H를 모두 갖는 화합물들, N2/H2 혼합물 또는 N-H 결합을 갖는 다른 전구체들로부터 형성되는 플라즈마를 포함할 수 있다. 일부 실시예들에 있어서, 상기 제2 반응물은 적어도 부분적으로는 N2 및 H2로부터 형성될 수 있는 한편, 여기서 N2 및 H2는 약 20:1 내지 약 1:20, 바람직하게는 약 10:1 내지 약 1:10, 더욱 바람직하게는 약 5:1 내지 약 1:5, 그리고 가장 바람직하게는 약 1:2 내지 약 4:1, 그리고 일부 경우들에서는 1:1의 흐름 비율(N2/H2)로서 제공된다. 예를 들어, 실리콘 질화물의 퇴적을 위한 질소-함유 플라즈마는 여기 설명된 하나 또는 그 이상의 비율들로서 N2 및 H2을 모두 사용하여 생성될 수 있다.
[134] 일부 실시예들에 있어서, 상기 질소 플라즈마는 수소-함유 종들(예를 들어 수소 이온들, 래디칼들, 원자 수소)이 없거나 실질적으로 없을 수 있다. 예를 들어, 수소-함유 가스는 상기 질소 플라즈마를 생성하는 데 사용되지 않는다. 일부 실시예들에 있어서, 수소-함유 가스(예를 들어, H2 가스)는 상기 질소 플라즈마 단계 동안에 상기 반응 챔버 내부로 흐르지 않는다.
[135] 일부 실시예들에 있어서, 질소 함유 플라즈마를 생성하기 위하여 사용되는 플라즈마 파워는 약 100 W 내지 약 2000 W, 약 50 W 내지 약 1000 W, 약 100 W 내지 약 1000 W, 또는 약 500 W 내지 약 1000 W일 수 있다. 일부 실시예들에 있어서, 질소-함유 플라즈마를 생성하기 위하여 사용되는 플라즈마 파워는 약 800 W 내지 약 1000 W일 수 있다.
[136] 일부 실시예들에 있어서, 상기 제2 반응물은 상기 기판 또는 반응 공간으로부터 멀리 떨어진 플라즈마 방전("리모트 플라즈마")을 통해 원거리에서 형성될 수 있다. 일부 실시예들에 있어서, 상기 제2 반응물은 기판의 인접부 또는 기판 바로 위에서("직접 플라즈마") 형성될 수 있다.
SiN 막 특성들
[137] 여기서 논의된 실시예들 중 일부에 따라 퇴적된 실리콘 질화물 박막들은 약 3 at%보다 낮고, 바람직하게는 약 1 at%보다 낮고, 더욱 바람직하게는 약 0.5 at%보다 낮고, 가장 바람직하게는 약 0.1 at%보다 낮은 불순물 레벨들 또는 농도들을 달성할 수 있다. 이러한 박막들에서, 수소를 제외한 총 불순물 레벨은 약 5 at%보다 낮고, 바람직하게는 약 2 at%보다 낮고, 더욱 바람직하게는 약 1 at%보다 낮고, 가장 바람직하게는 약 0.2 at%보다 낮을 수 있다. 그리고 일부 박막들에서, 수소 레벨들은 레벨은 약 30 at%보다 낮고, 바람직하게는 약 20 at%보다 낮고, 더욱 바람직하게는 약 15 at%보다 낮고, 가장 바람직하게는 약 10 at%보다 낮을 수 있다.
[138] 일부 실시예들에 있어서, 상기 퇴적된 SiN 막들은 식별될만한 양의 카본을 포함하지 않는다. 그러나, 일부 실시예들에 있어서, 카본을 포함하는 SiN 막이 퇴적된다. 예를 들어, 일부 실시예들에 있어서 카본을 포함하는 실리콘 전구체를 사용하여 ALD 반응이 수행되고, 카본을 포함하는 얇은 실리콘 질화물 막이 퇴적된다. 일부 실시예들에 있어서, 카본을 포함하는 SiN 막은 알킬기 또는 다른 카본-함유 리간드를 포함하는 전구체를 사용하여 퇴적된다. Me 또는 Et와 같은 다른 알킬기들, 또는 다른 카본-함유 리간드들이 다른 반응 메커니즘들에 기인하여 상기 막들 내에 다른 카본 농도들을 생성할 수 있다. 따라서, 다른 전구체들은 퇴적된 SiN 막들의 다른 카본 농도를 생성하도록 선택될 수 있다. 일부 실시예들에 있어서, 요구되는 유전 상수(dielectric constant)를 갖는 카본을 포함하는 SiN 막이 퇴적될 수 있다. 일부 실시예들에 있어서, 상기 얇은 SiN 막은 예를 들어 저유전율(low-k) 스페이서로서 사용될 수 있다. 일부 실시예들에 있어서, 상기 박막들은 아르곤을 포함하지 않는다.
[139] 일부 실시예들에 따르면, 상기 실리콘 질화물 박막들은 약 50%보다 크고, 바람직하게는 약 80%보다 크고, 더욱 바람직하게는 약 90%보다 크고, 가장 바람직하게는 약 95%보다 큰 스텝 커버리지 및 패턴 로딩 효과들을 나타낼 수 있다. 일부 경우들에서, 스텝 커버리지 및 패턴 로딩 효과들은 약 98%보다 클 수 있고, 일부 경우들에서 약 100%(측정 도구 또는 방법의 정확도 내에서)일 수 있다. 이러한 값들은 2 이상인 종횡비들을 갖고, 일부 실시예들에 있어서 약 3 이상의 종횡비들을 갖고, 일부 실시예들에서 약 5 이상의 종횡비들을 갖고, 그리고 일부 실시예들에서 약 8 이상의 종횡비들을 갖는 피쳐들 내에서 달성될 수 있다.
[140] 여기 사용된 것과 같이, "패턴 로딩 효과"는 이러한 분야에서의 일반적인 의미에 따라 사용된다. 패턴 로딩 효과들이 불순물 함량, 밀도, 전기적 특성들 및 식각율에 대하여 관찰될 수 있는 한편, 다르게 지시되지 않는 한, 상기 용어 패턴 로딩 효과는 여기서 사용될 때 구조물들이 존재하는 상기 기판의 영역 내에서의 막 두께의 편차를 가리킨다. 따라서, 상기 패턴 로딩 효과는 열린 공간(open field)을 바라보는 3차원 구조물/피쳐의 측벽 또는 바닥 상의 막 두께에 대한 3차원 구조물 내부의 피쳐의 측벽 또는 바닥에서의 막 두께로서 주어질 수 있다. 여기 사용된 것과 같이, 100%의 패턴 로딩 효과(또는 1의 비율)은 피쳐들에 관계 없이 기판 전체를 통해 완전히 균일한 막 특성에 대하여 나타낼 것이고, 즉 다시 말하면 패턴 로딩 효과(피쳐들 대 열린 공간 내에서 두께와 같은 특정 막 특성의 편차)가 존재하지 않는다.
[141] 일부 실시예들에 있어서, 실리콘 질화물 막들은 약 3 nm 내지 약 50 nm, 바람직하게는 약 5 nm 내지 약 50 nm, 더욱 바람직하게는 약 5 nm 내지 약 20 nm의 두께로 퇴적된다. 이러한 두께들은, 약 100 nm보다 작고, 바람직하게는 약 50 nm보다 작고, 더욱 바람직하게는 약 30 nm보다 작고, 가장 바람직하게는 약 20 nm보다 작고, 일부 경우들에서는 약 15 nm보다 작은 피쳐 사이즈들(폭) 내에서 달성될 수 있다. 일부 실시예들에 따르면, SiN 막이 3차원 구조물 상에 퇴적되고, 측벽에서의 두께는 10 nm보다 약간 클 수 있다.
[142] 일부 실시예들에 따르면, 다양한 습식 식각율들(wet etch rates, WER)을 갖는 실리콘 질화물 막들이 퇴적될 수 있다. 0.5% dHF (nm/min) 내의 블랭킷 WER을 사용할 때, 실리콘 질화물 막들은 약 5보다 작고, 바람직하게는 약 4보다 작고, 더욱 바람직하게는 약 2보다 작고, 가장 바람직하게는 약 1보다 작은 WER 값들을 가질 수 있다. 일부 실시예들에 있어서, 이는 약 0.3보다 작을 수 있다.
[143] 열 산화물의 WER에 대한 0.5% dHF 내에서의 블랭킷 WER (nm/min)은 약 3보다 작고, 바람직하게는 약 2보다 작고, 더욱 바람직하게는 약 1보다 작고, 가장 바람직하게는 약 0.5보다 작을 수 있다.
[144] 일부 실시예들에 있어서, 핀 또는 트렌치와 같은 3차원 피쳐의 상부 영역 WER에 대한 핀 또는 트렌치와 같은 상기 3차원 피쳐의 측벽 WER은 약 4보다 작고, 바람직하게는 약 3보다 작고, 더욱 바람직하게는 약 2보다 작고, 가장 바람직하게는 약 1보다 작을 수 있다.
[145] 일부 실시예들에 있어서, 여기 설명된 하나 또는 그 이상의 공정들에 따라 형성된 SiN은 유리하게는 예를 들어 0.5% dHF 내에서 약 1의 WERR을 나타낼 수 있다. 예를 들어, 기판 표면 상의 3차원 구조물들의 수직 표면들(예를 들어 측벽 표면들) 상에 형성된 SiN 박막의 습식 식각율에 대한 수평 표면들(예를 들어 상부 표면들) 상에 형성된 SiN 박막의 습식 식각율의 비율은 동일하거나 실질적으로 동일할 수 있다. 일부 실시예들에 있어서, 상기 비율은 약 0.25 내지 약 2, 약 0.5 내지 약 1.5, 약 0.75 내지 약 1.25, 또는 약 0.9 내지 약 1.1일 수 있다. 이러한 비율들은 약 2 이상, 약 3 이상, 약 5 이상, 또는 약 8 이상인 종횡비들을 갖는 피쳐들 내에서 달성될 수 있다.
[146] 본 개시의 상기 실리콘 질화물 박막들을 사용함에 있어서, 상부 및 측부 사이의 두께 차이들은 일부 어플리케이션들에 대하여 그 향상된 막 품질 및 식각 특성들에 기인하여, 중요하지 않을 수 있다는 점이 발견된 바 있다. 그럼에도 불구하고, 일부 실시예들에 있어서 상기 측벽을 따른 두께 경사(gradient)는 후속의 어플리케이션들 또는 공정들에 매우 중요할 수 있다.
[147] 일부 실시예들에 있어서, 본 개시에 따른 실리콘 질화물 막들의 식각 양은 0.5% HF-디핑 공정 내에서 열적 SiO2(TOX)를 위하여 관찰된 식각의 양보다 약 1 또는 2배 작을 수 있다(예를 들어, 약 2 내지 약 3 nm의 TOX가 제거되는 공정에서, 여기 설명되는 방법들에 따라 퇴적될 때 1 또는 2배 적게 SiN이 제거된다). 바람직한 실리콘 질화물 막들의 WER은 종래 기술의 열산화물 막들의 경우보다 더 작을 수 있다.
[148] 도 5a 내지 도 5c는 3차원 트렌치 구조물들 상에 퇴적된 SiN 박막들의 희석 HF(0.5 질량% 수용액) 내의 예시적인 습식 식각율 성능을 나타내는 습식 식각율(WER) 곡선들이다. 상기 막들은 H2SiI2를 실리콘 전구체로, N2 및 H2 가스들을 반응성 질소-함유 종들의 생성을 위하여 사용한 PEALD 공정들을 사용하여 퇴적되었다. 상기 습식 식각율은 y 축 상에 분당 나노미터(nm/min) 단위로 도시되고, 상기 SiN 박막의 퇴적에서 사용된 플라즈마 파워가 x 축 상에 와트(W) 단위로 도시된다. 도 5a 내지 도 5c의 SiN 막들은 약 3의 종횡비를 갖는 트렌치 구조물들 상에 퇴적되었다.
[149] 도 5a 및 도 5b의 SiN 막들은 약 350 파스칼(Pa)의 공정 압력에서 PEALD 공정에 의해 퇴적되었다. WER 곡선(502)은 약 350 Pa의 공정 압력을 사용하여 상기 트렌치 구조물들의 상부 표면들 상에 형성된 상기 SiN 막의 일부분의 식각 성능을 나타낸다. WER 곡선(504)은 약 350 Pa의 공정 압력을 사용하여 상기 트렌치 구조물들의 측벽 표면들 상에 형성된 상기 SiN 막의 일부분의 식각 성능을 나타낸다. 도 5b는 도 5a에 도시된 WER 곡선들(502, 504)의 일부분을 나타낸다.
[150] 도 5a 및 도 5b는 약 350 Pa의 공정 압력에서 퇴적된 SiN 막의 습식 식각율 성능의 RF 파워 의존성을 나타낸다. 예를 들어, 약 600 W보다 작은 RF 파워들에서 상기 트렌치 구조물들의 상부 표면들 상에 퇴적된 SiN 막들의 부분들은 상기 트렌치들의 측벽 표면들 상에 형성된 것보다 우수한 습식 식각율 성능을 나타냈다. 일부 실시예들에 있어서, 습식 식각율 성능의 이러한 차이는 상기 트렌치들의 측벽 표면들 상에서 상기 상부 표면들 상보다 이온 충격이 적은 점에 기인할 수 있다. 약 350 Pa의 공정 압력을 사용하여 퇴적된 SiN 막들에서, 측벽 표면들 상에 형성된 상기 막들의 일부분들의 습식 식각율은 상기 퇴적 공정에서의 증가된 RF 파워와 함께 향상된 한편, 상부 표면들 상에 형성된 상기 막들의 일부분들의 습식 식각율은 저하되었다. 일부 실시예들에 있어서, 측벽 표면들 상에 형성되는 막의 습식 식각율의 이러한 향상은 적어도 부분적으로는 더 높은 RF 파워 공정들에서의 증가된 이온 종들의 밀도에 기인할 수 있다. 일부 실시예들에 있어서, 상부 표면들 상에 형성된 막의 습식 식각율의 저하는 적어도 부분적으로는 더 높은 RF 파워들에서, 예를 들어 상기 상부 표면들의 이온 충격에 대한 과도한 노출에 기인하여, 상기 상부 표면들 상의 막 품질 저하에 기인할 수 있다.
[151] 도 5c의 상기 SiN 막들은 약 3000 파스칼(Pa)의 공정 압력에서 수행된 PEALD 공정을 사용하여 퇴적되었다. WER 곡선(506)은 약 3000 Pa의 공정 압력을 사용하여 상기 트렌치 구조물들의 상부 표면들 상에 형성된 상기 SiN 막들의 일부분들의 식각 성능을 나타낸다. WER 곡선(508)은 약 3000 Pa의 공정 압력을 사용하여 상기 트렌치 구조물들의 측벽 표면들 상에 형성된 상기 SiN 막들의 일부분들의 식각 성능을 나타낸다.
[152] 도 5c에 도시된 것과 같이, 더 높은 압력의 PEALD 공정에서 사용된 더 높은 RF 파워는 측벽 표면들 상에 형성된 SiN 막의 습식 식각율 성능을 향상시킨 한편, 상기 트렌치들의 상부 표면들 상에 형성된 SiN 막의 요구되는 습식 식각율 성능이 유지되었다. 일부 실시예들에 있어서, 더 높은 압력의 공정은, 플라즈마 종들의 충돌을 증가시킴에 의한 이온 충격의 이방성에 기인하여 막 품질에 대한 영향들을 감소시킬 수 있다. 도 5c에서 도시된 것과 같이, 더 높은 압력의 공정을 사용하는 것은 상부 및 측벽 표면들 모두 상에서 형성된 막의 요구되는 막 습식 식각율 성능들을 제공할 수 있다. 예를 들어, 상부 및 측벽 표면들 상에 형성된 SiN 막 부분들의 습식 식각율은 약 0.50 nm/min로부터 약 0.32 nm/min까지 향상될 수 있다.
[153] 도 6a 및 도 6b는 각각 dHF 100:1 습식 식각액 내에 5분간 디핑에 노출되기 이전 및 이후에 트렌치 구조물들 상에 형성된 SiN 막들의 단면도들을 나타내는 주사 전자현미경(scanning electron microscope, SEM) 이미지들이다. 도 6a 및 도 6b의 상기 SiN 막들은 위의 도 5a를 참조로 설명한 공정에 따라 형성되었다.
[154] 도 6c 및 도 6d는 각각 dHF 100:1 습식 식각액 내에 5분간 디핑에 노출되기 이전 및 이후에 트렌치 구조물들 상에 형성된 SiN 막들의 단면도들을 나타내는 SEM 이미지들이며, 여기서 상기 SiN 막들은 위의 도 5c를 참조로 설명한 공정에 따라 형성되었다.
[155] 도 6a 및 도 6c에 도시된 것과 같이, 더 높은 압력의 PEALD 공정을 사용하여 형성된 상기 SiN 막은 더 낮은 압력의 PEALD 공정을 사용하여 형성된 SiN 막에 비교할 때, 습식 식각 디핑 이전에 향상된 콘포말리티(예를 들어 약 92%의 콘포말리티 값)를 나타냈다. 도 6b 및 도 6d에 도시된 것과 같이, 더 높은 PEALD 공정을 사용하여 형성된 상기 SiN 박막의 콘포말리티는 상기 습식 식각 디핑 이후에 유지되었던 한편, 더 낮은 PEALD 공정을 사용하여 형성된 상기 SiN 박막의 콘포말리티는 현저히 감소되었다. 추가적으로, 더 높은 압력의 PEALD 공정을 사용하여 형성된 상기 SiN 박막은 약 1의 습식 식각율 비율(WERR)을 나타냈던 반면, 더 낮은 압력의 PEALD 공정을 사용하여 형성된 상기 SiN 박막은 약 1.55 내지 약 0.26의 WERR(상부 표면들 대 측벽 표면들)을 나타냈다.
SiN 막들의 사용을 위한 특정 맥락들
[156] 여기 설명된 상기 방법들 및 물질들은, 수평의 소스/드레인(S/D) 및 게이트 표면들을 구비하는 전통적인 측방향 트랜지스터 설계들을 위하여 증가된 품질과 향상된 식각 특성들을 갖는 막들을 제공할 수 있을 뿐만 아니라 비-수평적인(예를 들어 수직의) 표면들 상에서, 그리고 복잡한 3차원(3D) 구조물들 상에서의 사용을 위하여 향상된 SiN 막들을 제공할 수 있다. 특정한 실시예들에 있어서, SiN 막들은 집적 회로 제조 동안에 3차원 구조물 상에 상기 설명된 방법들에 의해 퇴적된다. 상기 3차원 트랜지스터는 예를 들어 이중-게이트 전계 효과 트랜지스터들(double-gate field effect transistors, DG FET) 및 FinFET들을 포함하여 다른 타입들의 다중 게이트 FET들을 포함할 수 있다. 예를 들어, 본 개시의 상기 실리콘 질화물 박막들은 FinFET들과 같은 비평면형 다중 게이트 트랜지스터들 내에서 유용할 수 있고, 여기서 상기 게이트, 소스 및 드레인 영역들의 상부에 더하여 수직 벽들 상에 실리사이드(silicide)를 형성하는 것이 요구될 수 있다.
[157] 여기 개시된 SiN 퇴적 기술들이 특별히 유용한 다른 3D 구조물은, Shifren et al.에 의해 미국특허공개번호 제2009/0315120호에서 개시된 것과 같이 3D 상승된 소스/드레인 구조물(3D elevated source/drain structure)이며, 이러한 문헌의 개시는 그 전문이 여기에 참조문헌으로서 병합된다. Shifren et al.은 수직한 측벽들을 포함하는 상승된 소스/드레인 구조물들을 개시한다.
[158] 본 발명의 사상을 벗어나지 않고 다수의 다양한 개조들이 만들어질 수 있음이 당업자에 의해 이해될 것이다. 설명된 피쳐들, 구조물들, 특성들 및 전구체들은 임의의 적합한 방식으로 결합될 수 있다. 그러므로, 본 발명의 형태들이 설명적인 것일 뿐, 본 발명의 범위를 제한하도록 의도되지 않는 점이 명확하게 이해되어야만 한다. 모든 개조들 및 변경들은 첨부된 청구항들에 의해 정의되는 바와 같이 본 발명의 범위 내에 해당될 것이 의도된다.

Claims (20)

  1. 반응 공간 내에서 기판 상에 실리콘 질화물 박막을 형성하는 방법으로서,
    복수의 슈퍼-사이클들을 포함하고, 상기 복수의 슈퍼-사이클들 각각은,
    복수의 실리콘 질화물 퇴적 서브-사이클들로서, 상기 기판을 제1 압력에서 H2SiI2 및 질소 플라즈마와 교대로 및 순차적으로 접촉시키는 단계를 포함하는, 복수의 실리콘 질화물 퇴적 서브-사이클들; 및
    복수의 고압 처리 서브-사이클들로서, 상기 복수의 고압 처리 서브-사이클들 중 적어도 하나는 상기 제1 압력보다 더 크고 20 Torr보다 더 큰 제2 압력에서 상기 기판을 질소 플라즈마와 접촉시키는 단계를 포함하는, 복수의 고압 처리 서브-사이클들을 포함하는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  2. 청구항 1에 있어서,
    상기 질소 플라즈마는 NH3, N2H4, N2/H2 혼합물, N2, 및 이들의 혼합물들로 구성되는 군으로부터 선택되는 반응물 가스로부터 생성되는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  3. 청구항 1에 있어서,
    상기 질소 플라즈마는 N2 및 H2 가스의 혼합물을 포함하는 반응물 가스로부터 생성되는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  4. 청구항 1에 있어서,
    상기 질소 플라즈마는 수소 이온들이 없는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  5. 청구항 1에 있어서,
    상기 실리콘 질화물 박막은 상기 기판 상의 3차원 구조물 상에 퇴적되는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  6. 청구항 5에 있어서,
    상기 3차원 구조물의 상면 상에 형성된 실리콘 질화물의 습식 식각율에 대한 상기 3차원 구조물의 측벽 상에 형성된 상기 실리콘 질화물의 습식 식각율의 습식 식각율 비율은 희석된 HF 내에서 약 0.8 내지 약 1.33인 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  7. 청구항 5에 있어서,
    상기 3차원 구조물의 상면 상에 형성된 실리콘 질화물의 습식 식각율에 대한 상기 3차원 구조물의 측벽 상에 형성된 상기 실리콘 질화물의 습식 식각율의 습식 식각율 비율은 희석된 HF 내에서 1:1인 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  8. 청구항 1에 있어서,
    상기 실리콘 질화물 퇴적 서브-사이클은 상기 적어도 하나의 실리콘 질화물 퇴적 서브-사이클 전체를 통해(throughout) 캐리어 가스를 흘리는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  9. 청구항 1에 있어서,
    상기 실리콘 질화물 퇴적 서브-사이클은 상기 실리콘 질화물 퇴적 서브-사이클 전체를 통해 수소-함유 가스 및 질소-함유 가스를 흘리는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  10. 청구항 9에 있어서,
    상기 수소-함유 가스 및 상기 질소-함유 가스는 상기 질소 플라즈마를 형성하기 위하여 사용되는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  11. 청구항 1에 있어서,
    상기 적어도 하나의 고압 처리 서브-사이클 내에서 상기 반응 공간에 수소-함유 가스가 흐르지 않는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  12. 청구항 1에 있어서,
    상기 적어도 하나의 고압 처리 서브-사이클 전체를 통해 상기 반응 공간에 질소-함유 가스가 흐르는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  13. 청구항 1에 있어서,
    상기 실리콘 질화물 퇴적 서브-사이클 전체를 통해 상기 반응 공간에 질소-함유 가스가 흐르는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  14. 청구항 1에 있어서,
    상기 슈퍼-사이클 전체를 통해 상기 반응 공간에 질소-함유 가스가 흐르는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  15. 청구항 1에 있어서,
    상기 적어도 하나의 고압 처리 서브-사이클은 제1 퍼지 단계, 이를 뒤따르는 플라즈마 단계, 및 그 이후의 제2 퍼지 단계를 포함하는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  16. 청구항 15에 있어서,
    상기 압력은 상기 제1 퍼지 단계 동안 상기 제1 압력으로부터 상기 제2 압력까지 증가되는 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  17. 청구항 1에 있어서,
    상기 제1 압력은 20 Torr보다 작은 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  18. 청구항 1에 있어서,
    상기 제1 압력은 6 Torr보다 작은 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  19. 청구항 1에 있어서,
    상기 제2 압력은 20 Torr 내지 500 Torr인 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
  20. 청구항 1에 있어서,
    상기 제2 압력은 20 Torr 내지 30 Torr인 것을 특징으로 하는 실리콘 질화물 박막의 형성 방법.
KR1020220043679A 2015-08-24 2022-04-08 SiN 박막들의 형성 KR102503837B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230023134A KR20230034247A (ko) 2015-08-24 2023-02-21 SiN 박막들의 형성

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/834,290 US10410857B2 (en) 2015-08-24 2015-08-24 Formation of SiN thin films
US14/834,290 2015-08-24
KR1020160106811A KR102385974B1 (ko) 2015-08-24 2016-08-23 SiN 박막들의 형성

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160106811A Division KR102385974B1 (ko) 2015-08-24 2016-08-23 SiN 박막들의 형성

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230023134A Division KR20230034247A (ko) 2015-08-24 2023-02-21 SiN 박막들의 형성

Publications (2)

Publication Number Publication Date
KR20220047948A true KR20220047948A (ko) 2022-04-19
KR102503837B1 KR102503837B1 (ko) 2023-02-24

Family

ID=58104231

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020160106811A KR102385974B1 (ko) 2015-08-24 2016-08-23 SiN 박막들의 형성
KR1020220043679A KR102503837B1 (ko) 2015-08-24 2022-04-08 SiN 박막들의 형성
KR1020230023134A KR20230034247A (ko) 2015-08-24 2023-02-21 SiN 박막들의 형성

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160106811A KR102385974B1 (ko) 2015-08-24 2016-08-23 SiN 박막들의 형성

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230023134A KR20230034247A (ko) 2015-08-24 2023-02-21 SiN 박막들의 형성

Country Status (4)

Country Link
US (3) US10410857B2 (ko)
JP (3) JP6954726B2 (ko)
KR (3) KR102385974B1 (ko)
TW (3) TWI725985B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190125934A (ko) * 2018-04-30 2019-11-07 에이에스엠 아이피 홀딩 비.브이. 실리콘-하이드로할라이드 전구체를 사용한 SiN의 플라즈마 강화 원자층 증착(PEALD)

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10896852B2 (en) * 2015-09-17 2021-01-19 Intel Corporation Methods for doping a sub-fin region of a semiconductor fin structure and devices containing the same
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10084040B2 (en) * 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) * 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN108728825B (zh) * 2017-04-25 2020-12-01 Asm知识产权私人控股有限公司 沉积薄膜的方法及制造半导体装置的方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
TWI766014B (zh) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11037780B2 (en) 2017-12-12 2021-06-15 Asm Ip Holding B.V. Method for manufacturing semiconductor device with helium-containing gas
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US11393674B2 (en) 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200075325A1 (en) * 2018-08-29 2020-03-05 Asm Ip Holding B.V. Film forming method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110534425B (zh) * 2018-09-18 2022-09-16 北京北方华创微电子装备有限公司 深硅刻蚀方法、深硅槽结构及半导体器件
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20210384028A1 (en) * 2018-10-19 2021-12-09 Lam Research Corporation Method of depositing silicon nitride films
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7209568B2 (ja) * 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP2022534793A (ja) * 2019-06-07 2022-08-03 ラム リサーチ コーポレーション 原子層堆積時における膜特性の原位置制御
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11823907B2 (en) * 2019-10-16 2023-11-21 Wonik Ips Co., Ltd. Processing method for substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) * 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) * 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130086989A (ko) * 2012-01-26 2013-08-05 노벨러스 시스템즈, 인코포레이티드 Uv-보조 등각 막 퇴적을 위한 방법
KR20140016201A (ko) * 2012-07-30 2014-02-07 노벨러스 시스템즈, 인코포레이티드 고 압력, 고 전력 플라즈마 활성화된 컨포멀 막 증착
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
KR20140113477A (ko) * 2013-03-14 2014-09-24 에이에스엠 아이피 홀딩 비.브이. 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
KR20140147086A (ko) * 2012-02-14 2014-12-29 노벨러스 시스템즈, 인코포레이티드 반도체 디바이스 애플리케이션들을 위한 실리콘 질화물 막들
KR20150040769A (ko) * 2013-10-07 2015-04-15 도쿄엘렉트론가부시키가이샤 실리콘 질화물 막의 성막 방법 및 성막 장치
KR20150079470A (ko) * 2013-12-30 2015-07-08 램 리써치 코포레이션 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착

Family Cites Families (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4683147A (en) 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61252932A (ja) 1985-04-30 1986-11-10 Mitsubishi Heavy Ind Ltd ワイヤチエ−ンコネクタ
US4696834A (en) 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH01103844A (ja) 1987-10-16 1989-04-20 Matsushita Electric Ind Co Ltd 絶縁体薄膜の製造方法
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH0570957A (ja) 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3063840B2 (ja) 1997-11-19 2000-07-12 日本電気株式会社 半導体装置およびその製造方法
KR20010032764A (ko) 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR20010074387A (ko) 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP3420205B2 (ja) 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002308643A (ja) 2001-02-01 2002-10-23 Nippon Electric Glass Co Ltd 無アルカリガラス及びディスプレイ用ガラス基板
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
GB0113751D0 (en) 2001-06-06 2001-07-25 Dow Corning Surface treatment
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
CN100471991C (zh) 2002-10-18 2009-03-25 应用材料有限公司 采用硅化合物进行的含硅层沉积
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7524774B2 (en) 2003-09-26 2009-04-28 Tokyo Electron Limited Manufacturing method of semiconductor device, semiconductor manufacturing apparatus, plasma nitridation method, computer recording medium, and program
JP4522916B2 (ja) * 2005-06-27 2010-08-11 東京エレクトロン株式会社 プラズマ窒化処理方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
TW200603287A (en) 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
KR101082921B1 (ko) 2004-06-03 2011-11-11 삼성전자주식회사 반도체 소자의 실리콘 산화막 형성 방법
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060255315A1 (en) 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
JP4546519B2 (ja) 2005-02-17 2010-09-15 株式会社日立国際電気 半導体デバイスの製造方法
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7429538B2 (en) * 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100752190B1 (ko) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 갭필 방법
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100590803C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
KR101417728B1 (ko) 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US7871942B2 (en) 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101266135B1 (ko) 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20120153442A1 (en) 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
KR101491726B1 (ko) 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) * 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN102884638A (zh) * 2010-05-07 2013-01-16 应用材料公司 用于太阳能电池的背侧反射器的氧化物-氮化物堆栈
WO2011162136A1 (en) 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
KR20130044326A (ko) 2010-07-19 2013-05-02 알이씨 실리콘 인코포레이티드 다결정 실리콘 제조
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
TW201306082A (zh) 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
WO2012147680A1 (ja) 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
JP5785325B2 (ja) 2011-06-03 2015-09-30 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 炭素ドープケイ素含有膜を堆積するための組成物及び方法
KR101514231B1 (ko) 2011-08-25 2015-04-22 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US20150031218A1 (en) 2012-03-15 2015-01-29 Tokyo Electron Limited Film forming process and film forming apparatus
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5208294B2 (ja) 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
JP2014060378A (ja) * 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8772101B2 (en) 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
WO2014099502A1 (en) 2012-12-21 2014-06-26 Rec Silicon Inc High-temperature grade steel for fluidized bed reactor equipment
CN103915341B (zh) 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US20140273526A1 (en) 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US8828866B1 (en) 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
KR101723546B1 (ko) 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
KR20170019668A (ko) 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
JP2018525841A (ja) 2015-08-21 2018-09-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温の熱ald及び窒化ケイ素膜
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
CN108140578B (zh) 2015-10-23 2022-07-08 应用材料公司 通过表面毒化处理的由下而上的间隙填充
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
JP6584347B2 (ja) 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11017997B2 (en) 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US9984869B1 (en) 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11955331B2 (en) 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
US20190330736A1 (en) 2018-04-29 2019-10-31 Applied Materials, Inc. Low Temperature Atomic Layer Deposition Of Silicon Nitride
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10381219B1 (en) * 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US20220005693A1 (en) 2020-07-01 2022-01-06 Asm Ip Holding B.V. Silicon nitride and silicon oxide deposition methods using fluorine inhibitor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130086989A (ko) * 2012-01-26 2013-08-05 노벨러스 시스템즈, 인코포레이티드 Uv-보조 등각 막 퇴적을 위한 방법
KR20140147086A (ko) * 2012-02-14 2014-12-29 노벨러스 시스템즈, 인코포레이티드 반도체 디바이스 애플리케이션들을 위한 실리콘 질화물 막들
KR20140016201A (ko) * 2012-07-30 2014-02-07 노벨러스 시스템즈, 인코포레이티드 고 압력, 고 전력 플라즈마 활성화된 컨포멀 막 증착
KR20140113477A (ko) * 2013-03-14 2014-09-24 에이에스엠 아이피 홀딩 비.브이. 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
KR20150040769A (ko) * 2013-10-07 2015-04-15 도쿄엘렉트론가부시키가이샤 실리콘 질화물 막의 성막 방법 및 성막 장치
KR20150079470A (ko) * 2013-12-30 2015-07-08 램 리써치 코포레이션 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190125934A (ko) * 2018-04-30 2019-11-07 에이에스엠 아이피 홀딩 비.브이. 실리콘-하이드로할라이드 전구체를 사용한 SiN의 플라즈마 강화 원자층 증착(PEALD)

Also Published As

Publication number Publication date
JP7309962B2 (ja) 2023-07-18
TW202126842A (zh) 2021-07-16
JP2022110017A (ja) 2022-07-28
US11133181B2 (en) 2021-09-28
KR20170023727A (ko) 2017-03-06
KR102503837B1 (ko) 2023-02-24
US11784043B2 (en) 2023-10-10
JP2021168410A (ja) 2021-10-21
US20220044923A1 (en) 2022-02-10
JP6954726B2 (ja) 2021-10-27
TWI789733B (zh) 2023-01-11
JP2017079327A (ja) 2017-04-27
TW201708592A (zh) 2017-03-01
KR20230034247A (ko) 2023-03-09
TW202317808A (zh) 2023-05-01
TWI725985B (zh) 2021-05-01
JP7069387B2 (ja) 2022-05-17
US20190378711A1 (en) 2019-12-12
US10410857B2 (en) 2019-09-10
KR102385974B1 (ko) 2022-04-13
US20170062204A1 (en) 2017-03-02

Similar Documents

Publication Publication Date Title
KR102503837B1 (ko) SiN 박막들의 형성
JP7158616B2 (ja) SiNの堆積
JP7383106B2 (ja) 低温でのSiNの堆積用Si前駆体
US20170133216A1 (en) Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant