KR20090015158A - 플라즈마 처리 방법 및 플라즈마 처리 장치 - Google Patents

플라즈마 처리 방법 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20090015158A
KR20090015158A KR1020087031919A KR20087031919A KR20090015158A KR 20090015158 A KR20090015158 A KR 20090015158A KR 1020087031919 A KR1020087031919 A KR 1020087031919A KR 20087031919 A KR20087031919 A KR 20087031919A KR 20090015158 A KR20090015158 A KR 20090015158A
Authority
KR
South Korea
Prior art keywords
film
silicon nitride
plasma processing
nitride film
plasma
Prior art date
Application number
KR1020087031919A
Other languages
English (en)
Other versions
KR101081783B1 (ko
Inventor
다다시 시마즈
마사히코 이노우에
도시히코 니시모리
유이치 가와노
Original Assignee
미츠비시 쥬고교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미츠비시 쥬고교 가부시키가이샤 filed Critical 미츠비시 쥬고교 가부시키가이샤
Publication of KR20090015158A publication Critical patent/KR20090015158A/ko
Application granted granted Critical
Publication of KR101081783B1 publication Critical patent/KR101081783B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

높은 압축 스트레스를 갖는 질화실리콘막을 성막할 수 있는 플라즈마 처리 방법 및 플라즈마 처리 장치를 제공한다. 그 때문에, 실리콘 및 수소를 함유하는 원료 가스와 질소 가스의 플라즈마에 의해, 처리 대상인 기판에 대하여 질화실리콘막을 성장시키는 플라즈마 처리 방법에 있어서, 질화실리콘막을 성장시키는 동안, 원료 가스 중의 수소와 질소 가스의 결합 상태인 N-H 결합을 절단하기 위한 이온 에너지를 처리 대상인 기판에 인가하여, 질화실리콘막의 막 중에 포함되는 N-H 결합량을 저감시켰다.
Figure P1020087031919
질화실리콘막, 원료 가스, 질소 가스, 이온 에너지, 바이어스 전력

Description

플라즈마 처리 방법 및 플라즈마 처리 장치{PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS}
기술분야
본 발명은 플라즈마 처리 방법 및 플라즈마 처리 장치에 관한 것이다.
배경기술
종래, 플라즈마 CVD 법은 반도체 제조 공장에 있어서, 반응 용기 내에 수납되는 반도체 웨이퍼 등의 피처리 기판 상에 절연막을 형성하는 데 자주 사용되고 있다. 플라즈마 CVD 법을 사용하는 경우, 피처리 기판을 탑재하는 서셉터와, 반응 용기를 구성하는 페이스 플레이트 사이에 RF 전력을 인가하고, 반응 용기 내에 플라즈마를 생성시킨 상태로 하여 절연막 형성용 가스를 도입하며, 이로써 피처리 기판의 표면 상에 절연막이 형성된다. 이 방식의 플라즈마 CVD 장치는 용량 결합형 플라즈마 CVD 장치라고 불리고 있고, 가장 일반적인 플라즈마 CVD 장치이다. 이 때, 절연막은 막 박리나 소자 특성에 대한 영향이 잘 발생하지 않도록, 200MPa 이하의 압축 응력막인 것이 바람직하다. 이와 같은 기술의 일례가 하기 특허 문헌 1 에 개시되어 있다.
하기 특허 문헌 1 에서는, 플라즈마를 500W 이하라는 낮은 RF 전력으로 생성하고, 절연막을 500㎚/min 이하라는 성막 속도로 형성하고 있으며, 이로써 형성된 절연막의 압축 응력은 100MPa 정도로 되어 있다. 트랜지스터 등의 소자 간 및 배선 간의 절연이나, 수분 등의 침입을 방지하는 목적에서 성막을 실시하는 보호막에 대해서도, 막의 응력은 200MPa 이하가 요구되었다.
[특허 문헌 1] 일본 특허 제3725100호
발명의 개시
발명이 해결하고자 하는 과제
LSI 에 사용되는 MOS 형 트랜지스터 (구조가 금속 (Metal), 산화물 (절연체) (Oxide), 반도체 (Semiconductor) 로 되어 있는 점에서, 그 세 가지의 요소 이름을 따서 M0S 형 트랜지스터라고 부르고 있다) 는 치수의 미세화에 따라 트랜지스터 게이트부의 면적이 작아짐으로써 기생 용량이 작아지고, 이것에 수반하여 과도 응답에 의한 동작 지연이 억제되어 회로 동작 속도의 향상으로 이어졌다. LSI 개발은 보다 미세한 소자의 실현을 목표로 하여 격렬한 경쟁이 계속되고 있다. 그러나, 트랜지스터 구조에 사용되고 있는 게이트 산화막은 이미 물리적 한계 가까이까지 박막화되고, 소자 간 및 배선 간의 절연막도 박막화가 진행되고 있어, LSI 내부에서 발생하는 리크 전류가 대폭 증대되는 것이 소비 전력의 증가로서 문제시되고 있다. 이 대책으로서 전원 전압을 저전압화하는 것이 실시되고 있는데, 단순히 저전압화할 뿐이면, 트랜지스터의 동작 전류가 작아져 동작 속도의 지연을 초래하게 된다. 이상과 같은 문제점의 대책을 위해서는, 소형화와 함께 M0S 형 트랜지스터의 동작 전류를 높이는 소자 특성의 개량이 필요하고, 이 대책의 하나로서 M0S 형 트랜지스터의 게이트부에 변형을 인가하는 기술이 채용되기 시작하였다. 이것은, M0S 형 트랜지스터의 전자 및 정공의 통로인 게이트부에 변형을 인가함 으로써, 전자나 정공의 이동도가 높아지는 원리를 이용하고 있다. 45㎚ 노드의 트랜지스터에 필요한 응력은 2㎬ ∼ 3㎬ 로 예상되고 있고, 이 응력을 MOS 트랜지스터의 게이트부에 인가하는 기술로서, 응력이 높은 질화실리콘막을 M0S 트랜지스터에 성막하는 기술이 개발되고 있다.
그러나, 상기 특허 문헌 1 에 개시되어 있는 바와 같이, 일반적인 절연막의 압축 응력은 100MPa 정도이며, 현재 요구되고 있는 2 ∼ 3㎬ 와는 먼 값이다.
이런 점에서, 본 발명은 높은 압축 응력을 갖는 질화실리콘막을 생성할 수 있는 플라즈마 처리 방법 및 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
과제를 해결하기 위한 수단
상기 과제를 해결하기 위한 제 1 발명에 관련된 플라즈마 처리 방법은,
실리콘 및 수소를 함유하는 원료 가스와 질소 가스의 플라즈마에 의해, 처리 대상인 기판에 대하여 질화실리콘막을 성장시키는 플라즈마 처리 방법에 있어서,
상기 질화실리콘막을 성장시키는 동안, 상기 원료 가스 중의 수소와 상기 질소 가스의 결합 상태인 N-H 결합을 절단하기 위한 이온 에너지를 처리 대상인 상기 기판에 인가하여, 상기 질화실리콘막의 막 중에 포함되는 N-H 결합량을 저감시키는 것을 특징으로 한다.
상기 과제를 해결하기 위한 제 2 발명에 관련된 플라즈마 처리 방법은, 제 1 발명에 관련된 플라즈마 처리 방법에 있어서, 바이어스 전력을 변화시켜 이온 에너지를 변화시키는 것을 특징으로 한다.
상기 과제를 해결하기 위한 제 3 발명에 관련된 플라즈마 처리 방법은, 제 2 발명에 관련된 플라즈마 처리 방법에 있어서, RF 전력을 변화시켜 이온 에너지를 변화시키는 것을 특징으로 한다.
상기 과제를 해결하기 위한 제 4 발명에 관련된 플라즈마 처리 방법은, 제 2 발명 또는 제 3 발명에 관련된 플라즈마 처리 방법에 있어서, 압력을 변화시켜 이온 에너지를 변화시키는 것을 특징으로 한다.
상기 과제를 해결하기 위한 제 5 발명에 관련된 플라즈마 처리 방법은, 제 2 발명에 관련된 플라즈마 처리 방법에 있어서, 가스 공급량을 변화시켜 이온 에너지를 변화시키는 것을 특징으로 한다.
상기 과제를 해결하기 위한 제 6 발명에 관련된 플라즈마 처리 방법은, 제 3 발명에 관련된 플라즈마 처리 방법에 있어서, 가스 공급량을 변화시켜 이온 에너지를 변화시키는 것을 특징으로 한다.
상기 과제를 해결하기 위한 제 7 발명에 관련된 플라즈마 처리 방법은, 제 4 발명에 관련된 플라즈마 처리 방법에 있어서, 가스 공급량을 변화시켜 이온 에너지를 변화시키는 것을 특징으로 한다.
상기 과제를 해결하기 위한 제 8 발명에 관련된 플라즈마 처리 장치는,
진공 용기의 내부에 실리콘 및 수소를 함유하는 원료 가스를 공급하는 원료 가스 공급 수단과,
진공 용기의 내부에 질소 가스를 공급하는 질소 가스 공급 수단과,
상기 진공 용기 내의 압력을 제어하는 압력 제어 수단과,
상기 진공 용기의 내부에 상기 원료 가스와 상기 질소 가스에 RF 전력을 인 가하여 플라즈마를 발생시키는 플라즈마 발생 수단과,
상기 진공 용기 내부의 하방에, 처리 대상이 되는 기판을 지지하는 가열 기능을 갖는 지지 수단과,
상기 지지 수단에 바이어스 전력을 인가하는 바이어스 전력 인가 수단과,
상기 바이어스 전력 인가 수단의 상기 바이어스 전력, 상기 플라즈마 발생 수단의 상기 RF 전력, 상기 압력 제어 수단의 상기 압력, 및 상기 원료 가스 공급 수단과 상기 질소 가스 공급 수단의 가스 공급량을 각각 제어하는 파라미터 제어 수단을 구비하고,
상기 파라미터 제어 수단으로 상기 바이어스 전력, 상기 RF 전력, 상기 압력, 및 상기 가스 공급량을 제어함으로써 질화실리콘막을 성장시키는 동안, 상기 원료 가스 중의 수소와 상기 질소 가스의 결합 상태인 N-H 결합을 절단하기 위한 이온 에너지를 처리 대상인 상기 기판에 인가하여, 상기 질화실리콘막의 막 중에 포함되는 N-H 결합량을 저감시키는 것을 특징으로 한다.
발명의 효과
제 1 발명에 의하면, 실리콘 및 수소를 함유하는 원료 가스와 질소 가스의 플라즈마에 의해, 처리 대상인 기판에 대하여 질화실리콘막을 성장시키는 플라즈마 처리 방법에 있어서,
상기 질화실리콘막을 성장시키는 동안, 상기 원료 가스 중의 수소와 상기 질소 가스의 결합 상태인 N-H 결합을 절단하기 위한 이온 에너지를 처리 대상인 상기 기판에 인가하여, 상기 질화실리콘막의 막 중에 포함되는 N-H 결합량을 저감시킴으 로써 질화실리콘막을 치밀한 막으로 할 수 있게 하여 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
제 2 발명에 의하면, 제 1 발명에 의한 효과에 추가로, 바이어스 전력을 변화시켜 이온 에너지를 변화시킴으로써 질화실리콘막의 막 중 N-H 결합량을 효율적으로 저감시킬 수 있기 때문에, 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
제 3 발명에 의하면, 제 2 발명에 의한 효과에 추가로, RF 전력을 변화시켜 이온 에너지를 변화시킴으로써 공급되고 있는 질소의 분해를 확실히 실시할 수 있기 때문에, 질화실리콘막의 막 중 N-H 결합량을 보다 효율적으로 저감시킬 수 있다. 이로써, 질화실리콘막의 막 중 N-H 결합량을 효율적으로 저감시킬 수 있기 때문에, 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
제 4 발명에 의하면, 제 2 발명 또는 제 3 발명에 의한 효과에 추가로, 압력을 변화시켜 이온 에너지를 변화시킴으로써 바이어스 전력 인가시에 이온끼리의 충돌이 감소되어 이온을 효율적으로 기판 표면으로 끌어 들일 수 있기 때문에, 질화실리콘막의 막 중 N-H 결합량을 보다 효율적으로 저감시킬 수 있다. 이로써, 질화실리콘막의 막 중 N-H 결합량을 효율적으로 저감시킬 수 있기 때문에 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
제 5 발명 내지 제 7 발명에 의하면, 제 2 발명 내지 제 4 발명 중 어느 하나에 의한 효과에 추가로, 가스 공급량을 변화시켜 이온 에너지를 변화시킴으로써 실리콘과 질소가 결합할 확률이 향상되기 때문에 질화실리콘막의 막 중 N-H 결합량 을 보다 효율적으로 저감시킬 수 있다. 이로써, 질화실리콘막의 막 중 N-H 결합량을 효율적으로 저감시킬 수 있기 때문에 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
제 8 발명에 의하면, 진공 용기의 내부에 실리콘 및 수소를 함유하는 원료 가스를 공급하는 원료 가스 공급 수단과, 진공 용기의 내부에 질소 가스를 공급하는 질소 가스 공급 수단과, 진공 용기 내의 압력을 제어하는 압력 제어 수단과, 진공 용기 내부의 원료 가스와 질소 가스에 RF 전력을 인가하여 플라즈마를 발생시키는 플라즈마 발생 수단과, 진공 용기 내부의 하방에, 처리 대상이 되는 기판을 지지하는 가열 기능을 갖는 지지 수단과, 지지 수단에 바이어스 전력을 인가하는 바이어스 전력 인가 수단과, 바이어스 전력 인가 수단의 바이어스 전력, 플라즈마 발생 수단의 RF 전력, 압력 제어 수단의 압력, 및 원료 가스 공급 수단과 질소 가스 공급 수단의 가스 공급량을 각각 제어하는 파라미터 제어 수단을 구비하고, 파라미터 제어 수단에 의해 바이어스 전력, RF 전력, 압력, 및 가스 공급량을 제어함으로써 질화실리콘막을 성장시키는 동안, 원료 가스 중의 수소와 질소 가스의 결합 상태인 N-H 결합을 절단하기 위한 이온 에너지를 처리 대상인 기판에 인가하여, 질화실리콘막의 막 중에 포함되는 N-H 결합량을 저감시킴으로써 질화실리콘막의 막 중 N-H 결합량을 저감시킬 수 있다. 이로써, 질화실리콘막의 막 중 N-H 결합량을 효율적으로 저감시킬 수 있기 때문에 질화실리콘막을 치밀한 막으로 할 수 있게 하여 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
도면의 간단한 설명
도 1 은 본 발명의 일 실시형태에 관련된 플라즈마 처리 장치의 구성도이다.
도 2 는 본 발명의 일 실시형태에 관련된 바이어스 전력과 막 스트레스의 관계를 나타낸 도면이다.
도 3 은 FTIR 특성예를 나타낸 도면이다.
도 4 는 본 발명의 일 실시형태에 관련된 막 스트레스와 NH 함유량의 관계를 나타낸 도면이다.
도 5 는 본 발명의 일 실시형태에 관련된 N2/SiH4 와 막 스트레스의 관계를 나타낸 도면이다.
도 6 은 본 발명의 일 실시형태에 관련된 성막 압력과 막 스트레스의 관계를 나타낸 도면이다.
도 7 은 막 중 N-H 결합량과 SiN 막 스트레스의 관계를 나타낸 도면이다.
도 8 은 전자 충돌에 의한 CH4 분자의 해리성 여기를 나타낸 도면이다.
*도면의 주요 부분에 대한 부호의 설명*
1 플라즈마 처리 장치
10 진공 용기
11 통형상 용기
12 천정판
13 진공 장치
14 플라즈마
15 RF 안테나
16, 26 정합기
17 RF 전원
18 원료 가스 공급 노즐
19 N2 공급 노즐
20 기판
21 웨이퍼 지지대
22 탑재부
23 지지축
24 히터
25 콘덴서
27 바이어스 전원
28 정전 전원
29 로우 패스 필터 (LPF)
발명을 실시하기 위한 최선의 형태
본 발명에 관련된 플라즈마 처리 방법, 및 플라즈마 처리 장치에 대하여 도 1 내지 도 8 을 이용하여 설명한다. 도 1 은 본 발명의 일 실시형태에 관련된 플라즈마 처리 장치의 구성도, 도 2 는 본 발명의 일 실시형태에 관련된 바이어스 전력과 막 스트레스의 관계를 나타낸 도면, 도 3 은 FTIR 특성예를 나타낸 도면, 도 4 는 본 발명의 일 실시형태에 관련된 막 스트레스와 NH 함유량의 관계를 나타낸 도면, 도 5 는 본 발명의 일 실시형태에 관련된 N2/SiH4 와 막 스트레스의 관계를 나타낸 도면, 도 6 은 본 발명의 일 실시형태에 관련된 성막 압력과 막 스트레스의 관계를 나타낸 도면, 도 7 은 막 중 N-H 결합량과 SiN 막 스트레스의 관계를 나타낸 도면, 도 8 은 전자 충돌에 의한 CH4 분자의 해리성 여기를 나타낸 도면이다.
도 7 에 마그네트론 플라즈마 CVD 와 플라즈마 CVD (용량 결합형 플라즈마 CVD) 에 있어서의, 막 중 N-H 결합량과 SiN 막 스트레스의 관계를 나타낸다. 여기에서 가로축은 막 중의 N-H 결합량, 세로축은 SiN 막의 스트레스를 나타낸다. 또, 세로축인 SiN 막의 스트레스는 0 보다 큰 값은 인장 방향의 스트레스를 나타내고, 0 보다 작은 값은 압축 방향의 스트레스를 나타내고 있다.
도 7 에 나타내는 바와 같이, N-H 결합이 증가하면 막의 스트레스는 인장 방향으로 강해지고, 반대로 N-H 결합이 감소하면 막의 스트레스는 압축 방향으로 강해지는 것을 알 수 있다. 즉, 압축 스트레스를 높이기 위해서는 SiN 막 중의 N-H 결합량을 저감시키는 것이 매우 효과적인 것을 알 수 있다. 또, 이 경향은 마그네트론 플라즈마 CVD 와 플라즈마 CVD (용량 결합형 플라즈마 CVD) 에서 동일한 경향을 나타내고 있어 플라즈마 처리 장치의 종류와 관계 없다는 것을 시사하고 있다.
상기 서술한 막 중의 N-H 결합량을 저감시키는 방법으로는, 이하의 세 가지 를 생각할 수 있다.
(1) N-H 결합을 갖지 않는 원료 가스의 선정
(2) N-H 결합을 가능한 한 분해하는 플라즈마 전력의 인가
(3) N-H 결합 에너지와 동일한 이온 에너지를 갖는 이온을 N-H 결합에 충돌시켜 효율적으로 N-H 결합을 절단
상기 방법 중 (1), (2) 에 대해서는 고밀도형 플라즈마 처리 장치를 사용함으로써 실현할 수 있다. (1) 의 가스 선정은 실리콘을 함유하는 원료 가스로는 LSI 프로세스에서 가장 실적이 높은 SiH4 를, N 을 함유하는 원료 가스는 NH3 이 일반적인데, NH3 은 N-H 결합을 갖기 때문에 N2 가스를 이용하는 것이 바람직하다. 용량 결합형 플라즈마 CVD 장치의 고주파 전력 (RF 전력) 은 1㎾ 이하로 N2 가스를 분해하는 데에는 전력이 부족하나, 고밀도형 플라즈마 처리 장치는 1㎾ 이상의 고주파 전력을 인가할 수 있기 때문에 N2 가스를 분해하여 사용할 수 있다.
도 8 에 전자 충돌에 의한 CH4 분자의 해리성 여기의 데이터를 나타낸다. 여기에서, 가로축은 전자의 충돌 에너지, 세로축은 여기되는 수소 원자의 양을 나타낸다. 도 8 에 나타내는 바와 같이, 분자의 결합을 절단하기 (H 를 절단하기) 위해 필요한 충돌 에너지에는 최적값이 존재하는 것을 알 수 있다. 도 8 로부터, 이 최적값은 약 100eV 부근으로 되어 있는 것을 알 수 있다. 또, 단순히 충돌 에너지가 크면 보다 많은 H 를 절단할 수 있는 것은 아니라는 것도 알 수 있다. 이는 충돌 에너지가 지나치게 높은 경우에는 기판 내부로 숨어들기 때문인 것으로 생각된다. 이것이 이온인 경우, 과잉된 충돌 에너지는 Si-N 결합까지 절단하거나, 스퍼터링에 의한 막의 물리 에칭을 일으키거나 하는 등, 수소의 결합을 선택적으로 절단하는 목적에서 크게 일탈되어 버린다. 또, 과잉 바이어스 전력은 반대로 수소 이온을 끌어 들여 SiN 막 중의 N-H 결합량을 증대시킬 가능성도 생각할 수 있다.
SiN 막의 성막 처리 중에 수소를 선택적으로 뽑아 내기 위해서는, SiN 막의 성막시에 있어서의 바이어스 전력의 인가에 의한, 성막 대상인 기판 표면에 대한 이온 끌어 들임의 이용이 적합하다. 고밀도형 플라즈마 처리 장치에서는, 미세한 갭에 대한 매립 성능을 높이기 위해서, 성막시에 바이어스 전압을 인가하여 에칭을 성막과 동시에 실시하는 기술이 있고, 고밀도형 플라즈마 처리 장치로 바이어스 전압을 인가하는 것은 알려져 있다. 단, 이것은 SiOx 성막에서 사용되고 있는 기술이다.
여기에서 가장 중요한 것은, 에칭에 필요한 바이어스 전력은 직경 200㎜ 의 웨이퍼인 경우에도 3㎾ 에 도달하고, 충돌 에너지에 대해서는 일반적으로 스퍼터 효율이 높은 200eV 부근으로 설정된다. 이와 같은 조건을 SiN 막의 성막에 단순히 적용해도, 도 2 로부터도 분명한 바와 같이 최적인 Si-H 나 N-H 결합의 감소를 일으킬 수는 없다. 요컨대, 종래의 고밀도형 플라즈마 CVD 장치의 기본 사양으로는, 수소 결합을 절단하는 목적에서의 바이어스 전력의 적용은 알려져 있지 않았다.
이하, 본 발명의 일 실시형태에 관련된 플라즈마 처리 장치의 구성에 대하여 설명한다. 도 1 에 본 발명의 일 실시형태에 관련된 플라즈마 처리 장치의 구성도를 나타낸다. 도 1 에 나타내는 바와 같이, 플라즈마 처리 장치 (1) 는 높은 진공도를 유지할 수 있는 진공 용기 (10) 를 구비하고 있다. 이 진공 용기 (10) 는 통형상 용기 (11) 의 상부에 천정판 (12) 을 장착함으로써 외기 (外氣) 로부터 밀폐된 공간을 형성할 수 있다.
진공 용기 (10) 에는, 진공 용기 (10) 의 내부를 진공 상태로 유지하는 진공 장치 (13) 가 설치되어 있다. 천정판 (12) 의 상부에는 플라즈마 (14) 를 생성시키는 RF 안테나 (15) 가 설치되어 있다. 이 RF 안테나 (15) 에는, 정합기 (16) 를 통해 고주파 전원인 RF 전원 (17) 이 접속되어 있다. 즉, RF 전원 (17) 으로부터 공급된 RF 전력은 RF 안테나 (15) 에 의해 플라즈마 (14) 에 공급된다.
통형상 용기 (11) 의 측벽 상부에는, 성막하는 막의 원료가 되는 원료 가스를 진공 용기 (10) 내에 공급하는 원료 가스 공급 노즐 (18) 이 설치되어 있다. 본 실시형태에서는, 원료 가스로서 SiH4 를 공급한다. 또한, 통형상 용기 (11) 의 측벽 상부에는, N2 를 진공 용기 (10) 내에 공급하는 N2 공급 노즐 (19) 이 설치되어 있다. 이로써, 진공 용기 (10) 의 내부 상방에는 SiH4 와 N2 의 플라즈마 (14) 가 생성되게 된다.
통형상 용기 (11) 내의 하방에는, 성막 대상인 기판 (20) 을 유지하는 웨이 퍼 지지대 (21) 가 설치되어 있다. 이 웨이퍼 지지대 (21) 는 기판 (20) 을 탑재하는 탑재부 (22) 와, 이 탑재부 (22) 를 지지하는 지지축 (23) 에 의해 구성되어 있다. 탑재부 (22) 의 내부에는 가열을 위한 히터 (24) 가 설치되어 있다. 이로써, 플라즈마 처리 중인 기판 (20) 의 온도를 원하는 온도로 제어할 수 있다. 기판이 실리콘 웨이퍼인 경우, 열팽창 계수는 SiN 보다 실리콘이 크기 때문에, 처리 중 온도가 높을수록 상온으로 되돌아올 때의 수축량의 차이에 의해 압축측에 응력이 발생하는데, 처리 온도의 상한은 LSI 의 적용 부위로 정해진다. 예를 들어, 배선 공정 후에 처리하는 경우, 배선 재료에 대한 영향을 고려하여 400℃ 이하가 일반적인 처리 온도로 되어 있다. 트랜지스터의 특성 개선 목적에서 성막하는 트랜지스터 근방의 SiN 막은 400℃ ∼ 500℃ 가 일반적이다.
탑재부 (22) 에는, 기판 (20) 에 대하여 바이어스 전압을 인가할 수 있도록 콘덴서 (25) 및 정합기 (26) 를 통해 바이어스 전원 (27) 이 접속되어 있다. 이로써, 기판 (20) 의 표면에 플라즈마 (14) 중으로부터 이온을 끌어 들일 수 있다. 또한, 탑재부 (22) 에는, 기판 (20) 을 정전기력으로 유지할 수 있도록 정전 전원 (28) 이 접속되어 있다. 정전 전원 (28) 에 대한 RF 전원 (17) 이나 바이어스 전원 (27) 의 전력이 돌아 들어가지 않도록, 로우 패스 필터 (LPF) (29) 를 통해 탑재부 (22) 에 접속되어 있다.
또, 바이어스 전원 (27) 의 바이어스 전력과, RF 전원 (17) 의 RF 전력과, 진공 장치 (13) 의 압력과, 원료 가스 공급 노즐 (18) 및 N2 가스 공급 노즐 (19) 의 가스 공급량을 각각 제어할 수 있는 파라미터 제어 장치 (도시 생략) 가 설치되어 있다.
본 실시형태에 있어서는, 파라미터 제어 장치에 의해 바이어스 전력, RF 전력, 압력, 및 가스 공급량의 각 파라미터를 각각 제어함으로써 높은 압축 응력을 갖는 질화실리콘막을 생성하였다. 이하, 본 실시형태에 관련된 플라즈마 처리 방법에 대하여 상세히 서술한다.
본 실시형태에 관련된 플라즈마 처리 방법에서는, 고밀도 플라즈마 처리 장치에 저출력의 바이어스 전원을 채용하여, N-H 결합을 절단하기 위해서 필요한 최적 바이어스를 구하기 위해 SiN 막의 압축 스트레스 개선 실험을 실시하였다. 바이어스 전원은 300㎜ 사양의 장치에서도 500W 전원으로 충분해진다.
도 2 에 본 발명의 일 실시형태에 관련된 바이어스 전력과 막 스트레스의 관계를 나타낸다. 여기에서, 가로축을 바이어스 전력 (W), 세로축을 막 중 스트레스 (MPa) 로 한다. 또, 실험은 상기 서술한 본 발명의 일 실시형태에 관련된 플라즈마 처리 장치에 있어서 실시하고, 직경 200㎜ 의 웨이퍼를 사용하며, 성막 조건은 SiH4=50sccm, N2=100sccm, RF 전력=2000W, 처리 온도 250℃, 압력=2Pa 이하로 하였다.
도 2 에 나타내는 바와 같이, SiN 막의 압축 스트레스 개선 실험에 의해, 막 스트레스는 불과 75W 의 바이어스 전력을 인가함으로써 비약적으로 개선되는 것이 확인되었다. 또한, 바이어스 전력을 보다 높게 하면 압축 스트레스는 저하되어 가는 것도 확인되었다. 또, 바이어스 전력이 45W 내지 140W 사이에서는 막 스트레스는 -2000MPa 이상이 되어, 이 범위 내이면 높은 압축 스트레스가 얻어진다는 것이 확인되었다. 고밀도 플라즈마 처리 장치의 통상적인 사용 조건 하에서는, 바이어스 전력은 500W 내지 3000W 부근으로 설정하기 때문에, 이와 같은 바이어스 조건을 알아내는 것은 매우 곤란하다.
또한, 인가하는 바이어스 전력은 웨이퍼의 단위 면적당 바이어스 전력과 동일하면 된다. 다시 말하면, 웨이퍼의 단위 면적당 바이어스 전력이 동일해지도록 바이어스 전력을 인가하기만 하면 어떠한 웨이퍼의 직경이라도 상기 조건을 적용할 수 있다. 이 때문에, 직경이 300㎜ 인 웨이퍼의 경우, 300W 정도까지가 유효한 값이라고 할 수 있다.
또, SiN 막 중의 N-H 결합량은 FTIR (푸리에 변환 적외 분광 광도계) 계측에 의해 구할 수 있다. 도 3 에 FTIR 특성예를 나타낸다. 도 3 에 나타내는 바와 같이 N-H 결합의 피크를 확인할 수 있다.
여기에서, 바이어스 전력을 변경하여, 실제로 스트레스값의 제어를 실시했을 때의 압축 스트레스와 막 중의 N-H 함유량의 관계를 측정하였다. 도 4 에 본 발명의 일 실시형태에 관련된 막 스트레스와 NH 함유량의 관계를 나타낸다. 여기에서, 가로축을 SiN 막의 스트레스 (GPa), 세로축을 NH 함유량 (1020/㎤) 으로 하였다. 도 4 에 나타내는 바와 같이, 불과 수백 W 이하의 낮은 바이어스 전압을 인가함으로써 N-H 결합량이 저감되어 압축 스트레스를 높일 수 있는 것이 확인되었 다.
다음으로, SiH4 공급량에 대한 N2 공급량의 비율인 가스 유량 비율과 막 스트레스의 관계에 대해서도 측정하였다. 도 5 에 N2/Si4 와 막 스트레스의 관계를 나타낸다. 여기에서, 가로축을 N2/SiH4, 세로축을 SiN 막의 스트레스 (MPa) 로 하였다. 도 5 에 나타내는 바와 같이, N2 의 공급량은 SiH4 의 공급량에 대하여 3 배 이상의 비율로 첨가하면 되는 것을 알 수 있다.
다음으로, 성막시의 압력과 막 스트레스의 관계에 대해서도 측정하였다. 도 6 에 본 발명의 일 실시형태에 관련된 성막시의 압력과 막 스트레스의 관계를 나타낸다. 여기에서, 가로축을 성막시의 압력 (mTorr), 세로축을 SiN 막의 스트레스 (MPa) 로 하였다. 도 6 에 나타내는 바와 같이, 압축 스트레스를 높이기 위해서는 성막시의 압력은 낮을수록 좋다. 그리고, SiN 막의 스트레스를 -3000MPa 이상, 즉 압축 스트레스를 3㎬ 이상으로 하기 위해서는, 성막시의 압력은 15mTorr 이하, 즉 2Pa 이하로 하면 되는 것을 알 수 있다.
이러한 점에서, 높은 압축 스트레스를 갖는 SiN 을 형성하기 위한 조건으로서, 공급하는 가스종은 SiH4 와 N2 로 하고, RF 전력은 2000W 이상으로 하고, 바이어스 전력은 45 내지 300W 로 하고, N2 의 공급량은 SiH4 의 공급량에 대하여 3 배 이상의 비율로 첨가하며, 압력은 2Pa 이하로 하면 된다라는 결론이 얻어졌다.
이와 같이, 본 실시형태에 관련된 플라즈마 처리 방법에 의하면, 실리콘을 함유하는 원료 가스와 질소 가스의 플라즈마에 의해, 처리 대상인 기판에 대하여 플라즈마 처리를 실시하는 플라즈마 처리 방법에 있어서, 질화실리콘막의 처리시에 바이어스 전력을 인가하여, 질화실리콘막의 막 중 N-H 결합량을 저감시킴으로써 질화실리콘막의 막 중 N-H 결합량을 저감시킬 수 있다. 또, 질화실리콘막의 막 중 N-H 결합량을 저감시킴으로써 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
또, 바이어스 전력을 직경 200㎜ 당 45W 내지 140W 로 하고, 바이어스 전력을 변화시켜 이온 에너지를 변화시킴으로써 질화실리콘막의 막 중 N-H 결합을 효율적으로 절단할 수 있다. 이로써, 질화실리콘막의 막 중 N-H 결합량을 저감시킬 수 있기 때문에 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
또, RF 전력을 직경 200㎜ 당 2㎾ 이상으로 하고, RF 전력을 변화시켜 이온 에너지를 변화시킴으로써 공급되고 있는 질소의 분해를 확실히 실시할 수 있기 때문에, 보다 효율적으로 질화실리콘막의 막 중 N-H 결합량을 저감시킬 수 있다.
또, 압력을 2Pa 이하로 하고, 압력을 변화시켜 이온 에너지를 변화시킴으로써 바이어스 전력 인가시에 이온끼리의 충돌이 감소되기 때문에, 이온을 효율적으로 기판 표면으로 끌어 들일 수 있어 N-H 결합을 효율적으로 절단할 수 있다.
또, 실리콘을 함유하는 원료 가스의 공급량에 대하여, 질소 가스의 공급량을 3 배 이상으로 하고, 가스 공급량을 변화시켜 이온 에너지를 변화 시킴으로써 실리콘과 질소가 결합하는 확률이 향상되기 때문에 질화실리콘막의 막 중 N-H 결합량을 저감시킬 수 있다.
또, 본 실시형태에 관련된 플라즈마 처리 장치에 의하면, 진공 용기 (10) 의 내부에 실리콘 및 수소를 함유하는 원료 가스를 공급하는 원료 가스 공급 노즐 (18) 과, 진공 용기 (10) 의 내부에 질소 가스를 공급하는 N2 공급 노즐 (19) 과, 진공 용기 (10) 내의 압력을 제어하는 진공 장치 (13) 와, 진공 용기 (10) 내부의 원료 가스와 질소 가스에 RF 전력을 인가하여 플라즈마를 발생시키는 RF 안테나 (15), 및 RF 전원 (17) 등과, 진공 용기 (10) 내부의 하방에, 처리 대상이 되는 기판 (20) 을 지지하는 가열 기능을 갖는 탑재부 (22) 와, 탑재부 (22) 에 바이어스 전력을 인가하는 바이어스 전원 (27) 과, 바이어스 전원 (27) 의 바이어스 전력, RF 안테나 (15), 및 RF 전원 (17) 등의 RF 전력, 진공 장치 (13) 의 압력, 및 원료 가스 공급 노즐 (18) 과 N2 공급 노즐 (19) 의 가스 공급량을 각각 제어하는 파라미터 제어 장치를 구비하고, 파라미터 제어 장치로 바이어스 전력, RF 전력, 압력, 및 가스 공급량을 제어함으로써 질화실리콘막을 성장시키는 동안, 원료 가스 중의 수소와 질소 가스의 결합 상태인 N-H 결합을 절단하기 위한 이온 에너지를 처리 대상의 기판 (20) 에 인가하여, 질화실리콘막의 막 중에 포함되는 N-H 결합량을 저감시킴으로써 질화실리콘막의 막 중 N-H 결합량을 저감시킬 수 있다. 이로써, 질화실리콘막의 막 중 N-H 결합량을 효율적으로 저감시킬 수 있기 때문에 질화실리콘막을 치밀한 막으로 할 수 있게 하여 질화실리콘막의 압축 스트레스를 비약적으로 높일 수 있다.
산업상 이용가능성
본 발명은 예를 들어, 높은 압축 스트레스를 갖는 질화실리콘막을 성막하는 경우의 플라즈마 처리 방법, 및 플라즈마 처리 장치에 적용할 수 있다.

Claims (8)

  1. 실리콘 및 수소를 함유하는 원료 가스와 질소 가스의 플라즈마에 의해, 처리 대상인 기판에 대하여 질화실리콘막을 성장시키는 플라즈마 처리 방법으로서,
    상기 질화실리콘막을 성장시키는 동안, 상기 원료 가스 중의 수소와 상기 질소 가스의 결합 상태인 N-H 결합을 절단하기 위한 이온 에너지를 처리 대상인 상기 기판에 조사하고, 상기 질화실리콘막의 막 중에 포함되는 N-H 결합량을 저감시키는 것을 특징으로 하는 플라즈마 처리 방법.
  2. 제 1 항에 있어서,
    처리 대상인 상기 기판에 인가하는 바이어스 전력을 변화시켜 상기 이온 에너지를 변화시키는 것을 특징으로 하는 플라즈마 처리 방법.
  3. 제 2 항에 있어서,
    플라즈마를 생성하기 위해 인가하는 RF 전력을 변화시켜 상기 이온 에너지를 변화시키는 것을 특징으로 하는 플라즈마 처리 방법.
  4. 제 2 항 또는 제 3 항에 있어서,
    압력을 변화시켜 상기 이온 에너지를 변화시키는 것을 특징으로 하는 플라즈마 처리 방법.
  5. 제 2 항에 있어서,
    가스 공급량을 변화시켜 상기 이온 에너지를 변화시키는 것을 특징으로 하는 플라즈마 처리 방법.
  6. 제 3 항에 있어서,
    가스 공급량을 변화시켜 상기 이온 에너지를 변화시키는 것을 특징으로 하는 플라즈마 처리 방법.
  7. 제 4 항에 있어서,
    가스 공급량을 변화시켜 상기 이온 에너지를 변화시키는 것을 특징으로 하는 플라즈마 처리 방법.
  8. 진공 용기의 내부에 실리콘 및 수소를 함유하는 원료 가스를 공급하는 원료 가스 공급 수단과,
    진공 용기의 내부에 질소 가스를 공급하는 질소 가스 공급 수단과,
    상기 진공 용기 내의 압력을 제어하는 압력 제어 수단과,
    상기 진공 용기 내부의 상기 원료 가스와 상기 질소 가스에 RF 전력을 인가하여 플라즈마를 발생시키는 플라즈마 발생 수단과,
    상기 진공 용기 내부의 하방에, 처리 대상이 되는 기판을 지지하는 가열 기 능을 갖는 지지 수단과,
    상기 지지 수단에 바이어스 전력을 인가하는 바이어스 전력 인가 수단과,
    상기 바이어스 전력 인가 수단의 상기 바이어스 전력, 상기 플라즈마 발생 수단의 상기 RF 전력, 상기 압력 제어 수단의 상기 압력, 및 상기 원료 가스 공급 수단과 상기 질소 가스 공급 수단의 가스 공급량을 각각 제어하는 파라미터 제어 수단을 구비하고,
    상기 파라미터 제어 수단으로 상기 바이어스 전력, 상기 RF 전력, 상기 압력, 및 상기 가스 공급량을 제어함으로써 질화실리콘막을 성장시키는 동안, 상기 원료 가스 중의 수소와 상기 질소 가스의 결합 상태인 N-H 결합을 절단하기 위한 이온 에너지를 처리 대상인 상기 기판에 인가하여, 상기 질화실리콘막의 막 중에 포함되는 N-H 결합량을 저감시키는 것을 특징으로 하는 플라즈마 처리 장치.
KR1020087031919A 2006-08-11 2007-07-24 플라즈마 처리 방법 및 플라즈마 처리 장치 KR101081783B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006219839A JP2008047620A (ja) 2006-08-11 2006-08-11 プラズマ処理方法、及び、プラズマ処理装置
JPJP-P-2006-219839 2006-08-11

Publications (2)

Publication Number Publication Date
KR20090015158A true KR20090015158A (ko) 2009-02-11
KR101081783B1 KR101081783B1 (ko) 2011-11-09

Family

ID=39032825

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087031919A KR101081783B1 (ko) 2006-08-11 2007-07-24 플라즈마 처리 방법 및 플라즈마 처리 장치

Country Status (6)

Country Link
US (1) US7972946B2 (ko)
EP (1) EP2051290A4 (ko)
JP (1) JP2008047620A (ko)
KR (1) KR101081783B1 (ko)
TW (1) TW200822220A (ko)
WO (1) WO2008018291A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011063146A2 (en) * 2009-11-18 2011-05-26 Applied Materials, Inc. Plasma source design
US8487413B2 (en) 2010-10-25 2013-07-16 Samsung Display Co., Ltd. Passivation film for electronic device and method of manufacturing the same
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
KR101096909B1 (ko) * 2009-12-04 2011-12-22 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 형성방법
JP5495940B2 (ja) * 2010-05-21 2014-05-21 三菱重工業株式会社 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
JP2015179700A (ja) * 2014-03-18 2015-10-08 キヤノン株式会社 固体撮像素子の製造方法
TWI766014B (zh) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
JP7344867B2 (ja) * 2017-08-04 2023-09-14 ラム リサーチ コーポレーション 水平表面上におけるSiNの選択的堆積
JP7018288B2 (ja) 2017-10-10 2022-02-10 東京エレクトロン株式会社 成膜方法
CN113517170B (zh) * 2021-07-09 2024-02-09 长鑫存储技术有限公司 半导体结构的制造方法、半导体结构与存储器
WO2023105680A1 (ja) * 2021-12-08 2023-06-15 株式会社京都セミコンダクター 窒化珪素膜の形成方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0635323B2 (ja) * 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
JPS6130040A (ja) * 1984-07-20 1986-02-12 Anelva Corp 薄膜作成装置
JPH07111261A (ja) * 1993-08-16 1995-04-25 Canon Sales Co Inc 成膜装置及び成膜方法
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
TW584902B (en) 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
JP3725100B2 (ja) 2002-07-31 2005-12-07 アプライド マテリアルズ インコーポレイテッド 成膜方法
US20050215005A1 (en) * 2003-03-06 2005-09-29 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011063146A2 (en) * 2009-11-18 2011-05-26 Applied Materials, Inc. Plasma source design
WO2011062755A2 (en) * 2009-11-18 2011-05-26 Applied Materials, Inc. Plasma source design
WO2011062755A3 (en) * 2009-11-18 2011-09-15 Applied Materials, Inc. Plasma source design
WO2011063146A3 (en) * 2009-11-18 2011-11-24 Applied Materials, Inc. Plasma source design
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8487413B2 (en) 2010-10-25 2013-07-16 Samsung Display Co., Ltd. Passivation film for electronic device and method of manufacturing the same

Also Published As

Publication number Publication date
TW200822220A (en) 2008-05-16
JP2008047620A (ja) 2008-02-28
KR101081783B1 (ko) 2011-11-09
WO2008018291A1 (fr) 2008-02-14
TWI358088B (ko) 2012-02-11
EP2051290A1 (en) 2009-04-22
EP2051290A4 (en) 2010-04-21
US7972946B2 (en) 2011-07-05
US20090176380A1 (en) 2009-07-09

Similar Documents

Publication Publication Date Title
KR101081783B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US10727059B2 (en) Highly etch selective amorphous carbon film
JP6787868B2 (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
KR100920033B1 (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
JP3881973B2 (ja) 窒化シリコン膜の成膜方法
JPH1012608A (ja) 高密度プラズマ膜の固有応力低減のための方法および装置
JP4986625B2 (ja) 膜の製造方法および当該方法で製造された膜を用いた半導体装置
KR20080111511A (ko) 플라즈마 cvd 장치, 박막형성 방법 및 반도체 장치
KR20200016397A (ko) 다수의 증착된 반도체 층들의 적층체를 형성하는 방법들
JP2004186402A (ja) プラズマ処理装置及びプラズマ処理方法
TW202022154A (zh) 非uv高硬度低介電常數膜沉積
US6811831B1 (en) Method for depositing silicon nitride
KR20190113619A (ko) 붕소계 막의 성막 방법 및 성막 장치
US20160032445A1 (en) Plasma processing apparatus and plasma processing method
US20050011612A1 (en) Plasma etching apparatus and plasma etching method
JP2001308071A (ja) E面分岐を有する導波管を用いたプラズマ処理装置及びプラズマ処理方法
JP2006237478A (ja) 窒化ホウ素膜の成膜方法及び成膜装置
KR102418092B1 (ko) 실리콘 질화막의 제조 방법 및 실리콘 질화막
Chen et al. Dry via hole etching of GaAs using high-density Cl 2/Ar plasma
KR100685826B1 (ko) 증착 장치 및 이를 이용한 증착 방법
JP3924183B2 (ja) プラズマcvd成膜方法
KR20140086607A (ko) 박막 고속 증착방법 및 증착장치
US11404263B2 (en) Deposition of low-stress carbon-containing layers
US20220044927A1 (en) Deposition of low-stress boron-containing layers
JP2005236063A (ja) 半導体薄膜の製造方法及びその製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141021

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee