WO2008018291A1 - Procédé de traitement par plasma et appareil de traitement par plasma - Google Patents

Procédé de traitement par plasma et appareil de traitement par plasma Download PDF

Info

Publication number
WO2008018291A1
WO2008018291A1 PCT/JP2007/064500 JP2007064500W WO2008018291A1 WO 2008018291 A1 WO2008018291 A1 WO 2008018291A1 JP 2007064500 W JP2007064500 W JP 2007064500W WO 2008018291 A1 WO2008018291 A1 WO 2008018291A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma processing
processing method
plasma
silicon nitride
nitride film
Prior art date
Application number
PCT/JP2007/064500
Other languages
English (en)
French (fr)
Inventor
Tadashi Shimazu
Masahiko Inoue
Toshihiko Nishimori
Yuichi Kawano
Original Assignee
Mitsubishi Heavy Industries, Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Heavy Industries, Ltd. filed Critical Mitsubishi Heavy Industries, Ltd.
Priority to US12/373,146 priority Critical patent/US7972946B2/en
Priority to EP07791225A priority patent/EP2051290A4/en
Publication of WO2008018291A1 publication Critical patent/WO2008018291A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Definitions

  • the present invention relates to a plasma processing method and a plasma processing apparatus.
  • the plasma CVD method is often used in semiconductor manufacturing plants to form an insulating film on a substrate to be processed such as a semiconductor wafer housed in a reaction vessel.
  • a substrate to be processed such as a semiconductor wafer housed in a reaction vessel.
  • an RF power is applied between the susceptor on which the substrate to be processed is placed and the face plate that constitutes the reaction vessel, and plasma is generated in the reaction vessel.
  • a forming gas is introduced, whereby an insulating film is formed on the surface of the substrate to be processed.
  • This type of plasma CVD apparatus is called a capacitively coupled plasma CVD apparatus and is the most common plasma CVD apparatus.
  • the insulating film is a compressive stress film of 200 MPa or less so that film peeling and influence on element characteristics are unlikely to occur.
  • Patent Document 1 An example of such a technique is disclosed in Patent Document 1 below!
  • Patent Document 1 plasma is generated at 500 W or less! /, Low! /, RF power, and an insulating film is formed at a deposition rate of 500 nm / min or less.
  • the compressive stress of the insulating film is about lOOMPa.
  • the stress of the film was required to be 200MPa or less for the protective film that is formed for the purpose of preventing the intrusion of moisture and the like, as well as the insulation between elements such as transistors.
  • Patent Document 1 Japanese Patent No. 3725100
  • MOS type transistors used in LSI the structure is made of metal, oxide (insulator), and semiconductor). (This is called a type transistor.) With the miniaturization of the dimensions, the area of the transistor gate area is reduced, which reduces the parasitic capacitance, thereby reducing the operation delay due to the transient response and improving the circuit operation speed. I was connected. LSI development Competition continues for realization. However, the gate oxide film used in the transistor structure has already been thinned to near the physical limit, and the insulating film between elements and interconnects is also becoming thinner, resulting in significant leakage current generated inside the LSI. The increase in power consumption is a problem as an increase in power consumption. As a countermeasure, the power supply voltage is lowered.
  • the stress required for a 45nm node transistor is expected to be 2GPa to 3GPa, and as a technique to apply this stress to the gate of a MOS transistor, a technique for forming a high-stress silicon nitride film on a MOS transistor is available. Has been developed.
  • a plasma processing method according to a first invention for solving the above-described problems is as follows:
  • ion energy for breaking NH bonds which is a combined state of hydrogen in the source gas and the nitrogen gas, is applied to the substrate to be processed, and the nitridation is performed. It is characterized by reducing the amount of N—H bonds contained in the silicon film.
  • a plasma processing method according to a second invention for solving the above-mentioned problem is the same as the plasma processing method according to the first invention, in that the ion energy is changed by changing the bias power. It is characterized by.
  • a plasma processing method according to a third invention for solving the above-mentioned problems is characterized in that, in the plasma processing method according to the second invention, the ion energy is changed by changing the RF power.
  • a plasma processing method according to a fourth invention for solving the above-mentioned problems is similar to the plasma processing method according to the second invention or the third invention! It is characterized by changing.
  • a plasma processing method according to a fifth aspect of the present invention for solving the above-mentioned problem is the same as the plasma processing method according to the second aspect of the present invention, in that the ion energy is changed by changing the gas supply amount. It is characterized by making it.
  • a plasma processing method according to a sixth invention for solving the above-mentioned problems is the same as the plasma processing method according to the third invention! /, And the ion energy is changed by changing the gas supply amount. It is characterized by making it.
  • a plasma processing method according to a seventh invention for solving the above-mentioned problems is the same as the plasma processing method according to the fourth invention! /, And the ion energy is changed by changing the gas supply amount. It is characterized by making it.
  • a plasma processing apparatus for solving the above-described problems is
  • a raw material gas supply means for supplying a raw material gas containing silicon and hydrogen into the vacuum vessel
  • Nitrogen gas supply means for supplying nitrogen gas to the inside of the vacuum vessel
  • Pressure control means for controlling the pressure in the vacuum vessel
  • Plasma generating means for generating plasma by applying RF power to the source gas and the nitrogen gas inside the vacuum vessel;
  • a supporting means having a heating function for supporting a substrate to be processed under the inside of the vacuum vessel;
  • Bias power application means for applying bias power to the support means; the bias power of the bias power application means; the RF power of the plasma generation means; the pressure of the pressure control means; and the source gas supply means And parameter control means for controlling the gas supply amount of the nitrogen gas supply means respectively.
  • the silicon nitride film is grown by controlling the bias power, the RF power, the pressure, and the gas supply amount by the parameter control means, the hydrogen and nitrogen gas in the source gas are increased. Applying ion energy for cutting N—H bonds in a bonded state to the substrate to be processed to reduce the amount of N—H bonds contained in the silicon nitride film.
  • the silicon nitride film During the growth of the silicon nitride film, ion energy for breaking NH bonds, which is a combined state of hydrogen in the source gas and the nitrogen gas, is applied to the substrate to be processed, and the nitridation is performed.
  • the silicon nitride film can be made dense and the compressive stress of the silicon nitride film can be dramatically increased.
  • the amount of N—H bonds in the silicon nitride film is improved by changing the ion energy by changing the noise power. Since it can be reduced well, the force S can be used to dramatically increase the compressive stress of the silicon nitride film.
  • the supplied nitrogen can be reliably decomposed by changing the RF power to change the ion energy.
  • the amount of N—H bonds in the silicon nitride film can be reduced more efficiently.
  • the amount of N—H bonds in the silicon nitride film can be efficiently reduced, and the compressive stress of the silicon nitride film can be dramatically increased.
  • the fourth invention in addition to the effects of the second invention or the third invention, by changing the ion energy by changing the pressure, collision of ions decreases when bias power is applied. Since silicon can be efficiently drawn into the substrate surface, silicon nitride The amount of N—H bonds in the membrane can be reduced more efficiently. As a result, the amount of N—H bonds in the silicon nitride film can be efficiently reduced, so that the compressive stress of the silicon nitride film can be dramatically increased.
  • the silicon energy is changed by changing the ion energy by changing the gas supply amount. Since the probability that nitrogen bonds with nitrogen is improved, the amount of N—H bonds in the silicon nitride film can be more efficiently reduced. As a result, the amount of NH bonds in the silicon nitride film can be efficiently reduced, so that the compressive stress of the silicon nitride film can be dramatically increased.
  • the source gas supply means for supplying source gas containing silicon and hydrogen to the inside of the vacuum vessel, and the nitrogen gas supply means for supplying nitrogen gas to the inside of the vacuum vessel.
  • Pressure control means for controlling the pressure inside the vacuum vessel, plasma generation means for generating plasma by applying RF power to the source gas and nitrogen gas inside the vacuum vessel, and processing below the inside of the vacuum vessel
  • a supporting means having a heating function for supporting a target substrate, a bias power applying means for applying a bias power to the supporting means, a bias power of the bias power applying means, an RF power of the plasma generating means, a pressure of the pressure controlling means,
  • parameter control means for controlling the gas supply amounts of the source gas supply means and the nitrogen gas supply means, respectively, and the bias power, RF
  • FIG. 1 is a configuration diagram of a plasma processing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a diagram showing a relationship between bias power and film stress according to an embodiment of the present invention.
  • FIG. 3 is a diagram showing an example of FTIR characteristics.
  • Gon 8 It is a diagram showing dissociative excitation of CH4 molecules by electron impact.
  • 1 is a configuration diagram of a plasma processing apparatus according to an embodiment of the present invention
  • FIG. 2 is a diagram showing a relationship between bias power and film stress according to an embodiment of the present invention
  • FIG. 3 is an example of FTIR characteristics.
  • Fig. 4 shows the relationship between film stress and NH content according to one embodiment of the present invention
  • Fig. 5 shows the relationship between N2 / SiH4 and film stress according to one embodiment of the present invention.
  • Fig. 6 is a diagram showing the relationship between the film forming pressure and the film stress according to one embodiment of the present invention.
  • Fig. 7 is a diagram showing the relationship between the NH bond amount in the film and the SiN film stress.
  • Figure 8 shows the dissociative excitation of CH4 molecules by electron impact.
  • Fig. 7 shows the relationship between the amount of NH bonds in the film and the SiN film stress in magnetron plasma CVD and plasma CVD (capacitive coupling type plasma CVD).
  • the horizontal axis represents the amount of N—H bonds in the film
  • the vertical axis represents the stress of the SiN film.
  • the stress of the SiN film on the vertical axis is greater than 0 V
  • the value represents the stress in the tensile direction, and less than 0! /
  • the value represents the stress in the compression direction.
  • (1) and (2) can be realized by using a high-density plasma processing apparatus.
  • the gas selected in (1) is the LSI process as a raw material gas containing silicon.
  • SiH4 which has the most proven track record in the field, is the force that NH3 is commonly used as the source gas containing N. Since S3 and NH3 have NH bonds, it is preferable to use N2 gas.
  • the high-frequency power (RF power) of capacitively coupled plasma CVD equipment is less than lkW, which is insufficient to decompose N2 gas, but high-density plasma processing equipment can apply high-frequency power of more than lkW, so N2 gas Can be disassembled and used.
  • Fig. 8 shows data of dissociative excitation of CH4 molecules by electron impact.
  • the horizontal axis represents the collision energy of electrons
  • the vertical axis represents the amount of excited hydrogen atoms.
  • this optimum value is around lOOeV. It can also be seen that more H cannot be cut if the collision energy is large. This is thought to be because if the collision energy is too high, it will sink into the substrate.
  • FIG. 1 shows a configuration diagram of a plasma processing apparatus according to an embodiment of the present invention.
  • the plasma processing apparatus 1 includes a vacuum vessel 10 that can maintain a high degree of vacuum.
  • the vacuum vessel 10 can form a space sealed from the outside air by attaching a ceiling plate 12 to the upper portion of the cylindrical vessel 11.
  • the vacuum vessel 10 is provided with a vacuum device 13 that maintains the inside of the vacuum vessel 10 in a vacuum state.
  • An RF antenna 15 for generating plasma 14 is installed on the top of the ceiling plate 12.
  • the RF antenna 15 is connected to an RF power source 17 that is a high-frequency power source via a matching unit 16. That is, the RF power supplied from the RF power source 17 is supplied to the plasma 14 by the RF antenna 15.
  • a raw material gas supply nozzle 18 for supplying a raw material gas as a raw material for a film to be formed into the vacuum vessel 10 is installed on the upper side wall of the cylindrical container 11.
  • SiH4 is supplied as the source gas.
  • an N2 supply nozzle 19 for supplying N2 into the vacuum container 10 is installed on the upper part of the side wall of the cylindrical container 11. As a result, SiH 4 and N 2 plasma 14 is generated above the inside of the vacuum vessel 10.
  • a wafer support 21 for holding a substrate 20 that is a film formation target is installed below the cylindrical container 11.
  • the wafer support 21 includes a mounting part 22 for mounting the substrate 20 and a support shaft 23 for supporting the mounting part 22. Inside the mounting portion 22, a heater 24 for heating is installed. Thereby, the temperature of the substrate 20 during the plasma processing can be controlled to a desired temperature.
  • the thermal expansion coefficient of silicon is larger than that of SiN, so the higher the temperature during processing, the more stress is generated on the compression side due to the difference in shrinkage when returning to room temperature. Is determined by the application area of LSI. For example, when processing after the wiring process, the general processing temperature is 400 ° C or less in consideration of the influence on the wiring material.
  • the SiN film near the transistor, which is formed to improve the transistor characteristics is generally 400 ° C to 500 ° C.
  • a bias power source 27 is connected to the mounting unit 22 via a capacitor 25 and a matching unit 26 so that a bias voltage can be applied to the substrate 20.
  • ions can be attracted to the surface of the substrate 20 by the medium force of the plasma 14.
  • the substrate 20 is placed on the mounting portion 22.
  • An electrostatic power supply 28 is connected so that it can be held by electrostatic force. Connect to the mounting part 22 via a low pass filter (LPF) 29 so that the power of the RF power source 17 and bias power source 27 to the electrostatic power source 28 does not wrap around!
  • LPF low pass filter
  • bias power of the bias power source 27, the RF power of the RF power source 17, the pressure of the vacuum device 13, and the gas supply amounts of the source gas supply nozzle 18 and the N2 gas supply nozzle 19 are controlled.
  • a parameter control device (not shown) is installed.
  • a silicon nitride film having high compressive stress was generated by controlling each parameter of bias power, RF power, pressure, and gas supply amount by a parameter control device.
  • the plasma processing method according to the present embodiment will be described in detail.
  • a low power bias power supply is employed in the high-density plasma processing apparatus, and the optimum bias required for breaking the N—H bond is determined.
  • a stress improvement experiment was conducted.
  • a 500W power supply is sufficient for the bias power supply even for 300mm equipment.
  • FIG. 2 shows the relationship between bias power and film stress according to an embodiment of the present invention.
  • the horizontal axis is bias power (W) and the vertical axis is in-film stress (MPa).
  • W bias power
  • MPa in-film stress
  • the bias power to be applied may be the same as the bias power per unit area of the wafer. In other words, the bias power per unit area of the wafer is the same. As long as the bias power is applied, the above conditions can be applied to any wafer diameter. For this reason, in the case of a wafer with a diameter of 300 mm, it can be confirmed that an effective value is up to about 300 W.
  • the amount of N—H bonds in the SiN film can be determined by FTIR (Fourier transform infrared spectrophotometer) measurement.
  • Figure 3 shows an example of FTIR characteristics. As shown in Fig. 3, it is possible to confirm the peak of N—H bond.
  • FIG. 4 shows the relationship between film stress and NH content according to an embodiment of the present invention.
  • the horizontal axis represents the stress (GPa) of the SiN film
  • the vertical axis represents the NH content (10 2 ° / cm 3 ).
  • Figure 5 shows the relationship between N2 / Si4 and film stress.
  • the horizontal axis is N2 / SiH4, and the vertical axis is the stress (MPa) of the SiN film.
  • the N2 supply rate should be added at a ratio of at least three times the SiH4 supply rate.
  • FIG. 6 shows the relationship between the pressure during film formation and the film stress according to one embodiment of the present invention.
  • the horizontal axis represents the pressure during deposition (mTorr)
  • the vertical axis represents the stress (MPa) of the SiN film.
  • the pressure during film formation should be 15 mTorr or less, that is, 2 Pa or less, in order to set the stress of the SiN film to 30 OOMpa or more, that is, compressive stress to 3 GPa or more.
  • the conditions for forming SiN having high compressive stress are SiH4 and N2, and RF power is 2000W or more, bias power is 45 to 300W, It was concluded that the supply amount of N2 should be more than 3 times the supply amount of SiH4 and the pressure should be 2 Pa or less.
  • the plasma processing is performed on the substrate to be processed by the plasma of the raw material gas containing silicon and the nitrogen gas.
  • the amount of N—H bonds in the silicon nitride film is reduced by applying a bias power during the processing of the silicon nitride film to reduce the amount of N—H bonds in the silicon nitride film. Can be reduced.
  • the compressive stress of the silicon nitride film can be dramatically increased.
  • the N—H bonds in the silicon nitride film can be efficiently cut.
  • the amount of N—H bonds in the silicon nitride film can be reduced, and therefore the force S can be increased by dramatically increasing the compressive stress of the silicon nitride film.
  • the RF power is set to 2 kW or more per 200 mm in diameter and the ion energy is changed by changing the RF power, the supplied nitrogen can be reliably decomposed, so that nitriding can be performed more efficiently.
  • the amount of N—H bonds in the silicon film can be reduced.
  • the supply amount of nitrogen gas is set to three times or more the supply amount of the raw material gas containing silicon, and the ion energy is changed by changing the supply amount of gas, whereby silicon and nitrogen are combined. Since the probability increases, the force S reduces the amount of N—H bonds in the silicon nitride film.
  • the source gas supply nozzle 18 that supplies source gas containing silicon and hydrogen into the vacuum vessel 10 and the nitrogen gas inside the vacuum vessel 10 N2 supply nozzle 19 for supplying gas, a vacuum device 13 for controlling the pressure inside the vacuum vessel 10, and an RF antenna 15 for generating plasma by applying RF power to the source gas and nitrogen gas inside the vacuum vessel 10 And a RF power source 17 and the like, a mounting unit 22 having a heating function for supporting the substrate 20 to be processed, and a bias power source for applying a bias power to the mounting unit 22 below the inside of the vacuum vessel 10.
  • bias power 27 and bias power 27 bias power Parameter control device for controlling the RF power of the RF antenna 15, the RF power source 17, etc., the pressure of the vacuum device 13, and the gas supply amount of the raw gas supply nozzle 18 and the N2 supply nozzle 19, respectively.
  • N—H bond which is the combined state of hydrogen and nitrogen gas in the source gas.
  • Ion energy for cutting is applied to the substrate 20 to be processed, and the amount of N—H bonds in the silicon nitride film is reduced by reducing the amount of N—H bonds contained in the silicon nitride film. Can be reduced.
  • the amount of N—H bonds in the silicon nitride film can be efficiently reduced, so that the silicon nitride film can be made dense and the compressive stress of the silicon nitride film is greatly increased. be able to.
  • the present invention can be applied to, for example, a plasma processing method and a plasma processing apparatus when a silicon nitride film having a high compressive stress is formed.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

明 細 書
プラズマ処理方法、及び、プラズマ処理装置
技術分野
[0001] 本発明は、プラズマ処理方法、及び、プラズマ処理装置に関する。
背景技術
[0002] 従来、プラズマ CVD法は、半導体製造工場において、反応容器内に収納される半 導体ウェハなどの被処理基板上に絶縁膜を形成するのによく用いられている。プラズ マ CVD法を用いる場合、被処理基板を載置するサセプタと、反応容器を構成するフ エースプレートとの間に RF電力を印加し、反応容器内にプラズマを生成させた状態 にして絶縁膜形成用ガスを導入し、これにより被処理基板の表面上に絶縁膜が形成 される。この方式のプラズマ CVD装置は容量結合型プラズマ CVD装置と呼ばれて おり、最も一般的なプラズマ CVD装置である。このとき、絶縁膜は、膜剥がれや素子 特性への影響が起こりにくいよう、 200MPa以下の圧縮応力膜であることが望ましい 。このような技術の一例が下記特許文献 1に開示されて!/、る。
[0003] 下記特許文献 1では、プラズマを 500W以下と!/、う低!/、RF電力で生成し、絶縁膜 を 500nm/min以下という成膜速度で形成しており、これにより形成された絶縁膜の 圧縮応力は、 lOOMPa程度となっている。トランジスタなどの素子間及び配線間の絶 縁や、水分などの侵入を防止する目的で成膜を行う保護膜についても、膜の応力は 200MPa以下が要求されて!/、た。
[0004] 特許文献 1:特許第 3725100号公報
発明の開示
発明が解決しょうとする課題
[0005] LSIに用いられる MOS型トランジスタ(構造が金属 (Metal)、酸化物 (絶縁体) (Oxide) 、半導体 (Semiconductor)となっていることから、その三つの要素の名前をとつて MO S型トランジスタと呼んでいる。)は、寸法の微細化によってトランジスタゲート部の面 積が小さくなる事により寄生容量が小さくなり、これに伴い過渡応答による動作遅延 が抑えられ、回路動作速度の向上につながつていた。 LSI開発はより微細な素子の 実現を目指して激しい競争が続いている。しかし、トランジスタ構造に用いられている ゲート酸化膜は、既に物理的限界近くにまで薄膜化され、素子間及び配線間の絶縁 膜も薄膜化が進んできており、 LSI内部で生じるリーク電流が大幅に増大する事が消 費電力の増加として問題となっている。この対策として電源電圧を低電圧化すること が行われているが、単純に低電圧化するだけであれば、トランジシターの動作電流が 小さくなり、動作速度の遅延を招く事となる。以上の問題点の対策の為には、小型化 と共に MOS型トランジスタの動作電流を高める素子特性の改良が必要であり、この 対策の一つとして MOS型トランジスタのゲート部に歪を印加する技術が採用され始 めている。これは、 MOS型トランジスタの電子及び正孔の通り道であるゲート部に歪 を印加する事により、電子や空孔の移動度が高まる原理を利用している。 45nmノー ドのトランジスタに必要な応力は、 2GPa〜3GPaと予想されており、この応力を MOS トランジスタのゲート部に印加する技術として、応力の高い窒化シリコン膜を MOSトラ ンジスタに成膜する技術が開発されている。
[0006] しかしながら、上記特許文献 1に開示されているように、一般的な絶縁膜の圧縮応 力は lOOMPa程度であり、現在要求されている 2〜3GPaとは程遠い値である。
[0007] このこと力、ら、本発明は、高い圧縮応力を有する窒化シリコン膜を生成することので きるプラズマ処理方法、及び、プラズマ処理装置を提供することを目的とする。
課題を解決するための手段
[0008] 上記の課題を解決するための第 1の発明に係るプラズマ処理方法は、
シリコン及び水素を含有する原料ガスと窒素ガスとのプラズマにより、処理対象の基 板に対して窒化シリコン膜を成長させるプラズマ処理方法において、
前記窒化シリコン膜を成長させている間、前記原料ガス中の水素と前記窒素ガスの 結合状態である N— H結合を切断するためのイオンエネルギーを処理対象の前記基 板に印加し、前記窒化シリコン膜の膜中に含まれる N— H結合量を低減させる ことを特徴とする。
[0009] 上記の課題を解決するための第 2の発明に係るプラズマ処理方法は、第 1の発明 に係るプラズマ処理方法にお!/、て、バイアスパワーを変化させてイオンエネルギーを 変化させることを特徴とする。 [0010] 上記の課題を解決するための第 3の発明に係るプラズマ処理方法は、第 2の発明 に係るプラズマ処理方法において、 RFパワーを変化させてイオンエネルギーを変化 させることを特徴とする。
[0011] 上記の課題を解決するための第 4の発明に係るプラズマ処理方法は、第 2の発明 又は第 3の発明に係るプラズマ処理方法にお!/、て、圧力を変化させてイオンェネル ギーを変化させることを特徴とする。
[0012] 上記の課題を解決するための第 5の発明に係るプラズマ処理方法は、第 2の発明 に係るプラズマ処理方法にお!/、て、ガス供給量を変化させてイオンエネルギーを変 化させることを特徴とする。
[0013] 上記の課題を解決するための第 6の発明に係るプラズマ処理方法は、第 3の発明 に係るプラズマ処理方法にお!/、て、ガス供給量を変化させてイオンエネルギーを変 化させることを特徴とする。
[0014] 上記の課題を解決するための第 7の発明に係るプラズマ処理方法は、第 4の発明 に係るプラズマ処理方法にお!/、て、ガス供給量を変化させてイオンエネルギーを変 化させることを特徴とする。
[0015] 上記の課題を解決するための第 8の発明に係るプラズマ処理装置は、
真空容器の内部にシリコン及び水素を含有する原料ガスを供給する原料ガス供給 手段と、
真空容器の内部に窒素ガスを供給する窒素ガス供給手段と、
前記真空容器の内の圧力を制御する圧力制御手段と、
前記真空容器の内部に前記原料ガスと前記窒素ガスに RFパワーを印加してブラ ズマを発生させるプラズマ発生手段と、
前記真空容器の内部の下方に、処理対象となる基板を支持する加熱機能を有する 支持手段と、
前記支持手段にバイアスパワーを印加するバイアスパワー印加手段と、 前記バイアスパワー印加手段の前記バイアスパワー、前記プラズマ発生手段の前 記 RFパワー、前記圧力制御手段の前記圧力、及び、前記原料ガス供給手段と前記 窒素ガス供給手段のガス供給量をそれぞれ制御するパラメータ制御手段と を備え、
前記パラメータ制御手段で前記バイアスパワー、前記 RFパワー、前記圧力、及び、 前記ガス供給量を制御することにより、窒化シリコン膜を成長させている間、前記原 料ガス中の水素と前記窒素ガスの結合状態である N— H結合を切断するためのィォ ンエネルギーを処理対象の前記基板に印加し、前記窒化シリコン膜の膜中に含まれ る N— H結合量を低減させる
ことを特徴とする。
発明の効果
[0016] 第 1の発明によれば、シリコン及び水素を含有する原料ガスと窒素ガスとのプラズマ により、処理対象の基板に対して窒化シリコン膜を成長させるプラズマ処理方法にお いて、
前記窒化シリコン膜を成長させている間、前記原料ガス中の水素と前記窒素ガスの 結合状態である N— H結合を切断するためのイオンエネルギーを処理対象の前記基 板に印加し、前記窒化シリコン膜の膜中に含まれる N— H結合量を低減させることに より、窒化シリコン膜を緻密な膜とすることを可能とし、窒化シリコン膜の圧縮ストレス を飛躍的に高めることができる。
[0017] 第 2の発明によれば、第 1の発明による効果に加え、ノ ィァスパワーを変化させてィ オンエネルギーを変化させることにより、窒化シリコン膜の膜中の N— H結合量を効 率良く低減させることができるため、窒化シリコン膜の圧縮ストレスを飛躍的に高める こと力 Sでさる。
[0018] 第 3の発明によれば、第 2の発明による効果に加え、 RFパワーを変化させてイオン エネルギーを変化させることにより、供給している窒素の分解を確実に行うことができ るため、窒化シリコン膜の膜中の N— H結合の量をより効率よく低減させることができ る。これにより、窒化シリコン膜の膜中の N— H結合量を効率良く低減させることがで きるため、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
[0019] 第 4の発明によれば、第 2の発明又は第 3の発明による効果に加え、圧力を変化さ せてイオンエネルギーを変化させることにより、バイアスパワー印加時にイオン同士の 衝突が減少し、イオンを効率よく基板表面に引き込むことができるため、窒化シリコン 膜の膜中の N— H結合の量をより効率よく低減させることができる。これにより、窒化 シリコン膜の膜中の N— H結合量を効率良く低減させることができるため、窒化シリコ ン膜の圧縮ストレスを飛躍的に高めることができる。
[0020] 第 5の発明乃至第 7の発明によれば、第 2の発明乃至第 4の発明のいずれかひとつ による効果に加え、ガス供給量を変化させてイオンエネルギーを変化させることにより 、シリコンと窒素が結合する確率が向上するため、窒化シリコン膜の膜中の N— H結 合の量をより効率よく低減させることができる。これにより、窒化シリコン膜の膜中の N H結合量を効率良く低減させることができるため、窒化シリコン膜の圧縮ストレスを 飛躍的に高めることができる。
[0021] 第 8の発明によれば、真空容器の内部にシリコン及び水素を含有する原料ガスを供 給する原料ガス供給手段と、真空容器の内部に窒素ガスを供給する窒素ガス供給手 段と、真空容器の内の圧力を制御する圧力制御手段と、真空容器の内部の原料ガス と窒素ガスに RFパワーを印加してプラズマを発生させるプラズマ発生手段と、真空 容器の内部の下方に、処理対象となる基板を支持する加熱機能を有する支持手段と 、支持手段にバイアスパワーを印加するバイアスパワー印加手段と、バイアスパワー 印加手段のバイアスパワー、プラズマ発生手段の RFパワー、圧力制御手段の圧力、 及び、原料ガス供給手段と窒素ガス供給手段のガス供給量をそれぞれ制御するパラ メータ制御手段とを備え、ノ ラメータ制御手段でバイアスパワー、 RFパワー、圧力、 及び、ガス供給量を制御することにより、窒化シリコン膜を成長させている間、原料ガ ス中の水素と窒素ガスの結合状態である N— H結合を切断するためのイオンェネル ギーを処理対象の基板に印加し、窒化シリコン膜の膜中に含まれる N— H結合量を 低減させることにより、窒化シリコン膜の膜中の N— H結合の量を低減させることがで きる。これにより、窒化シリコン膜の膜中の N— H結合量を効率良く低減させることが できるため、窒化シリコン膜を緻密な膜とする事を可能とし、窒化シリコン膜の圧縮ス トレスを飛躍的に高めることができる。
図面の簡単な説明
[0022] [図 1]本発明の一実施形態に係るプラズマ処理装置の構成図である。
[図 2]本発明の一実施形態に係るバイアスパワーと膜ストレスとの関係を示した図であ [図 3]FTIR特性例を示した図である。
園 4]本発明の一実施形態に係る膜ストレスと NH含有量の関係を示した図である。 園 5]本発明の一実施形態に係る N2/SiH4と膜ストレスとの関係を示した図である 園 6]本発明の一実施形態に係る成膜圧力と膜ストレスとの関係を示した図である。 園 7]膜中 N— H結合量と SiN膜ストレスとの関係を示した図である。
園 8]電子衝突による CH4分子の解離性励起を示した図である。
符号の説明
1 プラズマ処理装置
10 真空容器
11 筒状容器
12 天井板
13 真空装置
15 RFアンテナ
16, 26 整合器
17 RF電源
18 原料ガス供給ノズル
19 N2供給ノズル
20 基板
21 ウェハ支持台
22 載置部
23 支持軸
24 ヒータ
25 コンデンサ
27 バイアス電源
28 静電電源 29 ローパスフィルター(LPF)
発明を実施するための最良の形態
[0024] 本発明に係るプラズマ処理方法、及び、プラズマ処理装置について図 1から図 8を 用いて説明する。図 1は本発明の一実施形態に係るプラズマ処理装置の構成図、図 2は本発明の一実施形態に係るバイアスパワーと膜ストレスとの関係を示した図、図 3 は FTIR特性例を示した図、図 4は本発明の一実施形態に係る膜ストレスと NH含有 量の関係を示した図、図 5は本発明の一実施形態に係る N2/SiH4と膜ストレスとの 関係を示した図、図 6は本発明の一実施形態に係る成膜圧力と膜ストレスとの関係を 示した図、図 7は膜中 N— H結合量と SiN膜ストレスとの関係を示した図、図 8は電子 衝突による CH4分子の解離性励起を示した図である。
[0025] 図 7にマグネトロンプラズマ CVDとプラズマ CVD (容量結合型プラズマ CVD)にお ける、膜中 N— H結合量と SiN膜ストレスとの関係を示す。ここで横軸は膜中の N— H 結合量、縦軸は SiN膜のストレスを示す。また、縦軸の SiN膜のストレスは、 0より大き V、値は引っ張り方向のストレスを表し、 0より小さ!/、値は圧縮方向のストレスを表してレヽ
[0026] 図 7に示すように、 N— H結合が増加すると膜のストレスは引っ張り方向に強くなり、 逆に、 N— H結合が減少すると膜のストレスは圧縮方向に強くなることが分かる。すな わち、圧縮ストレスを高めるためには、 SiN膜中の N— H結合量を低減する事が非常 に効果的である事が分かる。また、この傾向はマグネトロンプラズマ CVDとプラズマ C VD (容量結合型プラズマ CVD)で同じ傾向を示しており、プラズマ処置装置の種類 にかかわらな!/、と!/、うことを示唆して!/、る。
[0027] 上述の膜中の N— H結合量を低減する方法には、以下の 3点が考えられる。
( 1 ) N— H結合を持たなレ、原料ガスの選定
(2) N— H結合をできるだけ分解するプラズマパワーの印加
(3) N— H結合エネルギーと同じイオンエネルギーを有するイオンを N— H結合に衝 突させ効率的に N— H結合を切断
[0028] 上記の方法のうち(1)、(2)については高密度型プラズマ処理装置を用いる事によ り実現可能である。 (1)のガスの選定は、シリコンを含む原料ガスとしては LSIプロセ スで最も実績の高い SiH4を、 Nを含む原料ガスは NH3が一般的である力 S、 NH3は N— H結合を有するため、 N2ガスを利用する事が好ましい。容量結合型のプラズマ CVD装置の高周波パワー(RFパワー)は lkW以下で N2ガスを分解するにはパワー 不足であるが、高密度型プラズマ処理装置は lkW以上の高周波電力を印加できる ため、 N2ガスを分解して用いる事ができる。
[0029] 図 8に電子衝突による CH4分子の解離性励起のデータを示す。ここで、横軸は電 子の衝突エネルギー、縦軸は励起される水素原子の量を示す。図 8に示すように、分 子の結合を切る(Hを切る)ために必要な衝突エネルギーには、最適値が存在するこ とが分かる。図 8より、この最適値はおよそ lOOeV付近となっていることが分かる。また 、単純に衝突エネルギーが大きければより多くの Hを切ることができる訳ではないとい うことも分かる。これは衝突エネルギーが高すぎる場合は基板内部に潜り込んでしま うためであると考えられる。これがイオンの場合、過剰の衝突エネルギーは、 Si— N結 合まで切ってしまったり、スパッタリングによる膜の物理エッチングを引き起こしたりす るなど、水素の結合を選択的に切る目的から大きく逸脱してしまう。また、過剰バイァ スパワーは逆に水素イオンを引き込み、 SiN膜中の N— H結合量を増大させる可能 性も考えられる。
[0030] SiN膜の成膜処理中に水素を選択的に引き抜くためには、 SiN膜の成膜時におけ るバイアスパワーの印加による、成膜対象である基板表面へのイオン引き込みの利 用が適している。高密度型プラズマ処理装置では、微細なギャップへの埋め込み性 能を高めるため、成膜時にバイアス電圧を印加してエッチングを成膜と同時に実施す る技術があり、高密度型プラズマ処理装置でバイアス電圧を印加することは知られて いる。ただし、これは SiOx成膜で使用されている技術である。
[0031] ここでもっとも重要なことは、エッチングに必要なバイアスパワーは直径 200mmの ウェハの場合であっても 3kWに達し、衝突エネルギーについては一般的にスパッタ 効率の高い 200eV付近に設定される。このような条件を SiN膜の成膜に単に適用し ても、図 2からも明らかなように、最適な Si— Hや N— H結合の減少を引き起こすこと はできない。つまり、従来の高密度型プラズマ CVD装置の基本仕様では、水素結合 を切る目的でのバイアスパワーの適用は知られていな力、つた。 [0032] 以下、本発明の一実施形態に係るプラズマ処理装置の構成について説明する。図 1に本発明の一実施形態に係るプラズマ処理装置の構成図を示す。図 1に示すよう に、プラズマ処理装置 1は、高い真空度を維持できる真空容器 10を備えている。この 真空容器 10は、筒状容器 11の上部に天井板 12を取り付けることで外気から密閉さ れた空間を形成することができる。
[0033] 真空容器 10には、真空容器 10の内部を真空状態に維持する真空装置 13が設置 されている。天井板 12の上部にはプラズマ 14を生成させる RFアンテナ 15が設置さ れている。この RFアンテナ 15には、整合器 16を介して高周波電源である RF電源 17 が接続されている。すなわち、 RF電源 17から供給された RFパワーは RFアンテナ 15 によりプラズマ 14に供給される。
[0034] 筒状容器 11の側壁の上部には、成膜する膜の原料となる原料ガスを真空容器 10 内に供給する原料ガス供給ノズル 18が設置されている。本実施形態では、原料ガス として、 SiH4を供給する。さらに、筒状容器 11の側壁の上部には、 N2を真空容器 1 0内に供給する N2供給ノズル 19が設置されている。これにより、真空容器 10の内部 上方には、 SiH4と N2とのプラズマ 14が生成されることとなる。
[0035] 筒状容器 11内の下方には、成膜対象である基板 20を保持するウェハ支持台 21が 設置されている。このウェハ支持台 21は、基板 20を載置する載置部 22と、この載置 部 22を支持する支持軸 23とにより構成されている。載置部 22の内部には加熱のた めのヒータ 24が設置されている。これにより、プラズマ処理中の基板 20の温度を所望 の温度に制御することができる。基板がシリコンウェハの場合、熱膨張係数は SiNより もシリコンの方が大きい為、処理中温度が高いほど常温に戻る際の収縮量の違いで 圧縮側に応力が発生するが、処理温度の上限は LSIの適用部位で決まっている。例 えば、配線工程の後に処理する場合、配線材料への影響を考慮して 400°C以下が 一般的な処理温度となっている。トランジスタの特性改善目的で成膜するトランジスタ 近傍の SiN膜は 400°C〜500°Cが一般的である。
[0036] 載置部 22には、基板 20に対しバイアス電圧を印加できるようにコンデンサ 25及び 整合器 26を介してバイアス電源 27が接続されている。これにより、基板 20の表面に プラズマ 14中力もイオンを引き込むことができる。さらに、載置部 22には、基板 20を 静電気力で保持できるように静電電源 28が接続されている。静電電源 28への RF電 源 17やバイアス電源 27のパワーが回り込まないように、ローパスフィルター (LPF)29 を介して載置部 22に接続して!/、る。
[0037] また、バイアス電源 27のバイアスパワーと、 RF電源 17の RFパワーと、真空装置 13 の圧力と、原料ガス供給ノズル 18及び N2ガス供給ノズル 19のガス供給量とをそれ ぞれ制御することが可能なパラメータ制御装置(図示省略)が設置されて!/、る。
[0038] 本実施形態においては、パラメータ制御装置によりバイアスパワー、 RFパワー、圧 力、及び、ガス供給量の各パラメータをそれぞれ制御することで、高い圧縮応力を有 する窒化シリコン膜を生成した。以下、本実施形態に係るプラズマ処理方法について 詳述する。
[0039] 本実施形態に係るプラズマ処理方法では、高密度プラズマ処理装置に低出力のバ ィァス電源を採用し、 N— H結合を切るために必要な最適バイアスを求めるベぐ Si N膜の圧縮ストレスの改善実験を実施した。バイアス電源は 300mm仕様の装置でも 500W電源で十分となる。
[0040] 図 2に本発明の一実施形態に係るバイアスパワーと膜ストレスとの関係を示す。ここ で、横軸をバイアスパワー (W)、縦軸を膜中ストレス(MPa)とする。また、実験は上 述した本発明の一実施形態に係るプラズマ処理装置において行い、直径 200mmの ウェハを使用し、成膜条件は、 SiH4 = 50sccm、 N2 = 100sccm、 RFパワー = 200 0W、処理温度 250°C、圧力 = 2Pa以下とした。
[0041] 図 2に示すように、 SiN膜の圧縮ストレスの改善実験により、膜ストレスは、僅か 75W のバイアスパワーを印加することにより、飛躍的に改善されることが確認された。さら に、バイアスパワーをより高くすると圧縮ストレスは低下してゆくことも確認された。また 、バイアスパワーが 45Wから 140Wの間では、膜ストレスは一 2000MPa以上となり、 この範囲内であれば高い圧縮ストレスが得られるということが確認された。高密度ブラ ズマ処理装置の通常の使用条件下では、バイアスパワーは 500wから 3000W付近 に設定するため、このようなバイアス条件を見出すことは非常に困難である。
[0042] なお、印加するバイアスパワーは、ウェハの単位面積あたりのバイアスパワーが同じ であればよい。換言すると、ウェハの単位面積あたりのバイアスパワーが同じになるよ うにバイアスパワーを印加しさえすればどのようなウェハの径であっても上記の条件を 適用することが可能である。このため、直径が 300mmのウェハの場合、 300W程度 までが有効な値であるとレ、うことができる。
[0043] また、 SiN膜中の N— H結合量は、 FTIR (フーリエ変換赤外分光光度計)計測によ り求めること力 Sできる。図 3に FTIR特性例を示す。図 3に示すように、 N— H結合のピ ークを確言忍すること力 Sできる。
[0044] ここで、バイアスパワーを変更して、実際にストレス値の制御を行った際の圧縮スト レスと膜中の N— H含有量との関係を測定した。図 4に本発明の一実施形態に係る 膜ストレスと NH含有量の関係を示す。ここで、横軸を SiN膜のストレス(GPa)、縦軸 を NH含有量(102°/cm3)とした。図 4に示すように、僅か数百 W以下の低いバイァ ス電圧を印加することにより、 N— H結合量が低減し、圧縮ストレスを高めることができ ていることが確認された。
[0045] 次に、 SiH4の供給量に対する N2の供給量の比率であるガス流量比率と膜ストレス との関係についても測定した。図 5に N2/Si4と膜ストレスとの関係を示す。ここで、 横軸を N2/SiH4、縦軸を SiN膜のストレス(MPa)とした。図 5に示すように、 N2の 供給量は、 SiH4の供給量に対し 3倍以上の比率で添加すると良いことが分かる。
[0046] 次に、成膜時の圧力と膜ストレスとの関係についても測定した。図 6に本発明の一 実施形態に係る成膜時の圧力と膜ストレスとの関係を示す。ここで、横軸を成膜時の 圧力(mTorr)、縦軸を SiN膜のストレス(MPa)とした。図 6に示すように、圧縮ストレ スを高めるためには成膜時の圧力は低いほど良い。そして、 SiN膜のストレスを 30 OOMpa以上、すなわち、圧縮ストレスを 3GPa以上とするためには、成膜時の圧力は 15mTorr以下、すなわち、 2Pa以下とすれば良いことが分かる。
[0047] これらのこと力、ら、高い圧縮ストレスを有する SiNを形成するための条件として、供給 するガス種は SiH4と N2とし、 RFパワーは 2000W以上とし、バイアスパワーは 45か ら 300Wとし、 N2の供給量は、 SiH4の供給量に対し 3倍以上の比率で添加し、圧力 は 2Pa以下とするとよレ、と!/、う結論が得られた。
[0048] このように、本実施形態に係るプラズマ処理方法によれば、シリコンを含有する原料 ガスと窒素ガスとのプラズマにより、処理対象の基板に対してプラズマ処理を行うプラ ズマ処理方法において、窒化シリコン膜の処理時にバイアスパワーを印加し、窒化シ リコン膜の膜中の N— H結合量を低減させることにより、窒化シリコン膜の膜中の N— H結合の量を低減させることができる。また、窒化シリコン膜の膜中の N— H結合の量 を低減させることにより、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる
[0049] また、バイアスパワーを直径 200mmあたり 45Wから 140Wとし、バイアスパワーを 変化させてイオンエネルギーを変化させることにより、窒化シリコン膜の膜中の N— H 結合を効率よく切断することができる。これにより、窒化シリコン膜の膜中の N— H結 合の量を低減させることができるため、窒化シリコン膜の圧縮ストレスを飛躍的に高め ること力 Sでさる。
[0050] また、 RFパワーを直径 200mmあたり 2kW以上とし、 RFパワーを変化させてイオン エネルギーを変化させることにより、供給している窒素の分解を確実に行うことができ るため、より効率よく窒化シリコン膜の膜中の N— H結合の量を低減させることができ
[0051] また、圧力を 2Pa以下とし、圧力を変化させてイオンエネルギーを変化させることに より、バイアスパワー印加時にイオン同士の衝突が減少するため、イオンを効率よく基 板表面に引き込むことができ、 N— H結合を効率よく切断することができる。
[0052] また、シリコンを含有する原料ガスの供給量に対し、窒素ガスの供給量を 3倍以上と し、ガス供給量を変化させてイオンエネルギーを変化させることにより、シリコンと窒素 が結合する確率が向上するため、窒化シリコン膜の膜中の N— H結合の量を低減さ せること力 Sでさる。
[0053] また、本実施形態に係るプラズマ処理装置によれば、真空容器 10の内部にシリコ ン及び水素を含有する原料ガスを供給する原料ガス供給ノズル 18と、真空容器 10 の内部に窒素ガスを供給する N2供給ノズル 19と、真空容器 10の内の圧力を制御す る真空装置 13と、真空容器 10の内部の原料ガスと窒素ガスに RFパワーを印加して プラズマを発生させる RFアンテナ 15、及び、 RF電源 17等と、真空容器 10の内部の 下方に、処理対象となる基板 20を支持する加熱機能を有する載置部 22と、載置部 2 2にバイアスパワーを印加するバイアス電源 27と、バイアス電源 27のバイアスパワー 、 RFアンテナ 15、及び、 RF電源 17等の RFパワー、真空装置 13の圧力、及び、原 料ガス供給ノズル 18と N2供給ノズル 19のガス供給量をそれぞれ制御するパラメータ 制御装置とを備え、パラメータ制御装置でバイアスパワー、 RFパワー、圧力、及び、 ガス供給量を制御することにより、窒化シリコン膜を成長させている間、原料ガス中の 水素と窒素ガスの結合状態である N— H結合を切断するためのイオンエネルギーを 処理対象の基板 20に印加し、窒化シリコン膜の膜中に含まれる N— H結合量を低減 させることにより、窒化シリコン膜の膜中の N— H結合の量を低減させることができる。 これにより、窒化シリコン膜の膜中の N— H結合量を効率良く低減させることができる ため、窒化シリコン膜を緻密な膜とする事を可能とし、窒化シリコン膜の圧縮ストレス を飛躍的に高めることができる。
産業上の利用可能性
本発明は、例えば、高い圧縮ストレスを有する窒化シリコン膜を成膜する場合のプ ラズマ処理方法、及び、プラズマ処理装置に適用することが可能である。

Claims

請求の範囲
[1] シリコン及び水素を含有する原料ガスと窒素ガスとのプラズマにより、処理対象の基 板に対して窒化シリコン膜を成長させるプラズマ処理方法において、
前記窒化シリコン膜を成長させている間、前記原料ガス中の水素と前記窒素ガスの 結合状態である N— H結合を切断するためのイオンエネルギーを処理対象の前記基 板に照射し、前記窒化シリコン膜の膜中に含まれる N— H結合量を低減させる ことを特徴とするプラズマ処理方法。
[2] 請求項 1に記載のプラズマ処理方法にお!/、て、
処理対象の前記基板に印加するバイアスパワーを変化させてイオンエネルギーを 変化させる
ことを特徴とするプラズマ処理方法。
[3] 請求項 2に記載のプラズマ処理方法において、
プラズマを生成する為に印加する RFパワーを変化させてイオンエネルギーを変化 させる
ことを特徴とするプラズマ処理方法。
[4] 請求項 2又は請求項 3に記載のプラズマ処理方法において、
圧力を変化させてイオンエネルギーを変化させる
ことを特徴とするプラズマ処理方法。
[5] 請求項 2に記載のプラズマ処理方法において、
ガス供給量を変化させてイオンエネルギーを変化させる
ことを特徴とするプラズマ処理方法。
[6] 請求項 3に記載のプラズマ処理方法において、
ガス供給量を変化させてイオンエネルギーを変化させる
ことを特徴とするプラズマ処理方法。
[7] 請求項 4に記載のプラズマ処理方法において、
ガス供給量を変化させてイオンエネルギーを変化させる
ことを特徴とするプラズマ処理方法。
[8] 真空容器の内部にシリコン及び水素を含有する原料ガスを供給する原料ガス供給 手段と、
真空容器の内部に窒素ガスを供給する窒素ガス供給手段と、
前記真空容器の内の圧力を制御する圧力制御手段と、
前記真空容器の内部の前記原料ガスと前記窒素ガスに RFパワーを印加してブラ ズマを発生させるプラズマ発生手段と、
前記真空容器の内部の下方に、処理対象となる基板を支持する加熱機能を有する 支持手段と、
前記支持手段にバイアスパワーを印加するバイアスパワー印加手段と、 前記バイアスパワー印加手段の前記バイアスパワー、前記プラズマ発生手段の前 記 RFパワー、前記圧力制御手段の前記圧力、及び、前記原料ガス供給手段と前記 窒素ガス供給手段のガス供給量をそれぞれ制御するパラメータ制御手段と を備え、
前記パラメータ制御手段で前記バイアスパワー、前記 RFパワー、前記圧力、及び、 前記ガス供給量を制御することにより、窒化シリコン膜を成長させている間、前記原 料ガス中の水素と前記窒素ガスの結合状態である N— H結合を切断するためのィォ ンエネルギーを処理対象の前記基板に印加し、前記窒化シリコン膜の膜中に含まれ る N— H結合量を低減させる
ことを特徴とするプラズマ処理装置。
PCT/JP2007/064500 2006-08-11 2007-07-24 Procédé de traitement par plasma et appareil de traitement par plasma WO2008018291A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/373,146 US7972946B2 (en) 2006-08-11 2007-07-24 Plasma treatment method and plasma treatment device
EP07791225A EP2051290A4 (en) 2006-08-11 2007-07-24 PLASMA PROCESSING METHOD AND PLASMA PROCESSING DEVICE

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006219839A JP2008047620A (ja) 2006-08-11 2006-08-11 プラズマ処理方法、及び、プラズマ処理装置
JP2006-219839 2006-08-11

Publications (1)

Publication Number Publication Date
WO2008018291A1 true WO2008018291A1 (fr) 2008-02-14

Family

ID=39032825

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/064500 WO2008018291A1 (fr) 2006-08-11 2007-07-24 Procédé de traitement par plasma et appareil de traitement par plasma

Country Status (6)

Country Link
US (1) US7972946B2 (ja)
EP (1) EP2051290A4 (ja)
JP (1) JP2008047620A (ja)
KR (1) KR101081783B1 (ja)
TW (1) TW200822220A (ja)
WO (1) WO2008018291A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011145489A1 (ja) * 2010-05-21 2011-11-24 三菱重工業株式会社 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
KR101096909B1 (ko) * 2009-12-04 2011-12-22 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 형성방법
KR101223724B1 (ko) 2010-10-25 2013-01-17 삼성디스플레이 주식회사 전자소자용 보호막 및 그 제조 방법
JP2015179700A (ja) * 2014-03-18 2015-10-08 キヤノン株式会社 固体撮像素子の製造方法
TWI766014B (zh) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
JP7344867B2 (ja) * 2017-08-04 2023-09-14 ラム リサーチ コーポレーション 水平表面上におけるSiNの選択的堆積
JP7018288B2 (ja) 2017-10-10 2022-02-10 東京エレクトロン株式会社 成膜方法
CN113517170B (zh) * 2021-07-09 2024-02-09 长鑫存储技术有限公司 半导体结构的制造方法、半导体结构与存储器
WO2023105680A1 (ja) * 2021-12-08 2023-06-15 株式会社京都セミコンダクター 窒化珪素膜の形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130040A (ja) * 1984-07-20 1986-02-12 Anelva Corp 薄膜作成装置
JPH07111261A (ja) * 1993-08-16 1995-04-25 Canon Sales Co Inc 成膜装置及び成膜方法
JP3725100B2 (ja) 2002-07-31 2005-12-07 アプライド マテリアルズ インコーポレイテッド 成膜方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0635323B2 (ja) * 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
TW584902B (en) 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
US20050215005A1 (en) * 2003-03-06 2005-09-29 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130040A (ja) * 1984-07-20 1986-02-12 Anelva Corp 薄膜作成装置
JPH07111261A (ja) * 1993-08-16 1995-04-25 Canon Sales Co Inc 成膜装置及び成膜方法
JP3725100B2 (ja) 2002-07-31 2005-12-07 アプライド マテリアルズ インコーポレイテッド 成膜方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2051290A4

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011145489A1 (ja) * 2010-05-21 2011-11-24 三菱重工業株式会社 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
JP2011243889A (ja) * 2010-05-21 2011-12-01 Mitsubishi Heavy Ind Ltd 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
KR101346863B1 (ko) 2010-05-21 2014-01-02 미츠비시 쥬고교 가부시키가이샤 반도체 소자의 질화규소막, 질화규소막의 제조 방법 및 장치

Also Published As

Publication number Publication date
TW200822220A (en) 2008-05-16
JP2008047620A (ja) 2008-02-28
KR101081783B1 (ko) 2011-11-09
TWI358088B (ja) 2012-02-11
EP2051290A1 (en) 2009-04-22
EP2051290A4 (en) 2010-04-21
US7972946B2 (en) 2011-07-05
US20090176380A1 (en) 2009-07-09
KR20090015158A (ko) 2009-02-11

Similar Documents

Publication Publication Date Title
WO2008018291A1 (fr) Procédé de traitement par plasma et appareil de traitement par plasma
TWI342590B (en) A stress-tuned, single-layer silicon nitride film
JP3927642B2 (ja) 高密度プラズマ膜の固有応力低減のための方法
JP3881973B2 (ja) 窒化シリコン膜の成膜方法
US8569186B2 (en) Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device
US9018108B2 (en) Low shrinkage dielectric films
KR100920033B1 (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
JP2630257B2 (ja) 半導体装置の製造方法
US6448186B1 (en) Method and apparatus for use of hydrogen and silanes in plasma
JP2014532988A (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP2001507081A (ja) 誘導結合プラズマcvd
JP2021520630A (ja) H2プラズマを用いた流動性膜の硬化
JP2007266489A (ja) プラズマcvd装置
JP2021520639A (ja) パターニング用途のためのカーボンハードマスク及び関連方法
TWI831824B (zh) 非uv高硬度低介電常數膜沉積
WO2004017396A1 (ja) 半導体基体上の絶縁膜を形成する方法
JP4358765B2 (ja) 窒化ホウ素膜の成膜方法及び成膜装置
WO2012043250A1 (ja) 絶縁膜形成装置及び方法
JP2003059918A (ja) プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法
KR102493031B1 (ko) 보론계 막의 성막 방법 및 성막 장치
JP7033999B2 (ja) ボロン系膜の成膜方法および成膜装置
JP3924183B2 (ja) プラズマcvd成膜方法
TW201831723A (zh) 成膜方法、硼膜、及成膜裝置
US20220044926A1 (en) Deposition of low-stress carbon-containing layers
WO2006075578A1 (ja) 膜形成材料および膜形成方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07791225

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 1020087031919

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 12373146

Country of ref document: US

REEP Request for entry into the european phase

Ref document number: 2007791225

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2007791225

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU