JP2001507081A - 誘導結合プラズマcvd - Google Patents

誘導結合プラズマcvd

Info

Publication number
JP2001507081A
JP2001507081A JP52886898A JP52886898A JP2001507081A JP 2001507081 A JP2001507081 A JP 2001507081A JP 52886898 A JP52886898 A JP 52886898A JP 52886898 A JP52886898 A JP 52886898A JP 2001507081 A JP2001507081 A JP 2001507081A
Authority
JP
Japan
Prior art keywords
gas
substrate
silicon
processing
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP52886898A
Other languages
English (en)
Other versions
JP2001507081A5 (ja
Inventor
シャッフルボータム,ポウル,ケビン.
マクミリン,ブライアン
デイモス,アレックス,ティー.
ウェン,ホン
ベルニー,ブッチ
ベン−ドール,モニク.
Original Assignee
ラム リサーチ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ラム リサーチ コーポレイション filed Critical ラム リサーチ コーポレイション
Publication of JP2001507081A publication Critical patent/JP2001507081A/ja
Publication of JP2001507081A5 publication Critical patent/JP2001507081A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/902Capping layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Abstract

(57)【要約】 誘導結合プラズマ増速化学蒸着リアクタの処理チェンバにおいて基板上に誘電体膜を蒸着する方法。半導体基板上の導電性配線の間でギャップの充填および表面被覆層の蒸着が行われる。膜応力の低下を含むかなり改善された物理特性を有する膜が、処理チェンバ内で基板が配置される基板ホルダを加熱することによりつくられる。

Description

【発明の詳細な説明】発明の名称 誘導結合プラズマCVD発明の分野 この発明は、半導体膜と誘電体膜の高密度プラズマ増速化学気相成長のための 方法と装置、より具体的に述べると金属相互接続層を有するシリコン・ウエーハ などの半導体基板上の高アスペクト比ギャップの中へこのような膜を蒸着する方 法に関する。従来技術の説明 化学気相成長法(CVD)は半導体集積回路における種々の薄膜の形成に使わ れている。CVDは高純度で高品質のSiO2、Si34、Siなどの薄膜を形 成することができる。薄膜を形成する反応プロセスにおいて、半導体基板が配置 されている反応容器は500〜1000℃まで加熱することができる。蒸着する 原料をガス状成分の形態で容器に供給すると、ガス分子は熱分解して、ガス中お よび基板表面で結合して薄膜を形成する。 プラズマ増速CVD装置は、上述のCVD装置のそれに類似した反応を起させ るためにプラズマ反応を利用しているが、薄膜を形成するために比較的低温で反 応を起させる。プラズマCVD装置には、反応チェンバから離れているか反応チ ェンバの一部であるプラズマ発生チャンバ、ガス導入装置、および排気装置から なる処理チャンバがある。プラズマは種々のプラズマ発生源によりこのような装 置で生成される。基板に無線周波(RF)バイアスをかけるためにRFバイアス ・コンポーネントを具備する反応チャンバには基板支持体があり、そしてプラズ マ作用による基板の温度上昇を防止するために冷却機構がある。 真空処理チェンバは、一般には、蒸着ガスを真空チェンバに供給し、ガスにR F場をかけることにより基板上に物質を化学気相成長させるために使われる。た とえば、並行板と電子サイクロトロン共振(ECR)リアクタが商業的に使われ ている。米国特許第4,340,462号と第5,200,232号を参照されたい。基板は、処理 中基板ホルダにより真空チェンバ内に保持される。通常の基板ホルダには、機械 クランプと静電クランプ(ESC)がある。機械クランプとESC基板ホルダの 例は、米国特許第5,262,029号と1995年3月10日に出願された米国特許出願第08/ 401524号に挙げられている。 プラズマ増速化学気相成長法(PECVD)は、低温で、集積回路において金 属間誘電体層の蒸着に使われている。J.Vac.Sci.Technol.Bll(2),Mar/Apr 1993,に掲載されている「無線周波数中空カソード・リアクタにおける二酸化珪 素トレンチ充填プロセス」と題するM.Grossらの論文には、低周波(1MHz) 、低圧(〜0.2Pa)酸素およびキセノン放電を支援するトップ・ターゲット を介してシランガスが供給される、中空カソード・リアクタを用いてボイド・フ リーの二酸化珪素トレンチ充填プロセスが記載されている。このプロセスでは、 高いイオン衝撃と低速の気相反応が、イオンに誘起された表面吸着物との反応を 起し、これが方向性のある酸化膜の成長を促し、これにより1ミクロンの開口部 と2.5:1までのアスペクト比を有するトレンチが400/Å分以上の速度で充 填される。 Material Science Forum Vol.140-142(1993)に掲載された「二酸化珪素金 属間誘電体薄膜のバイアス電子サイクロトロン共振化学蒸着法」と題するP.Shu fflebothamらの論文には、直径200mmまでのウエーハ上の金属間誘電体(I MD)用に使われる低温一段ギャップ充填プロセスが記載されており、ここでは 、0.5ミクロン以下の高アスペクト比ギャップがバイアス電子サイクロト ロン共振プラズマ増速化学気相成長(ECR−CVD)装置における酸素-アル ゴン-シランガス混合物を用いて二酸化珪素により充填される。この単一ステッ ププロセスは、CVD二酸化珪素にプラズマ・エッチバック・ステップ(このよ うな方法はギャップの幅が0.5ミクロン未満でアスペクト比(ギャップの高さ ;幅)1.5:1より上にある場合には不適当である)を施す逐次的なギャップ充 填ステップと平坦化ステップに代わって登場した。 従来の装置は、IMD用途に関していくつか重大な欠点がある。磁界に依存し ているヘリコン源とECRは複雑、かつ、高価である。その上、磁界はウエーハ 上の半導体装置の損傷を生じる原因に結びついていた。ECR・ヘリコンおよび らせん状共振器源もウエーハから離れたところでプラズマを生成し、均一で高品 質の膜を同時に形成することを非常に困難なものにしており、また、機器を追加 せずに微粒子を制御の下に保つために必要なin-situプラズマクリーニングを行 うことも困難であった。さらに、ECR・ヘリコンおよびらせん状共振器、さら にドーム状の誘導結合プラズマ装置も、大きくて複雑な誘電体真空容器を必要と する。結論として、スケールアップは困難であり、in-situプラズマクリーニン グは時間がかかる。発明の概要 この発明は、誘導結合プラズマ増速化学気相成長法(IC PECVD)の高 密度プラズマ装置を用いるプロセスに関する。この装置は、コンパクトで、in-s ituクリーニングを行うことができ、また、高品質の半導体と誘電体膜をつくれ る。 一つの態様では、この発明は、半導体基板上の導電性配線の間のギャップを充 填する方法に関し、具体的には、ほぼ平坦な誘導コイルを備えることもできる誘 導結合プラズマ増速化学気相成長リアクタの処理チェンバに基板を配置し;ギャ ップの充填を助長するのに十分な量の希ガスを含む処理ガスをこの処理チェンバ に導入し;次いで基板上の導電性配線の間のギャップに蒸着される誘電体膜とと もに基板上に誘電体膜を成長させるステップを含む。 別の態様では、この発明は、半導体基板上の導電性配線の間のギャップを充填 する方法に関し、具体的には、ほぼ平坦な誘導コイルを備えることができる誘導 結合プラズマ増速化学気相成長リアクタの処理チェンバに基板を配置し;(i)ギ ャップの充填を助長するのに十分な量の希ガスを含む第1の処理ガスをこの処理 チェンバに導入し;次いで(ii)第1の蒸着速度でギャップに第1の誘電体膜を成 長させて、基板上の導電性配線の間のギャップを充填し;次いで処理チェンバに 第2の処理ガスを導入して前記第1の誘電体膜の表面に第2の誘電体膜を含む表 面被覆層(この層は第1の蒸着速度より早い第2の蒸着速度で蒸着される)を蒸 着させるステップを含む。 さらに別の態様では、この発明は、基板上に誘電体膜を蒸着する方法に関し、 具体的には、基板が基板ホルダに配置されている誘導結合プラズマ増速化学気相 成長リアクタの処理チェンバに基板を用意し;誘電性体の蒸着を助長するのに十 分な量の希ガスを含む処理ガスをこの処理チェンバに導入し;基板ホルダの表面 温度を制御し;次いで、処理チェンバに誘導結合RFエネルギーを加えて処理ガ スをプラズマ状態に励起し、基板上に誘電体膜を成長させるステップを含む。 さらに別の態様では、この発明は、プラズマ処理チェンバ;この処理チェンバ 内で基板を支える基板ホルダ(この基板ホルダの温度は、約80〜200℃であ る);この処理チェンバの外側に配置されている導電性コイルを含む誘導結合プ ラズマ処理装置;この処理チェンバに処理ガスを導入する手段;および、誘導結 合RFエネルギーを処理チェンバに入れて処理ガスをプラズマ状態に励起するR Fエネルギー源に関する。コイルは平坦なものでも平坦でないものも使えるが、 ほぼ平坦なコイルが好ましい。 蒸着する膜によっては、処理ガスは、SiH4、SiF4、Si26、TEOS 、TMCTSおよびそれらの混合物からなる群から選択した珪素含有反応ガスを 含むことができる。処理ガスは、水素、酸素、窒素、アンモニア、NF3、N2O 、NOおよびそれらの混合物からなる群から選択した反応ガスを含むことができ る。一方、処理ガスは、硼素含有ガス、リン含有ガスおよびそれらの混合物から なる群から選択した反応ガスを含むことができる。最も好適には、処理ガスはア ルゴンなどの希ガスを含むこともできる。 この発明の一つの特徴によると、誘導結合プラズマは、平坦なコイルを有する RFアンテナによりつくられる。したがって、IC PECVDリアクタは、た とえば、300mmのウエーハや600mm×720mmのフラットなパネル・ ディスプレイを収容できるように簡単にスケールアップすることができる。誘導 結合プラズマ(ICP)源は、スパッタ・エネルギーの制御に使われるバイアス パワーとは無関係に大面積上に均一で高密度のプラズマをつくる。ECRやヘリ コン源とは異なり、磁石は不必要である。図面の簡単な説明 添付図面を参照しながらこの発明についてより詳細に説明する。これらの図面 では同一の要素は同一の参照番号が付いている。 図1は、この発明によりこのプロセスを行うことができる高密度誘導結合プラ ズマ・リアクタの概略図であり、 図2には、種々の酸素とシランの質量流量比(全流量は一定)において蒸着し た膜のFTIRスペクトルが示されており、 図3A・3B・3Cおよび3Dは、ギャップ充填物の走査型電子顕微鏡(SE M)写真であり、すべての試料は膜の不完全さを強調するために装飾が施されて おり、構造は酸化物上の多結晶シリコンであり、蒸着時間は3Aが1分であった 以外はすべて3分であり、 図4はガス注入装置を備えたプラズマ・リアクタであり、さらに 図5はガス注入装置の注入器である。好適な実施態様の詳細な説明 誘導結合プラズマ増速CVDリアクタ 図1は、高密度プラズマにより基板を処理できるICPリアクタ20を示して いる。適切なICPリアクタには、カリフォルニア州フレモントのLAM Research Corp.のTCPTM装置がある。この明細書の参考文献の一つである0gleの米国 特許第4,948,458号を参照されたい。このリアクタは、プラズマ22が基板23 の近くで発生する処理チェンバ21を含む。基板は水冷された基板支持体24に より支えられており、基板の温度制御は導管25を介して基板と基板支持体の間 の空間にヘリウムガスを供給して行われる。基板支持体は加熱できる陽極処理し たアルミニウム電極か電極を埋め込んだセラミック材料を含むこともでき、この 電極は、RF整合等を与える連結電気回路27およびRF源26により作動して いる。処理中の基板の温度は温度プローブ29を取り付けた温度記録装置28に より記録される。 チェンバ21を真空状態にするために、出口30にターボポンプが接続されて おり、望ましい真空圧を維持するために圧力制御弁を使用することができる。処 理ガスは誘電体窓33の下側の周りに延在しているガス分散リングに反応ガスを 供給する導管31,32によりチャンバに供給することができる。あるいは、処 理ガスは、誘電体窓のシャワー噴射口から供給することができる。窓に隣接した チャンバの外側に配置された外部ICPコイル34は、インピーダンス整合等の ための連結電気回路36およびRF源35によりRFパワーとともに供給される 。明らかに、外部誘導コイルはほぼ平坦であり、一般には、平坦スパイラルまた は 一連の同心円リングとして形成された単一の導電性エレメントが含まれている。 平坦な形状は、コイル直径を大きくして、大きな基板を収容するために、長い導 電性エレメントを用いることによりコイルを簡単にスケールアップすることがで き、または広い面積について均一なプラズマを発生するために多数のコイル配置 を用いることもできる。基板を処理する場合は、RF源35はコイル34に好適 には約100kHz〜27MHz、そしてより好適には13.56MHzにてR F電流を供給し、一方、RF源26は下側の電極に好適には約100kHz〜2 7MHz、そしてより好適には400kHz、4MHzまたは13.56MHz にてRF電流を供給する。基板表面上の大きなDCシース電流は、電極へRFパ ワーを供給することにより与えることができる。 ギャップ充填ステップの間に成長膜のイオン衝撃を発生するために基板にRF バイアスがかけられる。RF周波数は定常状態シースを保持するために必要な値 を超えていればよく、数百kHzである。基板バイアスは膜特性に対して多くの 有益な効果があり、また、ギャップ充填ステップにおいて成長膜を同時にスパッ タするためにも使える。これにより狭くて高いアスペクト比のギャップに高品質 の誘電体を迅速に充填することができる。RFバイアスは表面被覆層蒸着ステッ プの間に使用することができる。 リアクタ20は、0.5μm未満の高アスペクト比ギャップにボイドのない充 填を行う場合に、エッチングと蒸着の速度比(EDR)を上げるために重い希ガ ス(heavy noble gas)が使われるこの発明のギャップ充填プロセスを行うのに 使われる。ギャップ充填プロセスは、「高密度プラズマCVDによる改善された ギャップ充填方法」と題する1996年3月29日に出願された同時係属出願第08/623, 825号にも記載されている。なお、これはこの明細書の参考文献の一つである。 重い希ガスはコーナーが約45°の角度で小平面(ファセット)を形成している ようなギャップの側壁のコーナーのスパッタリングにおいて有効である。希 ガスはイオン化ポテンシャルが低く、特定のRFパワーにおいて蒸着速度に比べ てスパッタリング速度を増速する大きなイオンを形成し、したがって、特定のギ ャップ構造の充填に必要なパワーを低下させる。その上、希ガスの低いイオン化 ポテンシャルはプラズマ発生を広げるのに役立ち、イオン衝撃を基板の全域でよ り均一なものにする。非反応性希ガスの中で最も重いのはキセノンであるから、 キナノンは希ガスとして好適である。クリプトンは、キセノンに比べて質量が小 さくイオン化ポテンシャルは高いが、クリプトンも使用できる。アルゴンも希ガ スとして適切である。添加された希ガスの量は、エッチングと蒸着の速度比が好 適には約5〜70%、そしてより好適には約10〜40%になるような蒸着速度 の大きさでスパッタ・エッチング成分を効果的に与えるのが好ましい。 ICP-CVDリアクタにおいて蒸着プロセスを行う場合、チェンバの真空圧 力は100mTorr未満、好適には30mTorr以下、そしてより好適には約1〜5mT orrに維持できる。処理ガスの個々の成分の流速は、200mm基板の場合10 〜200sccmの範囲にあり、基板が大きくなると流速も大きくなる。プロセ ス圧力の制御にはゲート弁で絞られたターボ分子ポンプが使われる。各成分の相 対的な量は、一部は、蒸着する化合物の化学量論に左右される。ICPパワーは 、好適には200〜3000ワットの範囲にあり、底部電極に加えられたRFバ イアス・パワーは200mm基板の場合0〜3000ワットの範囲にすることが できる。底部電極の表面積は、RFバイアス・パワーを約0〜8ワット/cm2そ して好適には2ワット/cm2以上供給できるような表面積であることが好ましい 。たとえば、ヘリウムやアルゴンを含む熱伝達ガスは1〜10Torrの圧力で供給 して、基板温度を約20〜500℃、より好適には約100〜400℃、そして 最も好適には約150〜375℃に維持することが好ましい。 基板上の金属配線または予め存在する膜や構造の損傷を防止し、正確なプロセ ス制御を保証するために、、加熱された機械チャックまたは好適には静電チャッ ク(SEC)が基板を保持するために使われる。ESCの極性は2でも1でもよ い。ウエーハの温度を約325〜375℃に維持するために、電極の温度は約5 0〜350℃の範囲に保つのが好ましい。好適な電極温度は、とりわけ、RFバ イアス・レベルと個々の蒸着ステップに左右される。たとえば、ギャップ充填プ ロセスにおいては、電極温度は約80(フル・バイアス)〜200℃(バイアス なし)に維持するのが好ましい。同様に、表面被覆プロセスにおいては、電極温 度は約125(フル・バイアス)〜350℃(バイアスなし)に維持するのが好 ましい。ここでは、ギャップ充填プロセスと表面被覆プロセスについて説明する 。温度制御に適したチャックは、1996年9月30日に出願された「高密度プラズマ 化学的蒸着法用の可変高温チャック」と題するB.McMi11lnの同時係属出願第_ ___号で開示されている。なお、これはこの明細書の参考文献の一つである。 蒸着中、基板(たとえば、ウエーハ)は、通常、プラズマ加熱によりESCの 温度より高い温度に保持される。したがって、ESCは加熱されても、その温度 は基板の温度よりも低い。電極も、基板温度制御のために、ヘリウム背部冷却を 具備するのが好ましい。基板温度は、RFバイアス、ESC温度およびこの明細 書で述べた他のパラメータのレベルを調節することにより制御することができる 。この明細書の実験の部で述べるように、電極温度は蒸着した膜の物理的特性に 顕著な影響を及ぼすことができる。 ICP-CVDリアクタは、それにより形成される膜の品質が優れており、結 晶性シリコンの高温熱酸化により成長させたSiO2と区別できないほどなので、I MD用のSiO2の蒸着にとくに適している。さらに、この方法はアスペクト比が3 :1およびそれ以上において0.25μm程度の狭いギャップに高品質の物質を 充填することができる。さらに、アルミニウムメタライゼーションと親和性(co mpatibility)がある場合は、蒸着温度を450℃未満にすることができる。ま た、厚さの均一性は8インチのウエーハで1σが2%より優れており、他の膜特 性ではほぼ変動はない。最後に、プロセスの生産性では、ICP-CVDはギャ ップ充填プロセスでは、5000Å/分より高い正味の蒸着速度を達成できる。 表面被覆層については、ICP−CVDは約1.5μm/分までの蒸着速度で均一 性のよい膜を提供する。導体配線は、たとえば、銅、タングステンおよびそれら の混合物を含む他の適切な材料で形成することができる。 0.5μm未満の高アスペクト比のギャップへのこの発明の方法によるSiO2の 蒸着では、SiO2の蒸着とスパッタリングが同時に起きている。これにより得られ た異方性蒸着は下から上へギャップを充填しており、また、スパッタリング・イ ールドの角度依存性は、蒸着中にギャップの上部が細く絞られること(pinching off)を防ぐ。最も高密度のプラズマ装置の重要な特徴は、基本的にはプラズマ 発生とは無関係に、バイアス・パワーがウエーハの上のシース電圧を決めること である。高いバイアス・パワーは大きなシース電圧を生じ、したがって、ウエー ハ表面のエネルギッシュなイオン衝撃を生じる。RFバイアスがない場合は、膜 の品質とギャップ充填性能は、側壁膜がぎざぎざしているのでよくない傾向があ る。すなわち、そのような外観は、金属配線の上に多孔性で重い蒸着物が形成さ れ、これがトレンチ底部の蒸着を妨げ、最終的にギャップを細く絞り(pinch-of f)、ボイドを残すことを示唆している。 ICPは高密度のプラズマ(たとえば、>約1×1011イオン/cm3)を発生 し、そして非常に低圧(たとえば、<約10mTorr)でもそれを持続することが できる。高密度PECVDの利点としては、処理量の向上、大面積にわたる均一 なイオンおよびラジカルの密度、そして、更にスケールアップしたリアクタの生 産性が挙げられる。基板電極の別のRFバイアシングを追加した場合は、ICP -CVD装置でもイオン衝撃エネルギーを独立して制御することが可能で、プラ ズマ蒸着プロセスを操作する上での更なる自由度が得られる。 ICP装置では、プラズマ源からウエーハに衝突する酸素化学種(oxgen spe cies)とウエーハに吸着.されたシラン・フラグメントとの間でのイオン活性化 された反応によりSiO2膜が成長する。ICP-CVDを用いると、0.5μm未満 の高アスペクト比のギャップは、直径8インチ(20.32cm)のウエーハ上 で高品質のSiO2誘電体で充填され得る。要するに、ICP-CVD装置は高密度 プラズマを利用した、工業的に利用可能な、金属間の誘電体CVDプロセスを提 供する。処理ガス分配装置 高密度PECVDに関して、蒸着速度を向上させチェンバクリーニングの必要 性を最少化するために、基板表面へ均一で高流速の反応ガスを供給するガス分配 装置を用いることにより蒸着速度と均一性が改善されることが証明されている。 適切なガス分配装置は、1996年6月28日に出願された「誘電体膜の高密度プラズ マ化学的蒸着法用の集中され、かつ、熱的に制御されたプラズマ処理装置および 方法」と題するB.McMillinの同時係属出願第08/672,315号で開示されている。 なお、これはこの明細書の参考文献の一つである。 図4には、このようなガス分配装置を備えたプラズマ処理装置を例示している 。この装置は、基板支持体130と処理チェンバ140を含む。支持体は、たと えば、RFバイアスされた電極を含み得る。支持体は、チェンバの底部の壁から 支えることもできるし、チェンバの側壁から延在しているカンチレバで支えるこ ともできる。基板120は機械的または静電的に電極にクランプされ得る。 この装置には、さらに、図4に示した平坦なマルチターン・コイル、非平坦マ ルチターン・コイル、または別の形状のアンテナなどのアンテナ150があり、 適切なRF源により動作され、そして適切なRFインピーダンス整合電気回路が 、RFエネルギーをチェンバに誘導結合し、高密度プラズマを与える。このチェ ンバはチェンバ内部を望ましい圧力に維持する適切な真空ポンプ装置を含んでも よい。図4に示した均一な厚さの平坦な誘電体窓155などの誘電体窓、または 平 坦でない誘電体窓が、アンテナ150と処理チェンバ140との間にあり、処理 チェンバの上部に真空壁を形成している。 一次ガスリング170は誘電体窓155の下に配置されている。ガスリング1 70は基板の上のチェンバ・ハウジングに機械的に取り付けられ得る。ガスリン グ170は、たとえば、アルミニウムまたは陽極処理アルミニウムで形成される 。 二次ガスリング160も、誘電体窓155の下に配置されている。アルゴンや 酸素などの1種以上のガスを二次ガスリング160の出口からチャンバ140に 供給する。適切なガスリングであれば、二次ガスリング160として使える。二 次ガスリング160は図4に示すように、アルミニウムまたは陽極処理アルミニ ウムで形成された随意のスペーサ165により分離されるようにして、ガスリン グ170の上に配置され得る。図示していないが、代わりに、二次ガスリング1 60はガスリング170と基板120の間においてガスリング170の下に配置 することができる。または、二次ガスリング160は基板120の下に配置して 、チャンバの床から垂直な方向にガスを注入することができる。さらに別の構成 では、アルゴンと酸素がチェンバの床に接続された出口から供給され、スペーサ 165が誘電体窓155と一次ガスリング170を分離している。 複数の取り外し可能な注入器180が一次ガスリング170に接続されており 、これによりSiH4などの処理ガス、またはSiF4、TEOSなどの、珪素を含 有した関連ガスを基板120上に向けている。これらのガスは、注入器出口オリ フィス187を介して注入器180から基板に供給されている。さらに、反応ガ スは、一次ガスリング170の出口から供給できる。注入器は、アルミニウム、 陽極処理アルミニウム、石英、またはアルミナなどのセラミックなどの適切な材 料で形成することができる。図には2つの注入器が示されているが、その数は幾 つであってもよい。たとえば、一次ガスリング170の各出口に注入器を接続す ることができる。好適には、200mm基板では、直径200〜210mmのリ ン グ170において8〜32個の注入器が使える。 これらの注入器180は、それらのオリフィスが基板から適当な間隔(たとえ ば、3〜10cm)を持つようにして、基板120の平面の上に配置される。好 適な実施態様によると、注入器は、基板周辺の内側または外側に、たとえば、基 板周辺から0〜5cm隔てて配置され得る。これは、注入器から粒子フレーク( partlcle flakes)が基板上に落ちて基板を汚染しないように保証するのに役立 つ。注入器の長さはすべて同じでもよいが、蒸着速度と均一性を高めるめに種々 の長さのものを組み合わせて使用することができる。注入器は少なくともその一 部が基板の露出表面と交差する方向に処理ガスを向けるように配置されることが 好ましい。 従来のガス注入装置では基板上のガスの分配は主に拡散に依存しているのとは 反対に、この発明の一つの実施態様による注入器は基板の露出表面と鋭角に交差 する方向にガスを注入するように向けられている。注入角度は、基板の水平面か ら約15〜<90°、好適には15〜45°の範囲にある。注入の角度または軸 は注入器の軸に沿っているか、または注入器の軸に対して90°までの角度また は90°以上の角度になっている。注入器の出口オリフィスの直径は、0.01 0〜0.060インチ、好適には0.020〜0.040インチの間にある。注入 器180の中空コアは、注入器のコア内ではなく出口オリフィスで音速流が生じ ることを保証するために出口オリフィス187の直径の約2倍になるように形成 されている。SiH4の流速は200mm基板で25〜300sccmの範囲が好適 であり、大きな基板では流速をさらに上げた方がよい。 もう一つのガス注入装置は図5に例示するような注入器を複数用いている。こ の実施態様では、オリフィス187Aは、ウエーハ120Aから離れる方向(そ して誘電体窓に向かう方向)の注入軸(「A」で表示された)に沿ってガスを導 入する方向に向いている。注入の角度又は軸は注入器の軸(「B」で表示された )に沿っているか、または代わりに注入器の軸に対して90°までの角度または 90°以上の角度になっている。この配置では、注入軸は基板の水平面から約5 〜<90°、好適には15〜75°、そして最も好適には15〜45°の範囲に ある。このデザインは、処理ガスがウエーハの上に集中し、これが高い蒸着速度 と優れた均一性をもたらすという特徴を有しており、さらに、オリフィスの閉塞 を少なくする利点もある。オリフィスの閉塞が少なくなると、注入器のクリーニ ングが必要になるまでに多くのウエーハを処理することができ、結局ウエーハの 処理量を向上させる。 小さなオリフィス・サイズ、注入器の数および大きなシラン流速により、ガス リング170とチャンバ内側との間に大きな差圧が生じる。たとえば、ガスリン グの圧力が>1Torr、そしてチャンバ内側の圧力が約10mTorrであれば、圧力 差は約100:1である。これにより、注入器の出口で、チョークされた音速流 (chocked sonic flow)が生じる。注入器の内部オリフィスは、出口で超音速流 が得られる形状であってもよい。 音速でシランが注入されると、プラズマが注入器に浸透するのを抑制する。こ のデザインにより、プラズマによってSiH4が分解されガスリングと注入器の延長 管内にアモルファスシリコンの残滓が形成されることを防止する。 実験 ギャップの充填と表面被覆層を蒸着する場合、このプロセスは、一般には、珪 素含有ガスのないプラズマにおける最初の随意のスパッタ・クリーン/予熱ステ ップとそれに続く高いバイアス・パワーによるギャップ充填ステップを含む。ギ ャップが部分的に充填された後、最終的な犠牲膜、すなわち、「表面被覆」層が 、好適には低いRFバイアス・パワーで蒸着される。表面被覆層が蒸着される前 に、ギャップ充填ステップでギャップの殆ど全てまたは少なくとも主要部分を充 填す るのが好ましい。表面被覆層蒸着ステップは、膜成長中にスパッタリングを必要 とせず、膜の品質を適切に保つためにのみ十分なバイアス・パワーを必要とする 。この表面被覆層は、ギャップ充填ステップよりも高い蒸着速度で蒸着される。 好適には、この表面被覆膜は、その後に行われる化学・機械的研磨(CMP)平 坦化ステップで部分的に除去される。 IC PECVD装置は、半導体、誘電体および表面被覆の各膜を形成する成 分を含む処理ガス中に高密度の低圧プラズマを発生する。この発明のプロセスは 、たとえば、水素化アモルファスシリコンSi:H、シリコン酸化物SiOx( xは1.5〜2.5)、シリコン窒化物、SiN、シリコンオキシフルオライド、 SiOx4y(xは1.5〜2.5、yは2〜12)、およびそれらの混合物を含 む適切な半導体、誘電体および/または表面被覆の各膜の蒸着に適用できる。化 学量論的な化合物でも非化学量論的な化合物でも、蒸着することができ、また、 xとyの値は、たとえば、反応ガスの選択およびそれらの相対的な流速などのプ ロセス・パラメータを調整することにより制御できる。無機および有機ポリマー も蒸着できると思われる。好適な誘電体膜と表面被覆膜にはSiO2が含まれて いる。この発明はSiO2の蒸着を例にして説明しているが、この発明は他の膜 にも適用できると考えられている。 処理ガスの成分は、蒸着する半導体および/または誘電体膜により左右される 。珪素含有膜については、処理ガスには、たとえば、シラン(SiH4)、テト ラエチルオルソシリケート(TEOS)、1,3,5,7テトラメチルシクロテト ラシロキサン(TMCTS)、ジシラン(Si26)または他の珪素含有有機金 属ガスが含まれる。処理ガスには、とくに表面被覆層を蒸着する前のギャップ充 填ステップの間にプラズマ特性またはスパッタリング速度を制御するために、希 ガス、好適にはAr、Kr、Xeおよびそれらの混合物を含むことができる。膜内に非 珪素成分を加えるために、処理ガスは、たとえば、N2、O2、N2、NH3、N F3、N2O、NOおよびそれらの混合物などの反応ガスを含むことができる。 反応ガスには、ボロンおよび/またはリン含有ガスを含むことができ、ボロン− リン−シリケート・ガラス(BPSG)、硼珪酸ガラス(BSG)、リン珪酸ガ ラス(PSG)の各膜が得られる。実施例I(ギャップ充填プロセス) SiO2 IMD蒸着を図1の装置に類似したICP装置で行った。機械的に クランプされた150mmウエーハを用いた。窓33のボトム・エッジに配置さ れた2つのガスリングを用いた。一つのリングはシランを散布し、他はアルゴン と酸素を散布した。装置パラメータは表1に記載している。電極温度は80℃に 保持した。 膜特性に対する酸素とシランの質量流量比(全流量一定における)の影響 シランと酸素の質量流速の比(R)、すなわち、 R=QSiH4/(QSiH4+QO2)(Qはガス質量流速) により主として定めた、プラズマの化学組成により膜の化学量論を求めた。ウエ ーハが遭遇する有効酸素−シラン比は他のプロセスパラメータにも左右されるこ とに留意されたい。膜特性に対するRの影響は表2に示す。 蒸着のプラズマ化学は下記反応に分類することができる: R<0.5:シラン制限(SiH4-limited) (2+n)O2+SiH4→SiO2:(OH)4n+(2−2n)H2O (I) R≧0.5:酸素制限(O2-limited) O2+SiH4→SiO2:(H)2n+(2−n)H2 (II) ここで、SiO2:(X)nはXのフラクションn(ここで、0≦n<1)を含有 する近似的に化学量論的な酸化物を示している。測定したOH含有量に基づいて 、nは常に0.025未満であった(OH<10at.%)。膜の成長がシランによ り制限されるかぎり(R≦0.5)、反応(I)が優勢であった。この反応は、R が低下するほどプラズマ内へ遊離する水の量が多くなり、これがRが低下するほ ど膜のOH濃度が上がるという観察を説明している。逆に、酸素が制限する領域 で操作すると、反応(II)(R>0.5)により水素の生成が増加し、これが、 Rが大きいほどSi-H(およびSi23などのSiに富むサブオキサイド・グ ループが出現する)としてHの取り込みが増加することを説明する。これは、タ ーボ分子ポンプは水素中では排気速度が低いので、高いRにおいて測定された高 いチャンバ圧力も説明する。 これらのデータは、R=0.40付近でプロセスに重要な変化が起きているこ とを示唆している。表2に示すように、この遷移はすべての膜特性に現れており 、上で述べた、シランが制限する化学、反応(I)、から酸素が制限する化学、反 応(II)への遷移に対応している。蒸着速度とシラン流量とは直線関係にあり、シ ラン制限領域(R<0.40)で外挿すると、予想通り、流量ゼロで厚さはゼロ になる。 膜応力は、通常、膜と基板との間の示差熱膨張による機械的応力の関数であり 、そして膜固有の応力である。前者は、主として、蒸着温度により決まる。後者 の場合、膜のミクロ構造と化学量論が主な要因であった。シラン制限領域では、 膜応力は、主として蒸着速度に左右されるようであった。膜の成長が速いと、イ オン衝撃によるスパッタリング/緻密化や熱緩和の時間が少なくなると考えられ ている。酸素制限条件下で成長した膜は、高速で蒸着されたが、酸素に富む条件 の下で成長した膜よりも圧縮性が低かった。 図2に示したFTIRスペクトルは、領域IとIIの関連性を説明している。低 いRでは、Si-OHとSi-HOHの吸収帯が認められたが、Si-Hは認めら れなかった。高いRでは、Si-OHは検出されなかったが、Si-Hとサブ-オ キサイド(Si23)のSi-O帯は存在していた。中間的なRでは、酸素に富 む側では、最小限のSi-OHとSi-Hの取り込みが認められるようである。中 間的Rの領域は、望ましい誘電定数を達成するには最適である。屈折率も、1. 465〜1.480の範囲の屈折率は良好な誘電定数の膜に相当するので、好適 な操作条件の基準として使える。膜特性に対するICPパワーの影響 表3には、バイアス・パワーを1000W一定に保持した場合の、膜特性とI CPパワーの関係を示す。 膜特性に対するICPパワーの作用は、全流量により生じた作用と性質が似て いる。両作用は本質的には蒸着前駆体を供給する現象(deposition precursorsu pply phenomenon)であるように思われる。主要な分解前駆体がシランの分解を 介して生成されたと仮定すると、ウエーハ表面への化学種の供給は、プラズマ中 での化学種の発生速度、並びにポンプに引き込まれる化学種及びリアクタ壁に蒸 着される化学種のロスの速度に左右される。全流量とICPパワーの両方が、発 生及びロスのいずれかをベースとするメカニズムを介してウエーハにおける有効 Rに影響を及ぼす可能性がある。 前駆体発生の場合、結合強度に基づく計算は、シランの解離に必要なエネルギ ーが酸素の解離の場合よりも低いことを示している。この場合、シランの供給( 全流量)を増すと、関連酸素化学種よりSiHxの供給を優先的に増加させる。 これが、観察結果に現れたように、反応化学を高いRへと駆動する。ICPパワ ーも、どのような関係があるか分からないが、このプロセスを駆動すべきである 。膜特性に対するバイアス・パワーの影響 DCシース電位、即ち、衝撃イオンの運動エネルギーを、成長している膜をス パッタする点まで、上げるためにウエーハにバイアス・パワーを加えた。これが 膜の品質を様々な方法で向上させた。酸素プラズマによる先行蒸着スパッタによ りウエーハ表面をクリーニングすると、接着性のよいクリーンな界面を形成する ことができる。イオン衝撃は蒸着中にウエーハを加熱するので、背部ヘリウム冷 却による温度制御が必要になる。イオン衝撃は膜から「エッチング」に弱い非平 衡構造を優先的にスパッタし、そしてコンパクト化により緻密にする傾向がある 。これにより、他にはない、低いウエーハ温度で高品質の膜を蒸着することがで きる。膜特性とバイアス・パワーの関係を表4に示す。 一般的な膜特性は、400ワットと500ワットの間で顕著に変化した。40 0W未満では、イオン・エネルギーはバイアス・パワーとともに増加するが、イ オンのエネルギーはスパッタするには不十分であり、したがって、この領域にお けるバイアス・パワーの主な作用はウエーハの上でプラズマ発生を増速すること であった。400Wより上では、平均イオン・エネルギーは、おそらく、SiO2の スパッタリング限界値の上にあり、したがって、スパッタリング成分が二次プラ ズマ発生による作用より優勢であるから、正味の蒸着速度は低下した。ギャップ充填蒸着 ギャップ充填の性能は、「エッチングと蒸着の速度比」、すなわち、ER/D Rから予測することができる。この比は、RFバイアス有無の場合の蒸着速度か ら計算することができる。ただし、「ゼロ-バイアス」条件は実際は、二次プラ ズマ発生に見合う100Wが使われ、すなわち、E/D=[DR(バイアスなし) −DR(バイアスあり)]/DR(バイアスなし)の関係がある。ここでDRは蒸着 速度を意味する。E/Dが高い場合のプロセスは、ギャップをより積極的に充填 することができる。一般には、正味の蒸着速度を最大にするために、ギャップを 充填するのに必要なできるだけ低いE/Dを使う必要がある。もちろん、ギャッ プが一旦充填されれば、膜の品質を保持するために必要な最小値に下げる必要が ある。したがって、IMD層の大部分はかなりの高速で蒸着することができる。 図3A・3B・3Cおよび3Dに示したSEMは、ICP-CVDによりギャ ップ充填した良い例と悪い例を示している。図3Aは、バイアス・パワーを用い ずに試みた部分充填の例を示している。膜は多孔性で、「パンの塊」のような外 観が配線のトップで見ることができる。結局、これが閉ざされると、図3Bに示 すようなボイドが残る。スパッタリング・イールドは45°で最大になるので、 優先的にスパッタされて除かれる構造もある。図3Bには、バイアス・パワーは 用いたが、そのギャップにはE/Dが低すぎて、充填が不成功に終わった例を示 している。このプロセスの初期に閉ざされたパンの塊は、大きくて深いギャップ を残すことに留意すること。図3Cには、ギャップが充填される直前に形成され た小さなボイドが、うまく充填された同じようなギャップの隣に示されている。 この場合、E/Dが十分でなかった。層の形成(layering)は、珪素に富む薄い 層を周期的に蒸着させ、その試料を適切に染色して装飾を施してコントラストを つけて慎重に行った。これにより水平面上の成長に比べて側壁の成長はわずかで 、底から上へギャップの充填がなされた様子が明瞭に示されている。スパッタリ ングにより配線の上に形成された45°の小平面(ファセット)もはっきりと見 える。図3Dは、適度なE/Dプロセス(100sccmのアルゴン)がアグレ ッシブなギャップを完全に充填した様子を示している。これは、ICP-CVD がアグレッシブな構造を充填できることを示している。実施例II(ギャップ充填および表面被覆プロセス) シリカIMDと表面被覆蒸着を図4の装置に似たICP装置で行った。この実 施例では、200mmのウエーハを処理した。ウエーハは、熱的に制御されたチ ャックに静電的にクランプされた。低い方の電極は13.56MHzのジェネレ ータにより作動させた。高流量における排気速度を向上させるために2000( l/sec)のポンプをICP/CVD装置に取り付けた。1000〜2500 ワットの範囲のICPパワーを用いた。ギャップ充填プロセスには、500〜2 500ワットの高いバイアス・パワーを用いた。 ギャップ充填、表面被覆層および犠牲的表面被覆の場合の代表的なプロセス・ パラメータおよび対応する膜特性を表5に示す。この表には、プロセス・パラメ ータとして好適な範囲も載せている。 これらの蒸着(0.5μmのギャップ)では、処理ガスにアルゴンを入れた。 しかし、アルゴンの添加は、好適な範囲に示しているように必ずしも必要ではな い。表面被覆層の蒸着では、品質のよい膜をつくるために、初期の蒸着に高い電 極RFバイアス・パワーを用いることができる。その後は、品質の低い犠牲的表 面被覆層を形成するために低いバイアス・パワーが加えられる(好適には、同じ 電極温度を維持しながら)。通常、この犠牲的表面被覆層は、その後の平坦化プ ロセスにおいてほとんど除去される。 一般には、基板の温度を上げると蒸着膜の特性は向上する。通常、基板温度に 主として寄与するのは、(1)基板支持体(ESC)からの熱的加熱と(2)電 極RFバイアス・パワーから主に来るプラズマ加熱であり、そして程度は低いが ソース(ICP,ECR等)パワーの寄与もある。 従来技術では、膜特性の向上を試みる場合は、基板温度を上げるために高いソ ースおよびバイアスの両パワーが使われた。しかし、これは、背部ヘリウム圧力 とパワーおよびチェンバ高さの影響を調べている下の結果が証明しているように 、望ましい膜特性の間に二律背反的関係をもたらすことが度々見られる。背部ヘリウム圧力とパワーおよびチェンバ高さの影響 電極温度80℃の場合に、基板温度を調節するために、スペーサ高さ、ヘリウ ム冷却圧力およびICP-CVD装置のパワー・レベルを変えて一連の蒸着が行 われた。結果を表6に示している。400℃近い基板温度の場合に、高品質の酸 化物が得られることが判明した。とりわけ、高い基板温度は揮発性化学種を追い 出し、膜密度を向上させる。ヘリウムを使わなかった蒸着3では、ウエーハ温度 は450℃を超えていたと思われる。 最初の3枚セットのウエーハでは、ヘリウム圧力を2から0Torr(すなわち、 冷却なし)まで下げた。その結果、基板温度は275℃から400℃を超える温 度まで上昇した。膜特性はウエーハ温度が高いと高品質の膜が得られることを示 している。これらの膜ではOHレベルが低く、また、他の膜特性もすべて優れて いた。高いウエーハ温度を用いる利点は、膜応力・OH%および湿式エッチング 比について、それが不利な影響を及ぼさないことである。 第2組のセットのウエーハ(蒸着番号4〜6)では、基板温度の制御に対する 、ヘリウムとアルゴンり両冷却ガスの作用を証明している。最初の3枚のセット はヘリウム、そして第2の3枚のセットはアルゴンを用いた。結果は両冷却ガス で処理結果にほとんど差がないことを示している。 第1と第3の3枚セットは、ウエーハのプラズマ加熱の影響を比較している。 ウエーハの加熱は、ICPコイルと基板表面(スペーサ高さ)との間隔を縮めて 行った。結果は、膜の品質は同じパワー・レベルの処理では膜品質は高いギャッ プ間隔から低い間隔へ行くにつれて変化することを示した。OH%は変わらなか ったが、湿式エッチング比は、ヘリウム冷却が2Torrと1Torrの場合を比較する と、低い間隔において向上が見られた。しかし、低いギャップ間隔を用いた場合 は高い圧縮応力が認められた。 表6の第3の3枚セットと最後の2枚セットを比較すると、ICPパワーが2 500から2000ワットへ下げられている。これらのデータは、パワーを下げ ると、圧縮応力が下がることを示している。湿式エッチング比の下落は、プラズ マ加熱の低下により膜構造を多孔性構造に変えたことを示している。したがって 、湿式エッチング比は、パワー・レベルが高い方が優れている。 膜特性に対する加熱電極の影響 基板温度を上げるために、ソースおよびバイアスの両パワーを用いる方法とは 、対照的に、電極温度を上げると、膜応力・OH%および/または湿式エッチン グ 比の望ましい値の間で二律背反的関係なしに膜品質を向上させ、そして処理チェ ンバの窓を広くできることが証明された。 これは表7に示した結果により例示されている。この表では、70℃と120 ℃の電極を用いた場合の表面被覆層蒸着結果を、RFバイアス有無の両ケースに ついてまとめられている。表面被覆層膜をつくる場合は、湿式エッチング比は< 2.1、OH%は≦約1%、そして膜応力は200Mpa未満であることが好ま しい。単に、バイアスを0から2000Wへ上げて、ウエーハのプラズマ加熱を 増加させると、湿式エッチング比が低下するだけでなく、膜応力も大きくなり、 望ましくない結果になる。これとは対照的に、電極の温度を上げると、RFバイ アス・パワー有無の両ケースについて、膜応力と湿式エッチング比がともに低下 する。したがって、約60〜200℃の範囲の熱的に制御された電極を用いるプ ロセスが好適である。 用いたプロセス・パラメータは表5に記載されている。 高温電極を用いるもう一つの利点は、たとえば、圧力・反応ガス流速およびI CPパワーを含む他のプロセス条件の範囲が広いので、幅広い操業条件を使える ことである。 以上、この発明の原理、好適な実施態様および操業様式について説明した。し かし、この発明は説明した個々の実施態様に限定されると解釈すべきではない。 したがって、上述の実施態様は制約ではなく説明と見なすべきであり、以下の請 求項により規定されたこの発明の範囲から逸脱することなく、当業者によりこれ らの実施態様の変形態様がつくられると理解する必要がある。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 マクミリン,ブライアン アメリカ合衆国 カリフォルニア州 94538 フレモント,ギャラウデット ド ライブ 39469 110 アパートメント(番 地なし) (72)発明者 デイモス,アレックス,ティー. アメリカ合衆国 カリフォルニア州 94123 サン フランシスコ,ベイ スト リート 1692 (72)発明者 ウェン,ホン アメリカ合衆国 カリフォルニア州 94506 ダンヴィル,ベント オーク コ ート 50 (72)発明者 ベルニー,ブッチ アメリカ合衆国 カリフォルニア州 94588 プレザントン,リージェンシー ドライブ 8045 (72)発明者 ベン−ドール,モニク. アメリカ合衆国 カリフォルニア州 94303 パロ アルト,ガルランド ドラ イブ 756

Claims (1)

  1. 【特許請求の範囲】 1.半導体(23,120)基板上の導電性配線の間のギャップを充填する方 法であって、 誘導結合プラズマ増速化学気相成長リアクタ(20)の処理チェンバ(21, 140)に基板(23,120)を配置し; ギャップの充填を助長するのに十分な量の希ガスを含む処理ガスを前記処理チ ェンバに導入し;次いで、 前記基板上に誘電体膜を成長させ、前記基板上の導電性配線の間のギャップに 前記誘電体膜を蒸着するステップを含む方法。 2.請求項1に記載の方法であって、前記処理ガスが、さらに、SiH4、S iF4、Si26、TEOS、TMCTSおよびそれらの混合物からなる群から 選択される珪素含有反応ガスを含み、前記処理が、さらに、前記珪素含有反応物 を分解して珪素含有ガスを形成し、次いで前記基板の表面で前記珪素含有ガスを プラズマ相反応させることを含む方法。 3.請求項1または請求項2に記載の方法であって、前記処理ガスが、H2、 O2、N2、NH3、NF3、N2O、NOおよびそれらの混合物からなる群から選 択した反応ガスを含む方法。 4.請求項1乃至請求項3のいずれか1項に記載の方法であって、前記処理ガ スが、ボロン含有ガス、リン含有ガスおよびそれらの混合物からなる群から選択 した反応ガスを含む方法。 5.請求項1乃至請求項4のいずれか1項に記載の方法であって、前記処理チ ェンバが約1mTorr〜約30mTorrの圧力に維持される方法。 6.請求項1乃至請求項5のじれか1項に記載の方法であって、前記膜がシリ コン・ウエーハに蒸着され、かつ、前記ギャップがアルミニウム、銅、タングス テンおよびそれらの混合物を含む導体配線の間にある方法。 7.請求項1乃至請求項6のいずれか1項に記載の方法であって、さらに、前 記基板に無線周波数バイアスを加えることを含む方法。 8.請求項7に記載の方法であって、前記基板に無線周波数バイアスを加える 前記ステップが、前記基板に無線周波数バイアスを供給する電極を有する基板ホ ルダ(24,130)で前記基板を支え、2ワット/cm2以上のパワーを前記電 極に供給して前記無線周波数バイアスを発生する方法。 9.請求項7に記載の方法であって、前記基板に加えた前記無線周波数バイア スの周波数が約100kHz〜27MHzの間にある方法。 10.請求項1乃至請求項9のいずれか1項に記載の方法であって、前記基板 が、約80〜200℃の温度に維持されている基板ホルダ(24,130)上に 配置されている方法。 11.請求項1乃至請求項10のいずれか1項に記載の方法であって、さらに 、前記膜成長ステップの間に、前記基板を支えている基板支持体(24,130 )の表面と前記基板表面との間に熱伝達ガスを供給することを含む方法。 12.請求項1乃至請求項11のいずれか1項に記載の方法であって、さらに 、前記膜成長ステップの間に、静電または機械的チャック上に前記基板をクラン プすることを含む方法。 13.請求項12に記載の方法であって、ヘリウムおよび/またはアルゴンを 含む前記熱伝達ガスがチャックの表面と基板表面との間のスペースに供給される 方法。 14.請求項1乃至請求項13のいずれか1項に記載の方法であって、さらに 、前記ギャップで酸素含有ガスをプラズマ相反応させ、そして前記膜成長ステッ プの前に前記ギャップ内のポリマー残滓を除去することを含む方法。 15.請求項1乃至請求項14のいずれか1項に記載の方法であって、前記誘 電体膜が珪素酸化物を含む方法。 16.請求項1乃至請求項14のいずれか1項に記載の方法であって、前記誘 電体膜がSiO2を含む方法。 17.請求項1乃至請求項14のいずれか1項に記載の方法であって、前記処 理ガスが珪素およびフッ素含有反応物を含み、かつ、前記誘電体膜がシリコン・ オキシフルオライドを含む方法。 18.請求項1乃至請求項17のいずれか1項に記載の方法であって、前記ガ ス混合物が窒素含有ガスを含み、かつ、前記誘電体膜がシリコン・オキシナイト ライドを含む方法。 19.請求項1乃至請求項18のいずれか1項に記載の方法であって、前記誘 導結合プラズマがほぼ平坦な誘導コイル(34)により生成される方法。 20.請求項1乃至請求項19のいずれか1項に記載の方法であって、前記処 理ガスをオリフィス(187)を含むガス供給器具(160,170)を介して 導入し、前記オリフィスの少なくとも一部が、前記基板の露出表面と鋭角に交差 する注入軸に沿った方向に前記処理ガスを向ける方法。 21.請求項20に記載の方法であって、前記処理ガス導入ステップが、一次 ガスリング(170)からガスまたはガス混合物を供給するステップを含み、前 記ガスまたはガス混合物の一部が前記基板の方に向けられる方法。 22.請求項21に記載の方法であって、前記ガス導入ステップが、さらに、 二次ガスリング(160)から追加のガスまたはガス混合物を供給するステップ を含む方法。 23.請求項21に記載の方法であって、注入器(180)が前記一次ガスリ ングに接続されており、前記注入器が前記ガスまたはガス混合物の少なくとも一 部を前記チェンバに注入し、かつ、前記基板の方に向ける方法。 24.半導体基板(23,120)上の導電性配線の間のギャップを充填しそ して充填されたギャップの上に表面被覆層を蒸着する方法であって、 誘導結合プラズマ増速化学気相成長リアクタ(20)の処理チェンバ(21, 140)に基板(23,120)を配置し; 第1の処理ガスを導入して前記基板上の導電性配線の間のギャップを充填しそ して第1の蒸着速度で前記ギャップにおいて第1の誘電体膜を成長させ;次いで 、 前記処理チェンバに第2の処理ガスを導入して前記第1の誘電体膜の表面に第 2の誘電体膜を含む表面被覆層を蒸着し、前記層を前記第1の蒸着速度より速い 第2の蒸着速度で蒸着するステップを含む方法。 25.請求項24に記載の方法であって、前記誘電体膜が珪素酸化物を含み、 前記第1と第2の処理ガスは珪素反応物と酸素反応物を含み、前記第2の処理ガ スが前記第1の処理ガスよりも多量の珪素および酸素反応物を含む方法。 26.請求項24に記載の方法であって、前記誘電体膜が珪素酸化物を含み、 前記第1と第2の処理ガスは希ガスを含み、前記第1の処理ガスが前記第2の処 理ガスよりも多量の希ガスを含む方法。 27.請求項24乃至請求項26のいずれか1項に記載の方法であって、ギャ ップ充填および表面被覆ステップの間に前記基板にRFバイアスが加えられ、前 記RFバイアスが前記表面被覆ステッブの間よりも前記ギャップ充填ステップの 間の方が高い方法。 28.請求項24乃至請求項27のいずれか1項に記載の方法であって、前記 基板が、約80〜200℃の温度に維持されている基板ホルダ(24,130) 上に配置される方法。 29.請求項24乃至請求項28のいずれか1項に記載の方法であって、前記 処理ガスをオリフィス(187)を含むガス供給器具(160,170)を介し て導入し、前記オリフィスの少なくとも一部が、前記基板の露出表面と鋭角に交 差する注入軸に沿った方向に前記処理ガスを向ける方法。 30.誘電体膜を基板に蒸着する方法であって、 誘導結合プラズマ増速化学気相成長リアクタ(20)の処理チェンバ(21, 140)に基板(23,120)を配置し、すなわち、前記基板を基板ホルダ( 24,130)上に配置し; スパッタ・エッチングを生じるのに十分な量の希ガスを含む処理ガスを前記処 理チェンバに導入し; 前記基板ホルダの表面温度を制御し;次いで 誘導結合RFエネルギーにより処理ガスを処理チェンバにおいてプラズマ状態 に励起し、そして前記基板上に誘電体膜を成長させるステップを含む方法。 31.請求項30に記載の方法であって、前記処理ガスが、さらに、SiH4 、Si26、SiF4、TEOS、TMCTSおよびそれらの混合物からなる群 から選択される珪素含有反応ガスを含み、前記処理が、さらに、前記珪素含有反 応物を分解して珪素含有ガスを形成し、次いで前記基板の表面で前記珪素含有ガ スをプラズマ相反応させることを含む方法。 32.請求項30又は請求項31に記載の方法であって、前記処理ガスが、H2 、O2、N2、NH3、NF3、N2O、NOおよびそれらの混合物からなる群から 選択した反応ガスを含む方法。 33.請求項30乃至請求項32のいずれか1項に記載の方法であって、前記 処理ガスが、ボロン含有ガス、リン含有ガスおよびそれらの混合物からなる群か ら選択した反応ガスを含む方法。 34.請求項30乃至請求項33のいずれか1項に記載の方法であって、前記 処理チェンバが約1mTorr〜約30mTorrの真空に維持される方法。 35.請求項30乃至請求項35のいずれか1項に記載の方法であって、さら に、前記基板に無線周波数バイアスを加えることを含む方法。 36.請求項35に記載の方法であって、前記基板に無線周波数バイアスを加 える前記ステップが、前記基板に無線周波数バイアスを供給する電極を有する基 板ホルダで前記基板を支え、2ワット/cm2以上のパワーを前記電極に供給して 前記無線周波数バイアスを発生するステップを含む方法。 37.請求項36に記載の方法であって、前記基板に加える前記無線周波数バ イアスの周波数が約100kHz〜27MHzの間にある方法。 38.請求項30乃至請求項37のいずれか1項に記載の方法であって、前記 基板が、約80〜200℃の温度に維持されている基板ホルダ上に配置される方 法。 39.請求項30乃至請求項38のいずれか1項に記載の方法であって、さら に、前記膜成長ステップの間に前記基板を支えている基板支持体の表面と前記基 板表面の間に熱伝達ガスを供給することを含む方法。 40.請求項30乃至請求項39のいずれか1項に記載の方法であって、さら に、前記膜成長ステップの間に、静電または機械的チャック上に前記基板をクラ ンプすることを含む方法。 41.請求項39又は請求項40に記載の方法であって、ヘリウムおよび/ま たはアルゴンを含む前記熱伝達ガスがチャックの表面と基板表面の間のスペース に供給される方法。 42.請求項30乃至請求項41のいずれか1項に記載の方法であって、前記 誘電体膜が珪素酸化物を含む方法。 43.請求項30乃至請求項41のいずれか1項に記載の方法であって、前記 誘電体膜がSiO2を含む方法。 44.請求項30乃至請求項43のいずれか1項に記載の方法であって、前記 処理ガスが珪素およびフッ素含有反応物を含み、かつ、前記誘電体膜がシリコン -オキシフルオライドを含む方法。 45.請求項30乃至請求項43のいずれか1項に記載の方法であって、前記 ガス混合物が窒素含有ガスを含み、かつ、前記誘電体膜がシリコン・オキシナイ トライドを含む方法。 46.請求項30乃至請求項45のいずれか1項に記載の方法であって、前記 誘導結合プラズマがほぼ平坦な誘導コイル(34)により発生する方法。 47.請求項30乃至請求項46のいずれか1項に記載の方法であって、前記 処理ガスをオリフィス(187)を含むガス供給器具(160,170)を介し て導入し、前記オリフィスの少なくとも一部が、前記基板の露出表面と鋭角に交 差する注入軸に沿った方向に前記処理ガスを向ける方法。 48.誘導結合プラズマ処理装置であって、 プラズマ処理チェンバ(21,140)と、 前記処理チェンバ内で基板(23,120)を支え、約80〜200℃の温度 にされる基板ホルダ(24,130)と、 前記処理チェンバの外側に配設された導電性コイル(34)と、 処理ガス(31,32,160,170,187)を前記処理チェンバに導入する 手段と、 前記処理チェンバの誘導結合RFエネルギーが前記処理ガスをプラズマ状態に 励起するRFエネルギー源(35)とを含む装置。 49.請求項48に記載の方法であって、前記処理ガスが、さらに、SiH4 、SiF4、Si26、TEOS、TMCTSおよびそれらの混合物からなる群 から選択される珪素含有反応ガスを含む装置。 50.請求項48又は請求項49に記載の方法であって、前記処理ガスが、H2 、O2、N2、NH3、NF3、N2O、NOおよびそれらの混合物からなる群から 選択される反応ガスを含む装置。 51.請求項48乃至請求項50のいずれか1項に記載の装置であって、前記 処理ガスが、ボロン含有ガス、リン含有ガスおよびそれらの混合物からなる群か ら選択される反応ガスを含む装置。 52.請求項48乃至請求項51のいずれか1項に記載の装置であって、前記 処理チェンバが約1mTorr〜約30mTorrの真空に維持される装置。 53.請求項48乃至請求項52のいずれか1項に記載の装置であって、さら に、前記基板に接続され、RFバイアスを発生するRF発生器(35,36)を 含む装置。 54.請求項48乃至請求項53のいずれか1項に記載の装置であって、前記 処理ガスをオリフィス(187)を含むガス供給器具を介して導入し、前記オリ フィスの少なくとも一部が、前記基板の露出表面と鋭角に交差する注入軸に沿っ た方向に前記処理ガスを向ける装置。 55.請求項48乃至請求項54のいずれか1項に記載の装置であって、前記 コイル(34)がほぼ平坦な形状をしている装置。
JP52886898A 1996-12-23 1997-12-22 誘導結合プラズマcvd Pending JP2001507081A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/772,374 1996-12-23
US08/772,374 US6184158B1 (en) 1996-12-23 1996-12-23 Inductively coupled plasma CVD
PCT/US1997/022987 WO1998028465A1 (en) 1996-12-23 1997-12-22 Inductively coupled plasma cvd

Publications (2)

Publication Number Publication Date
JP2001507081A true JP2001507081A (ja) 2001-05-29
JP2001507081A5 JP2001507081A5 (ja) 2005-08-11

Family

ID=25094857

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52886898A Pending JP2001507081A (ja) 1996-12-23 1997-12-22 誘導結合プラズマcvd

Country Status (8)

Country Link
US (2) US6184158B1 (ja)
EP (1) EP0953066B1 (ja)
JP (1) JP2001507081A (ja)
KR (1) KR100497778B1 (ja)
AT (1) ATE292200T1 (ja)
DE (1) DE69732918T2 (ja)
TW (1) TW432493B (ja)
WO (1) WO1998028465A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180103728A (ko) 2017-03-10 2018-09-19 도쿄엘렉트론가부시키가이샤 성막 방법

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19752926A1 (de) * 1997-11-28 1999-06-10 Bosch Gmbh Robert Verfahren zum Aufbringen eines Schutzlacks auf einen Wafer
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6384466B1 (en) * 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
WO2000055901A1 (en) * 1999-03-17 2000-09-21 Semiconductor 300 Gmbh & Co. Kg Method for filling gaps on a semiconductor wafer
EP1208002A4 (en) * 1999-06-03 2006-08-02 Penn State Res Found MATERIALS WITH NETWORK OF SURFACE POROSITY COLUMNS DEPOSITED IN THIN FILM
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
JP4105353B2 (ja) * 1999-07-26 2008-06-25 財団法人国際科学振興財団 半導体装置
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
DE10010286A1 (de) * 2000-02-25 2001-09-13 Infineon Technologies Ag Verfahren zum Auffüllen von Vertiefungen in einer Oberfläche einer Halbleiterstruktur und eine auf diese Weise aufgefüllte Halbleiterstruktur
GB2367426A (en) * 2000-04-04 2002-04-03 Agere Syst Guardian Corp Silicon rich oxides and fluorinated silicon oxide insulating layers
JP3532830B2 (ja) * 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
AUPR368201A0 (en) * 2001-03-13 2001-04-12 Redfern Integrated Optics Pty Ltd Silica-based optical device fabrication
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US7001854B1 (en) * 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
KR100418908B1 (ko) * 2001-12-21 2004-02-14 엘지전자 주식회사 광도파로용 실리카막 제조방법
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6936547B2 (en) * 2002-10-31 2005-08-30 Micron Technology, Inc.. Gas delivery system for deposition processes, and methods of using same
US7786021B2 (en) * 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20040132287A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Dry etch process for copper
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7544625B2 (en) * 2003-01-31 2009-06-09 Sharp Laboratories Of America, Inc. Silicon oxide thin-films with embedded nanocrystalline silicon
US7807225B2 (en) * 2003-01-31 2010-10-05 Sharp Laboratories Of America, Inc. High density plasma non-stoichiometric SiOxNy films
KR100497607B1 (ko) * 2003-02-17 2005-07-01 삼성전자주식회사 박막 형성 방법 및 박막 증착 장치
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US20070184181A1 (en) * 2003-03-25 2007-08-09 Kazuo Wada Device and method for forming film for organic electro-luminescence element using inductive coupling CVD
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7595096B2 (en) * 2003-07-30 2009-09-29 Oc Oerlikon Balzers Ag Method of manufacturing vacuum plasma treated workpieces
US7446050B2 (en) * 2003-08-04 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and plasma treatment process to improve a gate profile
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
DE102004003337A1 (de) * 2004-01-22 2005-08-18 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung
US8357242B2 (en) 2007-05-03 2013-01-22 Jewett Russell F Crystalline film devices, apparatuses for and methods of fabrication
US9222169B2 (en) * 2004-03-15 2015-12-29 Sharp Laboratories Of America, Inc. Silicon oxide-nitride-carbide thin-film with embedded nanocrystalline semiconductor particles
US8133822B2 (en) * 2004-03-15 2012-03-13 Sharp Laboratories Of America, Inc. Method of forming silicon nanocrystal embedded silicon oxide electroluminescence device with a mid-bandgap transition layer
US7998884B2 (en) * 2004-03-15 2011-08-16 Sharp Laboratories Of America, Inc. Method of forming a light emitting device with a nanocrystalline silicon embedded insulator film
US20060079100A1 (en) * 2004-03-15 2006-04-13 Sharp Laboratories Of America, Inc. High density plasma grown silicon nitride
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) * 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
DE102004050391B4 (de) * 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US20060094257A1 (en) * 2004-11-04 2006-05-04 Tower Semiconductor Ltd. Low thermal budget dielectric stack for SONOS nonvolatile memories
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US20060128149A1 (en) * 2004-12-15 2006-06-15 Dongbuanam Semiconductor Inc. Method for forming a metal wiring in a semiconductor device
US7205187B2 (en) * 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP3984638B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路対及び伝送線路群
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
KR100731998B1 (ko) * 2005-06-07 2007-06-27 주식회사 뉴파워 프라즈마 유도결합 플라즈마 소오스
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
JP4476232B2 (ja) * 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7857907B2 (en) * 2007-01-25 2010-12-28 Au Optronics Corporation Methods of forming silicon nanocrystals by laser annealing
US20080179762A1 (en) * 2007-01-25 2008-07-31 Au Optronics Corporation Layered structure with laser-induced aggregation silicon nano-dots in a silicon-rich dielectric layer, and applications of the same
US20080202414A1 (en) * 2007-02-23 2008-08-28 General Electric Company Methods and devices for coating an interior surface of a plastic container
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080266689A1 (en) * 2007-04-26 2008-10-30 Sharp Laboratories Of America, Inc. Non-stoichiometric SiOxNy optical filters
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US20100199914A1 (en) * 2007-10-10 2010-08-12 Michael Iza Chemical vapor deposition reactor chamber
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
TWI381534B (zh) * 2009-03-24 2013-01-01 Au Optronics Corp 光學感測器與其製作方法以及具有光學感測器之顯示面板
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR101273116B1 (ko) * 2010-03-16 2013-06-13 서울대학교산학협력단 실리콘 산화막의 형성 방법
US20120027956A1 (en) * 2010-07-29 2012-02-02 International Business Machines Corporation Modification of nitride top layer
KR101475899B1 (ko) * 2010-12-08 2014-12-24 니신 일렉트릭 컴패니 리미티드 실리콘산화질화막 및 그 형성 방법 및 반도체 소자
US8927857B2 (en) * 2011-02-28 2015-01-06 International Business Machines Corporation Silicon: hydrogen photovoltaic devices, such as solar cells, having reduced light induced degradation and method of making such devices
WO2013052713A1 (en) 2011-10-05 2013-04-11 Intevac, Inc. Inductive/capacitive hybrid plasma source and system with such chamber
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
CN104752258A (zh) * 2013-12-30 2015-07-01 中微半导体设备(上海)有限公司 等离子体处理腔室的清洁方法
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9385003B1 (en) 2015-02-16 2016-07-05 Lam Research Corporation Residue free systems and methods for isotropically etching silicon in tight spaces
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN114761612A (zh) * 2019-12-02 2022-07-15 朗姆研究公司 原位pecvd覆盖层
TWI762114B (zh) * 2020-12-25 2022-04-21 天虹科技股份有限公司 電漿清潔裝置
CN114752921B (zh) * 2021-01-08 2023-08-18 江苏鲁汶仪器股份有限公司 一种倒装芯片中的镀膜方法
US20220298636A1 (en) * 2021-03-22 2022-09-22 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2371524A1 (fr) 1976-11-18 1978-06-16 Alsthom Atlantique Procede de depot d'une couche mince par decomposition d'un gaz dans un plasma
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4512283A (en) 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
AU548915B2 (en) 1983-02-25 1986-01-09 Toyota Jidosha Kabushiki Kaisha Plasma treatment
US4691662A (en) 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPH0766910B2 (ja) 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4614639A (en) 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE3885833T2 (de) 1987-09-22 1994-03-24 Nec Corp Chemischer Dampfabscheidungsapparat für die Herstellung von hochqualitativen epitaktischen Schichten mit gleichmässiger Dichte.
US4980204A (en) 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4877641A (en) 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH0394069A (ja) 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5314845A (en) 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
FR2653633B1 (fr) 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
JP3123061B2 (ja) * 1990-06-13 2001-01-09 ソニー株式会社 バイアスecr―cvd法による埋め込み平坦化方法
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
KR920014373A (ko) 1990-12-03 1992-07-30 제임스 조렙 드롱 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
JP2839720B2 (ja) 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
DE4106770C2 (de) 1991-03-04 1996-10-17 Leybold Ag Verrichtung zum reaktiven Beschichten eines Substrats
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP3375646B2 (ja) * 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
KR100255703B1 (ko) 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5286518A (en) * 1992-04-30 1994-02-15 Vlsi Technology, Inc. Integrated-circuit processing with progressive intermetal-dielectric deposition
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2875945B2 (ja) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
EP0637058B1 (en) 1993-07-30 2004-03-31 Applied Materials, Inc. Method of supplying reactant gas to a substrate processing apparatus
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5525159A (en) 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5535090A (en) * 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
JP3257241B2 (ja) * 1994-04-25 2002-02-18 ソニー株式会社 プラズマcvd方法
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5552124A (en) 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5686356A (en) * 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
JP3699142B2 (ja) 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5691876A (en) * 1995-01-31 1997-11-25 Applied Materials, Inc. High temperature polyimide electrostatic chuck
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JPH08227933A (ja) * 1995-02-20 1996-09-03 Shin Etsu Chem Co Ltd 静電吸着機能を有するウエハ加熱装置
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5653806A (en) 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5776834A (en) * 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
JP2783276B2 (ja) * 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5616519A (en) * 1995-11-02 1997-04-01 Chartered Semiconductor Manufacturing Pte Ltd. Non-etch back SOG process for hot aluminum metallizations
US5643640A (en) * 1995-11-27 1997-07-01 International Business Machines Corporation Fluorine doped plasma enhanced phospho-silicate glass, and process
US5789314A (en) * 1995-12-05 1998-08-04 Integrated Device Technology, Inc. Method of topside and inter-metal oxide coating
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5744400A (en) * 1996-05-06 1998-04-28 Accord Semiconductor Equipment Group Apparatus and method for dry milling of non-planar features on a semiconductor surface
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JPH1064983A (ja) * 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US5922133A (en) * 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180103728A (ko) 2017-03-10 2018-09-19 도쿄엘렉트론가부시키가이샤 성막 방법
US10431450B2 (en) 2017-03-10 2019-10-01 Tokyo Electron Limited Film forming method

Also Published As

Publication number Publication date
US6184158B1 (en) 2001-02-06
KR20000062317A (ko) 2000-10-25
DE69732918T2 (de) 2006-04-13
US20010019903A1 (en) 2001-09-06
EP0953066A1 (en) 1999-11-03
EP0953066B1 (en) 2005-03-30
TW432493B (en) 2001-05-01
KR100497778B1 (ko) 2005-06-23
ATE292200T1 (de) 2005-04-15
DE69732918D1 (de) 2005-05-04
WO1998028465A1 (en) 1998-07-02

Similar Documents

Publication Publication Date Title
JP2001507081A (ja) 誘導結合プラズマcvd
US6596654B1 (en) Gap fill for high aspect ratio structures
US7223701B2 (en) In-situ sequential high density plasma deposition and etch processing for gap fill
US6846745B1 (en) High-density plasma process for filling high aspect ratio structures
US7514375B1 (en) Pulsed bias having high pulse frequency for filling gaps with dielectric material
US7888273B1 (en) Density gradient-free gap fill
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US7989365B2 (en) Remote plasma source seasoning
US5869149A (en) Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US7163896B1 (en) Biased H2 etch process in deposition-etch-deposition gap fill
CN100594259C (zh) 改善低k叠层之间粘附性的界面工程
US4681653A (en) Planarized dielectric deposited using plasma enhanced chemical vapor deposition
US7951683B1 (en) In-situ process layer using silicon-rich-oxide for etch selectivity in high AR gapfill
TWI328618B (en) High-throughput hdp-cvd processes for advanced gapfill applications
JP3857730B2 (ja) フッ素添加二酸化ケイ素膜の成膜法
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
US7001854B1 (en) Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
JP6058876B2 (ja) SiO2膜を堆積する方法
US7217658B1 (en) Process modulation to prevent structure erosion during gap fill
US7344996B1 (en) Helium-based etch process in deposition-etch-deposition gap fill
US7176039B1 (en) Dynamic modification of gap fill process characteristics
US7067440B1 (en) Gap fill for high aspect ratio structures
JPH0766186A (ja) 誘電体の異方性堆積法
US7476621B1 (en) Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7122485B1 (en) Deposition profile modification through process chemistry

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041217

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071030

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080121

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080708