JP3857730B2 - フッ素添加二酸化ケイ素膜の成膜法 - Google Patents

フッ素添加二酸化ケイ素膜の成膜法 Download PDF

Info

Publication number
JP3857730B2
JP3857730B2 JP52957597A JP52957597A JP3857730B2 JP 3857730 B2 JP3857730 B2 JP 3857730B2 JP 52957597 A JP52957597 A JP 52957597A JP 52957597 A JP52957597 A JP 52957597A JP 3857730 B2 JP3857730 B2 JP 3857730B2
Authority
JP
Japan
Prior art keywords
film
substrate
plasma
fluorine
sih
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP52957597A
Other languages
English (en)
Other versions
JP2001503914A5 (ja
JP2001503914A (ja
Inventor
ディーン, アール. デニソン,
ジェイムズ ラム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2001503914A publication Critical patent/JP2001503914A/ja
Publication of JP2001503914A5 publication Critical patent/JP2001503914A5/ja
Application granted granted Critical
Publication of JP3857730B2 publication Critical patent/JP3857730B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

発明の属する分野
本発明は安定性を改善したフッ素添加二酸化ケイ素膜の成膜(deposition)に関する。
発明の背景
従来より、CVD(化学蒸着)装置は、半導体集積回路に種々の薄膜を形成するために用いられている。そのようなCVD装置では高純度、高品質のSiO2、Si34、Si等の薄膜を形成することができる。薄膜形成の反応過程において、半導体基板が配置された反応容器は500℃〜1000℃の高温に加熱できるようになっている。蒸着がなされることになる原料物質は、その容器を介してガス状の構成要素に供給され、ガス状の分子が熱的に解離し、そのガス内においてまた基板表面において結合して薄膜を形成する。
プラズマ型CVD装置は、プラズマ反応を用いて上述したCVD装置に似た反応を起こさせるが、薄膜形成には相対的に低い温度が用いられる。そのプラズマCVD装置は反応チャンバ、ガス導入系、排出系からは一部或は全部が分離したプラズマ発生チェンバから構成される処理チェンバを含んでいる。例えば、そのようなプラズマ型CVD装置は米国特許第4,401,504号とその特許と共通の特許権者によって所有されている米国特許第5,200,232号に開示されている。そのような装置において、プラズマは電子−サイクロトロン共鳴(ECR)による高密度マイクロ波放電によって発生する。基板を置くテーブルが反応チェンバ内に設けられ、プラズマ形成チェンバにおいて発生したプラズマは、プラズマ抽出オリフィスを通過して反応チェンバ内においてプラズマ流を形成する。その基板を置くテーブルは、高周波(RF)バイアス要素を含み、RFバイアスをその基板と冷却機構に印加するようにしても良く、これによってプラズマ運動による基板の温度上昇を防ぐことができる。
半導体部品を製造するための成膜(deposition)、エッチング、スパッタリングのような種々のプロセスのため高密度ECRを用いたプラズマ装置が米国特許第4,902,934号に開示されている。そのようなプラズマ装置は、基板(シリコンウエハなど)を良好な熱接触で保持しつつ、垂直方向への配列を保持するための静電チャック(ESC)を有している。そのチャックにはまた、冷却/加熱機能を備えることもできる。一般的に、そのような反応チェンバは、真空条件下で動作可能であり、プラズマ発生チャンバは水冷壁によって囲まれるようになっている。成膜が実行される他のタイプのリアクタは、共通の特許権者が所有する米国特許第4,340,462号及び第4,948,458号に開示されているように、平行平板型のリアクタや、高密度変換器結合型プラズマ(TCP)また誘導結合型プラズマ(ICP)と呼ばれるリアクタを含んでいる。
静電チャッキング装置は米国特許第3,993,509号、第4,184,188号、第4,384,918号に開示されている。そのようなシステムを用いると、ウェハ基板は通常は誘電層に位置し、そのような静電チャッキング構成のウェハ支持表面はウェハ支持台よりも大きくても良いし、小さくても良い。静電電圧や高周波(RF)バイアスが誘電層内のウェハ/基板接触面に最も近接して埋め込まれた電極に印加される。
半導体処理において、デバイスはより小さいワイヤリングピッチで、また、より大きい内部接続抵抗をもって造られている。クリティカルな速度経路での遅延を少なくするために低誘電定数の物質を近接する金属線間に埋設したり、フッ素を添加することにより金属間の誘電物質の誘電定数を低くすることが提案されてきた。L.Qian他によって1995年2月21−22日のDUMICコンファレンスにおいて発表された論文“高密度プラズマ成膜と低誘電定数のSiOF膜によるディープサブミクロンギャップの充填(High Density Plasma Deposition and Deep Submicron Gap Fill with Low Dielectric Coonstant SiOF Films)”では、室温下における高密度プラズマを用いた最大で10原子%のフッ素含有の耐湿性SiOF膜のシリコンサンプル上への成膜について述べている。この論文では、膜上のフッ素が水素にSiF4+O2+Ar析出ガスを加えることにより減少し、その膜が“3.7”の誘電定数をもち、SiF4:SiF4+O2の比が0.29となる成膜条件で屈折率が最低となることを述べている。
DUMICコンファレンスで発表された別の論文には、D.Schuchmann他による“PECVD F−TEOS膜と高密度プラズマSiOF膜の比較(Comparison of PECVD F-TEOS Films and High Density Plasma SiOF Films)”がある。この論文では、フッ化TEOS膜がギャップ充填のために用いられたことについて述べ、そのような膜とSiF4+O2+Arを用いた高密度プラズマ(HDP)である誘導結合プラズマによって成膜した膜とを比較している。HDP膜はF−TEOS膜と比較して湿度及び熱的安定性が良いことが見出された。
DUMICコンファレンスで発表されたさらに別の論文にはT.Fukuda他による“ECRプラズマCVDによる低誘電定数をもつSiOF膜の合成(Preparation of SiOF Films with Low Dielectric Constant by ECR Plasma CVD)”、K.Hewes他による“ギャップ充填能力と膜の特徴についてのフッ素添加のPETEOSの評価(An Evaluation of Fluorine Depoed PETEOS on Gap Fill Ability and Film Characterization)”、M.Shapiro他による“二重周波数プラズマCVDフッ化ケイ酸塩ガラスの吸水性と安定性(Dual Frequency Plasma CVD Fluorosilicate Glass Water Absorption and Stability)”、H.Miyajima他による“低誘電定数をもつフッ素添加PECVD SiO2の吸水メカニズム(Water-absorption mechanisms of F-doped PECVD SiO2 with Low-Dielectric Constant)”がある。これらの論文の内、Fukudaは、高周波バイアスされたECRプラズマによって成膜したSiOF膜は、素晴らしい平面性とすきまのないサブハーフミクロンでのギャップ充填能力を備えている故に、SOG膜やTEOS−O3膜に比べて優れていることを開示している。さらに、Fukudaによれば、SiOF膜の誘電定数は、SiF4とSiH4とO2のガス反応物質(O2(SiF4+SiH4)=1.6)と水冷静電チャック上に保持された基板とを用いて、RFバイアスされたECRプラズマCVD過程におけるSiH4/(SiH4+SiF4)のガス流量比を増すことにより、4.0から3.2へと減らすことができる。Hewesは、シャワーヘッドガスミキサによって反応チェンバに導入されたTEOS、O2、C26からのフッ化ケイ酸塩ガラス膜のCVDを開示している。Shapiroは、ULSIのデバイス速度をフッ素をSiOx膜に添加することなどにより層間絶縁物質のキャパシタンスを減らすことにより向上させることができるが、膜内への水分の混合によって誘電定数が上昇し、水の発生はすきまや周囲の金属の腐蝕を生じさせることを開示している。Miyajimaは、4%を越えるフッ素(F)を含むフッ素添加SiO2膜の水の吸収がデバイスの信頼性や膜の粘着特性を落としたりする原因となるために深刻な問題であり、成膜ガスとしてTEOS、O2、CF4を用いた高密度ヘリコン波プラズマと比較して平行平板型プラズマCVDによて成膜した膜についての耐吸水性はより低いことを開示している。
15℃での液相成膜によって合成された(prepared)SiO2の密度化(densification)における熱処理(Annealing)の効果は、J.Electrochem、Vol. 142、No. 10、1995年10月号のC.Yeh他による“液相成膜されたSiO2-xx膜におけるフッ素密度と熱処理の制御(Controlling Fluorine Concentration and Thermal Annealing Effect on Liquid-Phase Deposited SiO2-XFx Films)”に説明されている。Yehは、フッ素(F)原子と酸素(O)原子との間の水素(H)原子が電気的には強い負極性であり、300℃〜500℃の熱処理がSiO−H結合を破壊しSiO-を形成する一方、700℃以上での熱処理もまたSiF結合を破壊しSi+を形成するために、熱処理の間に再構成が発生することを開示している。
発明の要約
本発明は耐湿性のフッ素含有のSiOx膜を備える過程であって、シリコン、酸素、フッ素を含む反応ガスを処理チェンバに供給する工程と、その処理チェンバにおいてプラズマを発生する工程と、基板支持台に基板を支持する工程と、その基板にプラズマを接触させる一方で膜の温度を300℃を越えて維持することによって、その基板上にフッ素含有のSiOx膜を成長させる工程とを含むことを特徴とする過程を備える。
本発明の様々な態様によれば、反応物質やその構成比及び/或は基板の温度は、SiOx膜の特性を強化するために調整される。例えば、ガスを含有するシリコンはSiF4とSiH4のガス或はSiF4のみのガスを有していても良いし、或は/及び、そのSiF4とSiH4のガスを処理チェンバ内にSiH4/(SiH4+SiF4)のガス流量比が0.5を越えない比で供給することができる。膜の温度はSiH4/(SiH4+SiF4)の関数として制御される。例えば、上記の成長工程においては、膜の温度が360℃以上となるように維持される一方、SiH4/(SiH4+SiF4)のガス流量比が0.4以下となるように維持したり、或は、膜の温度が320℃以上となるように維持する一方、SiH4/(SiH4+SiF4)のガス流量比が0.3を越えないように維持する。その過程により膜を2〜12原子%のフッ素含有率にすることができる。望まれるなら、ガスには、ギャップ充填における補助に十分な量の例えばアルゴン(Ar)のような1つ以上の成分を含んでいても良いし、及び/或は、そのプラズマが高密度プラズマでも良い。さらに、上記の過程は、ECR或はTCP(ICP)リアクタの処理チェンバで実行しても良い。また、その基板は半導体ウェハでも良いし、及び/或は、その膜は基板上の金属層に成膜しても良い。さらに、基板支持台は、温度制御ガスを基板支持台とその支持台に対向する基板表面との間の空間に供給するガス経路を有していても良い。
【図面の簡単な説明】
図1は、本発明に従う過程を実行するために用いられる高密度プラズマECRリアクタを示す図である。
図2は、本発明に従う過程を実行するために用いられる高密度プラズマTCPリアクタを示す図である。
図3は、成膜過程における膜のフッ素含有率と膜温度との関係のグラフを示す。
図4は、成膜過程における吸湿量と膜温度との関係のグラフを示す。
好適な実施形態の詳細な説明
本発明によって、フッ素添加の二酸化ケイ素の膜の安定性が改善される。そのようなフッ素添加の膜は低誘電定数をもち、シリコンウェハのような基板が金属層や誘電金属間層でコーティングされる集積回路の製造に望ましいものである。信号伝播におけるRC時定数を短くし、クロストークを減少させ、電力消費を削減するために、2〜12原子%のフッ素を含有するフッ素添加の二酸化ケイ素の膜は、内部電極によるキャパシタンスを減少させることにより望ましい効果を達成する。しかしながら、そのような膜が大気中の水分や基板の化学的或は機械的研磨の期間における液体へ浸漬などによって水分に対して露出すると問題が発生する。
本発明によれば、フッ素添加の二酸化ケイ素の膜の水分に対する安定性は、膜の成膜時点において改善可能であることが見出された。例えば、水分による劣化に対してより耐性をもった膜は、シラン(SiH4)と4フッ化ケイ素(SiF4)との混合物を用いた高密度成膜によって得ることができる。その成膜された膜のフッ素含有率と誘電定数とは、SiH4とSiF4の比を調整することによって制御できる。プラズマからの酸素のフラックスとエネルギもまた、成膜された膜のフッ素含有率と誘電定数とに影響する。アルゴン(Ar)のような不活性ガスを、随意に、そのガスの混合物に添加して、ギャップ充填を補助するようにできる。
反応物質の比を制御することに加えて、成長する膜の温度が、成膜された膜の水分に対する安定性に影響を与えることが見出された。しかしながら、次の表に示されているように、水分に対する安定性を得るための膜の温度は、少なくとも部分的にはSiF4に対するSiH4の比に依存する。一般には、SiH4/(SiH4+SiF4)のガス流量比が低い値であると、より低い膜温度が用いられる。
Figure 0003857730
上記の表において、“×”は耐湿性のない膜を示し、一方、“○”は耐湿性のある膜を示す。従って、成長する膜の温度を280℃を越えて維持することが望ましく、SiH4/(SiH4+SiF4)のガス流量比に依存してその温度を320℃以上、或は、360℃以上に維持することが望ましいことが分かる。
本発明のプロセスは、高密度プラズマにおいて実行されることが好適である。そのような高密度プラズマはECR或はTCP(ICP)リアクタのような種々のリアクタにおいて生成することができる。
図1は、高密度プラズマで基板を処理することができるECRリアクタ1を示している。そのリアクタは反応チェンバ2を含み、その中で基板がプラズマガスによって処理が施される。高密度プラズマを発生するために、そのリアクタはプラズマ発生チェンバ3を有し、その中で高密度プラズマがマイクロ波導波管4を介して送信されるマイクロ波エネルギと電磁コイル5によって発生する磁気エネルギとの結合によって発生する。その高密度プラズマは酸素或は/及びアルゴンのような適当なガスかガス混合物から生成でき、イオンビームがプラズマチェンバからオリフィス3aを介して抽出される。基板6は関連する基板温度制御機構を備えた静電チェックのような基板支持台7上に支持される。
チャンバ3で発生する高密度プラズマはホーン8内に閉じ込められ、RFソース9とインプーダンスマッチング等のための関連回路10によってRFバイアスを基板に印加することにより、そのプラズマは基板6に向けられる。反応チャンバ2は、一般的には排出ポート11によって表現されている適当な吸い出し機構によって空にされる。反応物質を含有する1つ以上のシリコン及び/或はフッ素を高密度プラズマ内に導入するために、ホーン8はガス分配リングのような1つ以上のガス注入機構をホーンの周辺部の内側に備え、これにより、SiH4やSiF4のような反応物質が高密度プラズマ内に導入される。1つの或は複数の反応物質は参照番号12で一般的に表現されている1つ以上の経路を介して供給される。プラズマ発生チャンバ3でプラズマを発生させるために、酸素とアルゴンが参照番号13で一般的に表現されている1つ以上の経路によってプラズマ発生チャンバ3に導入される。
矢印14によって表現されているマイクロ波エネルギは、誘電窓15を通って伝播し、その壁が水供給導管17によって水冷されるプラズマ発生チャンバ3に入る。基板ホルダ7の下にある電磁コイル18は、基板6の近傍にある磁場を整えるために用いられる。DC電源19は静電的に基板6をクランプする基板ホルダ7に電力を供給する。
図2は、高密度プラズマで基板を処理するTCPリアクタ20を示している。そのリアクタは、プラズマ22が基板23の近傍で発生する処理チャンバ21を含んでいる。その基板は水冷の基板支持台24で支持され、基板の温度制御は導管25を通してヘリウムガスをその基板と基板支持台の間の空間に供給することによりなされる。その基板支持台はアルミニウム電極或は電極を埋め込んだセラミック金属を有し、その電極にはRFソース26とRFマッチング等のための関連回路27によって電力が投入される。そのリアクタでの処理中における基板温度は温度プローブ29に付けられた温度監視装置28によって監視される。
チャンバ21を真空にするために、ターボポンプが出力ポート30に接続され、圧力制御バルブが所望の真空圧力を維持するために用いられる。酸素やシランなどの反応物質は、その反応ガスを誘電窓33の下側を囲むように伸びたガス分配リングに送り込む導管31、32によってチャンバ内に供給されるか、或は、その反応物質は誘電シャワーヘッド窓を通して供給される。その窓の近傍でチャンバの外側に位置するTCPコイル34にはRFソース35とインピーダンスマッチング等のための関連回路36とによって電力が供給される。基板がそのチャンバで処理されるとき、RFソース35はTCPコイル34に13.56MHzのRF電流を供給し、RFソース26はより下側にある電極に400kHzのRF電流を供給する。
図3と図4とは、フーリエ変換赤外線分光(FTIR)の結果を示す図である。図3は、成膜過程における膜のフッ素含有量と膜の温度との関係を示すグラフであり、図4は沸騰した純水に一時間、膜を浸漬して、FTIRにより吸水量を測定することにより決定されたフッ素添加膜の耐湿性(moisture resistance)を示すグラフである。図3から分かるように、933/cm近くでのSi−F吸収ピーク強度と1092/cm近くでのSi−O吸収ピーク強度とが、吸光度比933/cm/1090/cmとして示されているが、フッ素含有率の高い膜については、膜の温度をその成膜過程ではより低い温度に維持することが望ましい。図4から分かるように、3675/cm近くでのSi−OH吸収ピーク強度と1090/cm近くでのSi−O吸収ピーク強度とが、吸光度比3675/cm/1090/cmとして示されているが、膜の−OH含有率を少なくし、これにより、膜の水分に対する安定性を改善するためには、より高い温度で成長する膜を維持すると良い。
さて、本発明の動作についての原理と好適な実施形態と態様とについて、上記のように説明した。しかしながら、本発明は以上説明した特定の実施形態によって限定されて解釈されるものではない。従って、上述の実施形態は、本発明を限定するというより説明するためのものであるとみなすべきものであり、次に示す請求の範囲によって定義される本発明の範囲から逸脱することなく当業者によって、それら実施形態における種々の変形例や態様が可能であることが認識されるべきである。

Claims (3)

  1. 耐湿性のフッ素含有の酸化シリコン膜を合成する方法であって、
    シリコンと酸素とフッソとを含む反応ガスを処理チャンバに供給し、前記処理チャンバにおいてプラズマを発生する工程と、
    基板を基板支持台に支持する工程と、
    前記基板に前記プラズマを接触させ前記基板上に前記フッ素含有の酸化シリコン膜を成長させる一方、前記成長膜の温度を300℃を越えて360℃までの範囲に維持する工程とを有し、
    前記反応ガスは、0.3以下のSiH4/(SiH4+SiF4)のガス流量比で供給されるSiF4とSiH4とを含み、
    前記プラズマは高密度プラズマであり、
    前記成長膜の温度は前記成長膜のフッ素含有率の関数として制御され、
    前記成長膜が耐湿性であり2〜12原子%のフッ素含有率をもつことを特徴とする方法。
  2. 前記酸化シリコン膜は、ギャップ充填工程において成膜されることを特徴とする請求項に記載の方法。
  3. 前記基板支持台は、前記基板に対して無線周波数バイアスを印加する電極を含むことを特徴とする請求項に記載の方法。
JP52957597A 1996-02-20 1997-02-20 フッ素添加二酸化ケイ素膜の成膜法 Expired - Lifetime JP3857730B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/604,018 US6042901A (en) 1996-02-20 1996-02-20 Method for depositing fluorine doped silicon dioxide films
US08/604,018 1996-02-20
PCT/US1997/002556 WO1997030188A1 (en) 1996-02-20 1997-02-20 Method for depositing fluorine doped silicon dioxide films

Publications (3)

Publication Number Publication Date
JP2001503914A JP2001503914A (ja) 2001-03-21
JP2001503914A5 JP2001503914A5 (ja) 2004-11-18
JP3857730B2 true JP3857730B2 (ja) 2006-12-13

Family

ID=24417842

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52957597A Expired - Lifetime JP3857730B2 (ja) 1996-02-20 1997-02-20 フッ素添加二酸化ケイ素膜の成膜法

Country Status (7)

Country Link
US (1) US6042901A (ja)
EP (1) EP0934433B1 (ja)
JP (1) JP3857730B2 (ja)
KR (1) KR100500899B1 (ja)
AU (1) AU2130297A (ja)
DE (1) DE69728683T2 (ja)
WO (1) WO1997030188A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6231776B1 (en) 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
KR100292403B1 (ko) * 1997-12-30 2001-07-12 윤종용 반도체소자의층간절연막및그제조방법
WO1999059201A1 (en) * 1998-05-11 1999-11-18 Applied Materials Inc Polished ceramic chuck for low backside particles in semiconductor plasma processing
US5994778A (en) * 1998-09-18 1999-11-30 Advanced Micro Devices, Inc. Surface treatment of low-k SiOF to prevent metal interaction
TW460604B (en) * 1998-10-13 2001-10-21 Winbond Electronics Corp A one-sided and mass production method of liquid phase deposition
US6410457B1 (en) * 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
FR2812664B1 (fr) * 2000-08-01 2002-11-08 Essilor Int Procede de depot d'une couche de silice dopee au fluor et son application en optique ophtalmique
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6511922B2 (en) 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
TWI240763B (en) * 2001-05-16 2005-10-01 Ind Tech Res Inst Liquid phase deposition production method and device
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7223706B2 (en) * 2004-06-30 2007-05-29 Intersil Americas, Inc. Method for forming plasma enhanced deposited, fully oxidized PSG film
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6963900B2 (ja) * 2017-03-10 2021-11-10 東京エレクトロン株式会社 成膜方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1443215A (en) * 1973-11-07 1976-07-21 Mullard Ltd Electrostatically clamping a semiconductor wafer during device manufacture
US4328646A (en) * 1978-11-27 1982-05-11 Rca Corporation Method for preparing an abrasive coating
CA1159012A (en) * 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPH0128506Y2 (ja) * 1980-08-29 1989-08-30
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS63244619A (ja) * 1987-03-30 1988-10-12 Sumitomo Metal Ind Ltd プラズマ装置
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3670277B2 (ja) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
KR0131439B1 (ko) * 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
JP3152829B2 (ja) * 1994-01-18 2001-04-03 株式会社東芝 半導体装置の製造方法
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
JPH08265558A (ja) * 1994-12-26 1996-10-11 Ricoh Co Ltd 画像形成装置
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JPH08335573A (ja) * 1995-04-05 1996-12-17 Tokyo Electron Ltd プラズマ成膜方法及びその装置
EP0759481A1 (en) * 1995-06-23 1997-02-26 Novellus Systems, Inc. Method of depositing a stable fluorinated TEOS film
JP3061255B2 (ja) * 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films

Also Published As

Publication number Publication date
KR19990087109A (ko) 1999-12-15
US6042901A (en) 2000-03-28
DE69728683D1 (de) 2004-05-19
AU2130297A (en) 1997-09-02
KR100500899B1 (ko) 2005-10-31
DE69728683T2 (de) 2005-04-07
EP0934433A1 (en) 1999-08-11
WO1997030188A1 (en) 1997-08-21
EP0934433B1 (en) 2004-04-14
JP2001503914A (ja) 2001-03-21

Similar Documents

Publication Publication Date Title
JP3857730B2 (ja) フッ素添加二酸化ケイ素膜の成膜法
US5869149A (en) Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6071573A (en) Process for precoating plasma CVD reactors
EP0584252B1 (en) A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR970002437B1 (ko) 반도체장치의 제조방법
TW535238B (en) Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6077574A (en) Plasma CVD process for forming a fluorine-doped SiO2 dielectric film
KR100453612B1 (ko) 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법
JP2001507081A (ja) 誘導結合プラズマcvd
JPH07161702A (ja) 酸化物のプラズマエッチング方法
JP3178375B2 (ja) 絶縁膜の形成方法
JPH0740569B2 (ja) Ecrプラズマ堆積方法
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
US6149976A (en) Method of manufacturing fluorine-containing silicon oxide films for semiconductor device
JPH11251308A (ja) 低誘電率フッ素化アモルファス炭素誘電体およびその形成方法
EP1432843B1 (en) Method for making carbon doped oxide film
JPH098032A (ja) 絶縁膜形成方法
JP2003530481A (ja) 無機/有機誘電体フィルムを堆積させるシステム及び方法
JP4015510B2 (ja) 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
JPH0766186A (ja) 誘電体の異方性堆積法
JP2702430B2 (ja) 半導体装置の製造方法
US20060105581A1 (en) Glycol doping agents in carbon doped oxide films
JPH09134910A (ja) プラズマ化学気相成長装置および半導体装置の製造方法
JP3440714B2 (ja) シリコン化合物系絶縁膜の成膜方法
JPH0969518A (ja) シリコン化合物系絶縁膜の成膜方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040217

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050531

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050519

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060118

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060309

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060509

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060725

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060818

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060915

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100922

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110922

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110922

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120922

Year of fee payment: 6