KR20000062317A - 유도결합 플라즈마 화학증착법 - Google Patents

유도결합 플라즈마 화학증착법 Download PDF

Info

Publication number
KR20000062317A
KR20000062317A KR1019997005753A KR19997005753A KR20000062317A KR 20000062317 A KR20000062317 A KR 20000062317A KR 1019997005753 A KR1019997005753 A KR 1019997005753A KR 19997005753 A KR19997005753 A KR 19997005753A KR 20000062317 A KR20000062317 A KR 20000062317A
Authority
KR
South Korea
Prior art keywords
gas
substrate
silicon
process gas
dielectric film
Prior art date
Application number
KR1019997005753A
Other languages
English (en)
Other versions
KR100497778B1 (ko
Inventor
셔플보담폴케빈
맥밀린브라이언
디모스알렉스티.
구옌후옹
베르니부치
벤-도르모니크
Original Assignee
리차드 에이치. 로브그렌
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 리차드 에이치. 로브그렌, 램 리서치 코포레이션 filed Critical 리차드 에이치. 로브그렌
Publication of KR20000062317A publication Critical patent/KR20000062317A/ko
Application granted granted Critical
Publication of KR100497778B1 publication Critical patent/KR100497778B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/902Capping layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유도 결합 플라즈마-강화 화학증착 반응기의 프로세스 챔버내에서 기판상에 유전체 필름을 증착시키는 방법. 반도체 기판상의 도전성 라인 사이의 갭을 충전하고 캡층을 증착시킬 수 있다. 프로세스 챔버내에 기판이 위치하는 기판 지지수단을 가열함으로써 필름 스트레스는 감소되고 물리적 특성은 상당히 향상된 필름을 제조할 수 있다.

Description

유도결합 플라즈마 화학증착법{Inductively coupled plasma CVD}
화학증착법(chemical vapor deposition; CVD)은 반도체 집적회로에 다양한 박막을 형성하는데 통상적으로 사용되는 기술이다. CVD는 SiO2, Si3N4, Si 등의 고순도, 고품질 박막을 형성할 수 있다. 박막을 형성하는 반응공정에 있어서, 반도체 기판이 배치되는 반응기는 500 내지 1000℃의 고온으로 가열된다. 증착시키고자 하는 원료는 가스 형태로 상기 반응기내에 공급되고, 가스상 분자는 열분해된 후 기판 표면상에서 가스상태로 결합하여 박막을 형성한다.
플라즈마-강화 CVD 장치는 상술한 바와 같은 CVD장치에서의 반응과 유사한 플라즈마 반응을 이용하지만, 보다 낮은 온도에서 박막을 형성한다. 플라즈마 CVD 장치는 반응 챔버의 일부이거나 분리된 것일 수 있는 플라즈마 발생 챔버, 가스 도입 시스템 및 배출 시스템으로 이루어진 프로세스 챔버를 포함한다. 상기 장치에서, 플라즈마는 다양한 플라즈마 발생원으로 부터 생성될 수 있다. 무선주파수(RF) 바이어스를 인가하는 RF 바이어싱 요소와, 플라즈마 작용에 의해 기판의 온도가 상승하는 것을 방지하기 위한 냉각 메커니즘을 포함할 수 있는 기판 지지수단이 반응 챔버내에 제공된다.
진공처리 챔버는 일반적으로, 진공 챔버에 증착 가스를 공급하여 기판 상에 물질을 화학증착시키는 용도와 상기 가스에 RF장을 인가하는 용도로 사용된다. 예를 들어, 평행판 및 전자-사이클로트론 공명(electron-cyclotron resonance; ECR) 반응기를 통상적으로 사용하고 있다. 미국특허 제4,340,463호와 제5,200,232호를 참조하라. 처리시에 기판은 기판 지지 수단에 의해 진공 챔버내 제 위치에 유지된다. 통상의 기판 지지수단은 기계적 클램프와 정전기적 클램프(electrostatic clamp; ESC)를 포함한다. 기계적 및 정전기적 기판 지지수단의 예는 미국특허 제5,262,029호 및 1995년 3월 10일에 출원된 미국특허출원 제 08/401,524호에 개시되어 있다.
플라즈마-강화 화학증착(plasma-enhanced chemical vapor deposition; PECVD)은 집적회로에 사용되는 금속간 유전체 층을 낮은 온도에서 증착시키는 용도로 사용되고 있다. 그로스(M. Gross) 등의 논문("Silicon dioxide trench filling process in a radio-frequency hollow cathode reactor", J. Vac. Sci. Technol. B 11(2), Mar/apr 1993)은 낮은 주파수(1 MHz), 낮은 압력(∼0.2 Pa)으로 산소와 크세논을 방출하는 정상 타겟(target)을 통해 실란 가스가 공급되는 중공형(hollow) 캐소드 반응기를 사용하여 트렌치에 실리콘 옥사이드를 공극없이 충전하는 방법이 개시되어 있다. 상기 방법에서는 높은 이온 충격과 낮은 기상 반응속도에 의해 표면 흡착물과 이온 유도 반응을 야기하고 배향성 옥사이드 필름을 성장시킴으로써 1 미크론 오프닝과 애스펙트비가 최대 2.5:1인 트렌치를 400Å/min의 속도로 충전한다.
셔플보탐(P. Shufflebotham) 등의 논문("Biased Electron Cycloyron Resonance Chemical-Vapor Deposition of Silicon Dioxide Inter-Metal Dielectric thin Films,"Materials Science Forum Vol.140-142, 1993)은 바이어스된 전자 사이클로트론 공명 플라즈마-강화 화학증착(ECR-CVD) 시스템에서, O2-Ar-SiH4가스 혼합물을 이용하여, 최대 200 mm 직경의 웨이퍼상에 금속간 유전체(IMD)를 도포함으로써 0.5 미크론 이하의 애스펙트비가 높은 갭을 SiO2로 충전할 수 있는 일단계의 저온 갭충전방법을 개시하고 있다. 상기 일단계 방법은 CVD SiO2를 플라즈마 에치-백 단계로 처리하는 순차적인 갭 충전 및 평판화 단계로 대체하고 있는데, 이러한 방법은 갭 너비가 0.5 미크론 이하이고 애스펙트비(갭 높이:너비)가 1.5:1 이상인 갭에는 적합하지 않다.
선행 기술의 장치는 IMD 용도에 있어서 몇가지 심각한 결함을 갖고 있다. ECR 및 헬리콘 소스는 복잡하고 값비싼 자기장 아크에 의존하고 있다. 더욱이, 자기장은 웨이퍼 상의 반도체 소자를 손상시킬 우려가 있다. 또한, ECR, 헬리콘 및 헬리칼 공명기 소스는 웨이퍼로부터 다소 떨어진 지점에 플라즈마를 발생시키기 때문에 균일하면서도 고순도의 필름을 동시에 생성하기 어려우며, 미립자를 제어하기 위한 플라즈마 세정을 부가적인 장비 없이 제자리에서 수행하기가 곤란하다.
본 발명은 반도전성 및 유전체 필름의 고밀도 플라즈마-강화 화학증착 방법 및 장치, 보다 상세하게는, 금속 배선층을 구비하는 실리콘 웨이퍼와 같은 반도체 기판상의 애스펙트비(aspect ratio)가 큰 갭에 상기 필름을 증착하는 기술에 관한 것이다.
본 발명은 첨부된 도면을 참조하면서 보다 상세하게 설명될 것이며, 동일한 요소는 동일한 참조부호로 표시된다.
도 1은 본 발명에 의한 방법을 실시하는데 사용될 수 있는 고밀도 유도 결합 플라즈마 반응기의 개략도이다.
도 2는 다양한 산소 대 실란 공급 유량비(총 유량은 일정)로 증착된 필름의 FTIR 스펙트럼을 나타낸다.
도 3a, 3b, 3c 및 3d는 필름의 결함을 과장시키기 위하여 데코레이트된 샘플의 주사전자 현미경(SEM)사진이다; 구조물은 산화물 상의 폴리실리콘으로 이루어졌으며, 1분 동안 증착시킨 3a 샘플을 제외한 모든 샘플은 3분간 증착시켰다.
도 4는 가스 공급 시스템을 구비한 플라즈마 반응기를 도시하는 도면이다.
도 5는 상기 가스 공급 시스템의 주입기를 도시하는 도면이다.
본 발명은 유도결합 플라스마-강화 화학증착(IC PECVD) 고밀도 플라즈마 시스템을 이용하는 공정에 관한 것이다. 상기 시스템은 컴팩트하고, 제자리에서 세정이 가능하며, 고품질의 반도체와 유전체 필름을 제공한다.
본 발명의 일 태양에 의하면, 반도체 기판상의 도전성 라인 사이의 갭을 충전하는 방법에 있어서, 실질적으로 평판형인 유도 코일을 포함할 수 있는 유도결합 플라즈마-강화 화학증착 반응기의 프로세스 챔버에 기판을 제공하는 단계; 갭 충전을 조력하기에 충분한 양의 불활성 가스를 포함할 수 있는 프로세스 가스를 상기 프로세스 챔버에 공급하는 단계; 및 기판상의 도전성 라인 사이의 갭에 유전체 필름을 증착되도록 기판상에 유전체 필름을 성장시키는 단계를 포함하는 것을 특징으로 하는 방법을 제공한다.
본 발명의 다른 태양에 의하면, 반도체 기판상의 도전성 라인 사이의 갭을 충전하는 방법에 있어서, 실질적으로 평판형인 유도 코일을 포함할 수 있는 유도결합 플라즈마-강화 화학증착 반응기의 프로세스 챔버에 기판을 제공하는 단계;
(i) 갭 충전을 조력하기에 충분한 양의 불활성 가스를 포함할 수 있는 제1프로세스 가스를 공급하는 단계; 및 (ii) 상기 갭내의 제1 유전체 필름을 제1 증착속도로 성장시키는 단계에 의해 기판상의 도전성 라인 사이의 갭을 충전하는 단계; 및
상기 프로세스 챔버에 제2 프로세스 가스를 공급함으로써 상기 제1 유전체 필름 상에 제2 유전체 필름을 포함하는 캐핑층을 상기 제1 증착속도 보다 큰 제2 증착속도로 증착시키는 단계를 포함하는 것을 특징으로 하는 방법을 제공한다.
본 발명의 또다른 태양에 의하면, 유도결합 플라즈마-강화 화학증착 반응기의 프로세스 챔버내에 기판을 제공하여 기판 지지수단 상에 위치시키는 단계; 갭 충전을 조력하기에 충분한 양의 불활성 가스를 포함할 수 있는 프로세스 가스를 상기 프로세스 챔버에 공급하는 단계; 상기 기판 지지수단의 표면 온도를 조절하는 단계; 및 상기 프로세스 챔버에 유도결합 RF 에너지를 인가하여 프로세스 가스를 플라즈마 상태로 에너지화 하여 기판상에 유전체 필름을 성장시키는 단계를 포함하는 것을 특징으로 하는, 기판 상에 유전체 필름을 증착시키는 방법을 제공한다.
본 발명의 또다른 태양에 의하면, 플라스마 처리 챔버, 상기 처리 챔버내에 기판을 지지하며, 약 80 내지 200℃ 의 온도를 유지하는 기판 지지수단, 상기 처리 챔버의 외부에 배치되는 도전성 코일, 상기 처리 챔버에 프로세스 가스를 공급하는 수단, RF 에너지를 처리 챔버내로 유도결합시켜 프로세스 가스를 플라즈마 상태로 에너지화하는 RF 에너지원을 포함하는 유도결합 플라즈마 처리 시스템을 제공한다.
증착시키고자 하는 필름에 따라. 프로세스 가스는 SiH4, SiF4, Si2H6, TEOS, TMCTS 및 이들의 혼합물로부터 이루어진 그룹으로부터 선택되는 실리콘 함유 반응성 가스를 포함할 수 있다. 프로세스 가스는 H2, O2, N2, NH3, NF3, N2O, NO3및 이들의 혼합물로 이루어진 그룹에서 선택되는 반응성 가스를 포함할 수 있다. 다른 경우에는, 프로세스 가스가 붕소함유 가스, 인 함유 가스 및 이들의 혼합물로 이루어진 그룹에서 선택되는 반응성 가스를 포함할 수 있다. 더욱 바람직하게는, 프로세스 가스가 아르곤과 같은 불활성 가스를 더 포함할 수 있다.
본 발명의 한가지 특징은, 유도결합 플라즈마가 평판 코일 형상의 RF 안테나에 의해 발생한다는 것이다. 즉, IC PECVD 반응기는 스케일업, 예를 들어 300 mm 웨이퍼와 600 mm×720 mm 평판 디스플레이를 수용할 수 있을 정도로 스케일업하기가 용이하다. 유도 결합 플라즈마(ICP) 소스는 이온 스퍼터 에너지를 제어하는데 사용하는 바이어스 파워와 상관없이 넓은 면적에 걸쳐 균일한 고밀도 플라즈마를 발생시킨다. ECR 또는 헬리콘 소스와 달리, 자석이 필요없다.
도 1은 기판을 고밀도 플라즈마로 처리할 수 있는 ICP 반응기(20)를 나타내며, 적합한 반응기는 캘리포니아, 프레몬트에 소재하는 램 리서치사(LAM Research Corp.)의 TCP(상표) 시스템을 포함한다. 본 명세서에 통합된 오글(Ogle)의 미국특허 제4,948,458호를 참조하라. 상기 반응기는 기판(23)에 인접하여 플라즈마를 발생시키는 프로세스 챔버(21)를 포함한다. 상기 기판은 수냉식 기판 지지수단(24) 상에 지지되며, 기판의 온도는 도관(25)을 통해 기판과 기판 지지수단 사이의 공간에 헬륨 가스를 공급함으로써 조절한다. 기판 지지수단은 가열 가능한 양극처리된 알루미늄 전극, 또는 매설 전극을 구비한 세라믹 물질을 포함할 수 있으며, 상기 전극은 RF 정합 등을 제공하기 위한 RF 소스(26) 및 연합 회로(27)에 의해 전력이 공급된다. 처리시 기판의 온도는 온도 탐침에 부착된 온도 측정 장치(28)에 의해 모니터된다.
챔버(21)에 진공을 걸기 위하여 출구 포트(30)에 터보 펌프가 연결되며, 원하는 진공압을 유지하기 위하여 압력 조절밸브를 사용할 수 있다. 프로세스 가스는, 하부 유전창 주위로 뻗어 있는 가스 분배링으로 상기 반응성 가스를 공급하는 도관(31,32)을 통해 상기 챔버에 공급되거나, 유전창 샤워헤드를 통해 공급될 수 있다. 상기 창에 근접하여 챔버 외부에 위치하는 외부 ICP 코일(34)은 RF 소스(35)와 임피던스 정합 등을 위한 연합회로(36)에 의해 RF 파워를 공급받는다. 도시된 바와 같이, 외부 유도 코일은 실질적으로 평판형이며, 일반적으로 평판 나선형상 또는 일련의 동심 링 형상으로 제조된 단일의 도전성 요소를 포함한다. 평판형 구조는, 도전성 요소의 길이를 보다 길게 하여 코일의 직경을 증대시킴으로써 보다 큰 기판을 수용할 수 있도록 하거나, 코일을 다중으로 설치하여 넓은 영역에 걸쳐 균일한 플라즈마를 발생시키도록 하는 등 코일을 스케일업 하기가 용이하다. 기판을 챔버내에서 처리하는 경우, RF 소스(35)는 코일(34)에 약 100 kHz - 27 MHz, 보다 바람직하게는 13.56 MHz의 RF 전류를 공급하며, RF 소스(26)는 하부 전극에 약 100 kHz - 27 MHz, 보다 바람직하게는 400 MHz, 4MHz 또는 13.56 MHz의 RF 전류를 공급한다. 상기 전극에 RF 파워를 공급함으로써 큰 DC 쉬스(sheath) 전압이 기판 표면 위로 제공될 수 있다.
갭 충전 단계에서 RF 바이어스가 기판에 인가되면 성장 필름의 이온 충격이 야기된다. RF 주파수는 수백 kHz인 정상상태 쉬스를 지속시키는데 필요한 값 이상이라면 어느 값이라도 될 수 있다. 기판 바이어스는 필름의 특성에 수많은 장점을 제공하며, 갭 충전 단계의 성장 필름을 동시에 스퍼터하는데도 사용될 수 있다. 이 경우에는 좁고 애스펙트비가 큰 갭을 고밀도 유전체로 신속하게 충전시킬 수 있다. RF 바이어스는 캡층 증착단계에도 사용될 수 있다.
반응기(20)는 0.5 미크로 이하의 애스펙트비가 큰 갭을 공극없이 충전하기 위하여 무거운 불활성 가스를 사용하여 식각대 증착속도(etch-to-deposition rate; EDR)를 증대시키는, 본 발명에 의한 갭충전 방법을 실시하는데 사용될 수 있다. 갭충전방법은 본 출원인이 1996년 3월 29일자로 출원하여 계류중이며, 본 명세서에 통합된 미국출원 제08/623,825호 "고밀도 플라즈마 CVD 갭충전을 위한 개선된 방법"에 보다 상세하게 설명되어 있다. 무거운 불활성 가스는 갭측벽의 코너, 즉 45도의 각도로 깎인 코너를 스퍼터링하는데 효과적이다. 상기 불활성 가스는 이온화 전위가 낮고 주어진 RF 파워에서 증착속도에 비해 스퍼터링 속도를 증대시키는 무거운 이온을 형성하기 때문에, 주어진 갭 구조물을 충전하는데 소요되는 파워를 감소시킨다. 또한, 불활성 가스의 낮은 이온화 전위는 플라즈마 전개에 도움이 되어 기판에 걸쳐 이온 충격이 보다 균일하게 일어날 수 있도록 한다. 크세논이 비반응성 불활성 가스 중 가장 무겁기 때문에 상기 불활성 가스로는 크세논이 가장 바람직하다. 크립톤은 크세논 보다는 가볍고 이온화 전위도 높지만 사용가능하다. 아르곤도 역시 상기 불활성 가스로 사용가능하다. 공급되는 불활성 가스의 양은, 식각대 증착속도가 바라직하게는 5 내지 70%, 보다 바람직하게는 10 내지 40%가 되도록 하는 증착속도와 비슷한 크기의 스퍼터 식각 성분을 제공하기에 유효한 것이 바람직하다.
ICP-CVD 반응기에서 증착 공정을 실시함에 있어서, 챔버는 100 mTorr 미만, 바람직하게는 30 mTorr 이하, 보다 바람직하게는 약 1 내지 5 mTorr의 진공압력으로 유지될 수 있다. 프로세스의 개별 성분의 유량은 일반적으로, 200 mm 기판에 대하여 10 내지 200 sccm이며, 보다 큰 기판에 대해서는 더 클 수 있다. 프로세스 압력을 조절하기 위하여 게이트 밸브에 의하여 스로틀된 터보분자 펌프를 사용한다. 개별 성분의 상대적인 양은 부분적으로, 증착되는 성분(들)의 화학량론에 의존한다. ICP파워는 200내지 3000 와트가 바람직하고, RF 바이어스 파워는 약 0-8 와트/cm2, 바람직하게는 적어도 2 와트/cm2이다. 예를 들어 헬륨 및/또는 아르곤을 포함하는 열전달 가스는 1 내지 10 Torr의 압력으로 공급되어 기판의 온도를 바람직하게는 약 20 내지 500℃, 더욱 바람직하게는 약 100 내지 400℃, 가장 바람직하게는 약 150 내지 375℃로 유지한다.
기판상의 금속 배선 또는 기존 필름 및 구조물의 손상을 방지하고 정확하면서도 정밀한 공정 제어를 위하여, 가열된 기계적 또는 바람직하게는 정전기적 척(ESC)을 사용하여 기판을 유지한다. ESC는 이극성 또는 단극성인 것이 바람직하다. 바람직하게는, 웨이퍼의 온도를 325 내지 375℃로 유지하기 위하여, 상기 전극을 약 50 내지 350℃의 온도로 유지한다. 바람직한 전극의 온도는 특히, RF 바이어스 레벨과 특정 증착 단계에 의존한다. 예를 들어, 갭 충전 단계에서는 전극의 온도를 약 80℃(풀 바이어스) 내지 200℃(노 바이어스)로 유지하는 것이 바람직하다. 이와 유사하게, 캐핑 단계에서는 약 125℃(풀 바이어스) 내지 350℃(노 바이어스)로 유지하는 것이 바람직하다. 갭 충전 및 캐핑 단계는 본 명세서에 서술되어 있다. 온도조절에 적합한 척은 브라이언 맥밀린이 1996년 9월 30일자로 출원하여 계류중이며, 본 명세서에 통합된 특허출원 "고밀도 플라즈마 화학증착을 위한 다양한 고온 척"에 개시되어 있다.
증착시 기판(예를 들어, 웨이퍼)은 일반적으로, 플라즈마 가열로 인해 ESC 보다 높은 온도를 유지한다. 따라서, ESC를 가열하더라도, 그 온도는 기판이 온도보다 낮다. 전극은 기판의 온도조절을 위해 헬륨 후방 냉각을 제공하는 것이 바람직하다. 기판의 온도는 RF 바이어스 및 ESC 온도 또는 본 명세서에서 언급된 기타 변수를 조절함으로써 제어할 수 있다. 본 명세서의 실시예에서 보다 상세하게 설명되겠지만, 전극의 온도는 증착되는 필름의 물리적 특성에 상당한 영향을 미칠 수 있다.
ICP-CVD 반응기는 특히, IMD 용도를 위한 SiO2 증착에 적합한데, 제조되는 필름의 품질은 결정성 Si의 열산화(열 산화물)에 의해 성장된 SiO2와 실질적으로 구분할 수 없을 정도로 우수하다. 또한, 상기 방법은 0.25 미크론의 좁은 폭에 애스펙트비가 3:1인 갭 또는 보다 폭이 넓은 갭을 고품질 재료로 충전할 수 있다. 또한, 증착 온도는 알루미늄 금속화와의 상용성을 위해 450℃ 이하일 수 있으며, 두께 균일도는 다른 필름 특성을 실질적으로 변화시키지 않으면서 8 in(20.32 cm) 웨이퍼 상에서, 2% i-보다 양호하다. 마지막으로, 공정 생산성에 있어서, ICP-CVD는 갭충전단계에서 증착 속도가 5,000 Å/min 이상이다. 캡 층에 대해서는 양호한 균일도를 유지하면서 최대 약 1.5 ㎛/min이 증착속도를 제공한다. 도전성 라인은 예를 들어, 구리, 텅스텐 및 이들의 혼합물을 포함하는 기타 적합한 재료로 만들어 질 수 있음은 물론이다.
본 발명에 의한 방법에 의하여, 0.5 미크론 이하의 높은 애스펙트비를 지닌 갭에 SiO2를 증착시키는 방법은 동시에 진행되는 SiO2증착 및 스퍼터링 단계를 포함한다. 그 결과 비등방성 증착은 갭을 기저부로부터 충전하고, 스퍼터링 일드의 각도 의존도는 증착시 갭의 정상부가 핀칭 오프되는 것을 방지한다. 대부분의 고밀도 플라즈마 시스템의 주요한 특징 중 하나는 플라즈마 발생과는 본질적으로 무관하게 바이어스 파워가 웨이퍼 상의 쉬스 전압을 결정한다는 것이다. 높은 바이어스 파워는 큰 쉬스 전압을 발생하며, 따라서 웨이퍼 표면에 강력한 이온 충격을 야기한다. RF 바이어스가 존재하지 않는 경우에는 측벽 필름의 들쭉날쭉한 외양으로 인해 필름의 품질과 갭충전 성능이 불량해진다. 즉, 매우 다공성이며 무거운 증착물이 금속 배선 상에 증착되어 깊게 파인 기저부에 증착을 방해한 후 결국에는 갭으로부터 떨어져나가 공극을 남긴다.
ICP는 매우 낮은 압력(예를 들어, 약 10 mTorr 미만)을 유지하면서, 고밀도 플라즈마(예를 들어, 약 1×1011이온/cm3초과)를 발생시킬 수 있다. 고밀도 PECVD의 장점은 처리량의 증대, 넓은 면적에 걸친 균일한 이온 및 라디칼 밀도 및, 후속적으로 스케일업된 반응기의 제조 용이성을 포함한다. 기판 전극의 RF 바이어싱을 별도로 구비한다면, ICP-CVD 시스템은 이온충격 에너지를 독립적을 조절할 수 있으며 플라즈마 증착단계를 보다 자유롭게 조절할 수 있게 된다.
ICP 시스템에서, SiO2필름의 성장은 플라즈마 소스로부터 위에퍼 상에 충돌하는 산소종과 웨이퍼 상에 흡착된 실란 단편 간의 이온활성 반응에 의해 진행된다. ICP-CVD를 사용하게 되면, 8 in(20.32 cm) 직경의 웨이퍼 상에서 0.5 미크론 이하의 높은 애스펙트비를 지닌 갭을 고품질의 SiO2유전체로 충전시킬 수 있다. 본질적으로, 고밀도 플라즈마를 이용하는, 제조용이한 ICP-CVD 금속간 유전체 CVD 공정을 제공한다.
프로세스 가스 분배 시스템
고밀도 플라즈마 PECVD에 대하여, 균일하면서도 고유량의 반응성 가스를 기판 표면에 공급할 수 있는 가스 분배 시스템을 사용함으로써 증착 속도를 증대시키고 동시에 챔버 클리닝의 필요성을 최소화시킬 수 있다는 것은 이미 설명하였다. 바람직한 가스 분배 시스템은 브라이너 맥밀린 등에 의하여 1996년 6월 28일자로 출원된 미국출원 제08/672,315호 "집중적이면서 온도가 조절되는 플라즈마 처리 시스템 및 유전체 필름의 고밀도 플라즈마 화학증착 방법"
도 4는 이러한 가스 분배 시스템을 포함하는 플라즈마 처리 시스템을 도시한다. 상기 시스템은 기판 지지수단(130) 및 처리 챔버(140)를 포함한다. 상기 지지수단은 예를 들어, RF 바이어스된 전극을 포함할 수 있다. 상기 지지수단은 챔버의 하부 단부벽에 의해 지지되거나 챔버의 측벽으로부터 연장되어 캔틸레버될 수 있다. 상기 기판(12)은 기계적으로 또는 정전기적으로 전극에 클램프될 수 있다.
상기 시스템은 도 4에 도시된 바와 같은 평판형의 다회전 코일과 같은 안테나(150) 또는 다른 형상의 안테나를 더 포함하며, 상기 안테나는 RF 에너지를 챔버내로 유도결합시켜 고밀도 플라즈마를 제공하는 적합한 RF 소스와 적합한 RF 임피던스 정합회로에 의해 파워가 공급된다. 상기 챔버는 챔버의 내부를 원하는 압력으로 유지하기에 적합한 진공 펌핑 장치를 포함할 수 있다. 도 4에 도시된 바와 같이, 균일한 두께의 평판형 유전창(155)와 같은 유전창 또는 비평판형 유전창은 안테나(150)와 처리 챔버(140)의 내부 사이에 제공되어 처리 챔버의 정상부에 진공벽을 형성할 수 있다.
제1 가스 링(170)은 유전창(155) 아래에 제공된다. 가스 링(170)은 기판 위 챔버 하우징에 기계적으로 부착될 수 있다. 가스 링(170)은 예를 들어, 알루미늄 또는 양극처리된 알루미늄으로 만들어질 수 있다. 제2 가스 링(160) 역시 유전창 (155) 아래에 제공될 수 있다. 아르곤 및 산소와 같은 하나 이상의 가스는 제2 가스 링(160)의 출구를 통해 공급된다. 제2 가스 링(16)으로는 임의의 적합한 가스 링을 사용할 수 있다. 도 4에 도시된 바와 같이, 제2 가스링(160)은 알루미늄 또는 양극처리된 알루미늄 재질의 옵셔널 스페이서(165)에 의해 분리되어 가스 링(170) 위에 위치할 수 있다. 다른 방법으로는, 도시되지는 않았지만, 제2 가스 링(160)을 가스 링(170)과 기판(120) 사이, 가스 링(170) 아래에 위치시키거나, 가스를 챔버 플로어로부터 수직으로 주입할 수 있는 방향으로 기판(120) 아래에 위치시킬 수 있다. 또다른 방법으로는, 유전창(155)과 제1 가스링(170)을 분리시키는 스페이서(165)를 구비한 챔버 플로어에 연결된 출구를 통해 아르곤 및 산소를 공급할 수 있다.
복수개의 탈착가능한 주입기(180)는 제1 가스 링(170)에 연결되어 SiH4또는 SiF4, TEOS 등과 같은 관련 실리콘-함유 가스를 공급한다. 이들 가스는 주입기 출구 오리피스(187)를 통해 주입기(180)로부터 기판으로 공급된다. 또한, 반응성 가스는 제1 가스 링(170)의 출구를 통해 공급될 수 있다. 상기 주입기는 알루미늄, 양극처리된 알루미늄, 석영 또는 Al2O3와 같은 세라믹으로 만들어질 수 있다. 2개의 주입기만을 도시하였지만, 임의의 갯수로 설치할 수 있다. 예를 들어, 제1 가스 링(170)의 출구마다 주입기를 연결할 수 있다. 바람직하게는, 200mm 기판에 대한 200 내지 210mm 직경의 링에 8개 내지 32개의 주입기를 사용한다.
주입기(180)는 오리피스가 기판으로부터 소정 거리, 예를 들면, 3 내지 10cm 이격되도록 기판(12) 위에 위치한다. 바람직한 실시예에 의하면, 주입기는 기판 주변의 내측 또는 외측으로부터 이격, 예를 들면, 기판 주변으로부터 0 내지 5cm 이격될 수 있다. 이는 주입구로부터 임의의 잠재적인 입자 파편이 기판 상에 떨어져 기판을 오염시키지 않도록 하기 위함이다. 주입기의 길이는 모두 동일하거나 또는 증착속도 및 균일도를 증대시키기 위하여 다양한 길이를 조합시켜 사용할 수 있다. 주입기는 주입기의 일부가 프로세스 가스를 기판의 노출표면을 가로지르도록 하는 방향으로 공급하도록 배향되는 것이 바람직하다.
상기 가스를 기판 위로 우선적으로 분배하고자 하는 상기 가스 공급 시스템의 설계와는 달리, 본 발명의 다른 실시예에 의한 주입기는 가스를 기판의 노출 표면과 예각으로 교차하도록 하는 방향으로 공급하도록 배향된다. 상기 주입각도는 기판의 수평면으로부터 약 15 내지 90도, 바람직하게는 15 내지 45도일 수 있다. 상기 주입 각도 또는 축은 주입기의 축과 평행하거나, 다른 방법으로는, 주입기의 축에 대하여 90도 이하 또는 그 이상의 각도를 가질 수 있다. 주입기의 출구 오리피스 직경은 0.010 내지 0.060 인치, 바람직하게는 약 0.020 내지 0.040 인치일 수 있다. 주입기(180)의 중공 코어는 출구 오리피스(187) 직경의 약 2배가 되는 크기로 뚫을 수 있는데, 이는 주입기의 코어 내가 아닌 출구 오리피스에서 음속 유동이 일어나도록 하기 위함이다. SiH4의 유량은 200 mm 기판에 대하여 25-300 sccm인 것이 바람직하며, 보다 큰 기판에 대해서는 더 클 수 있다.
복수개의 주입기를 사용하는 또다른 가스 공급 시스템이 도 5에 도시되어 있다. 본 실시예에서, 오리피스(187A)는 가스를 웨이퍼(120A)로부터 빗나가는 방향으로 (유전창을 향하여) 주입 축(A)을 따라 공급하도록 배향된다. 주입 각도 또는 축은 주입기의 축(B)과 평행하거나, 다른 방법으로는 주입기의 축에 대하여 90도 이하, 또는 그 이상의 각도를 가질수 있다. 이러한 구조에서, 주입 축은 기판에 대하여 약 5 내지 90도, 바람직하게는 15 내지 75도, 가장 바람직하게는 15 내지 45도의 각도를 가질 수 있다. 이러한 구조는 프로세스 가스가 웨이퍼 위로 집중되어 증착속도를 높이며 균일도가 양호하다는 특징을 갖는다. 또한, 오리피스 차폐에 대한 민감도가 완화된다는 이점도 제공한다. 오리피스 차폐 가능성이 감소됨으로 인하여 주입기 클리닝이 필요하게 될 때까지 보다 많은 웨이퍼를 처리할 수 있으며, 궁극적으로 웨이퍼 처리속도가 향상된다.
오리피스 크기가 작고 주입기의 갯수가 적으면서 SiH4의 유량은 크기 때문에, 가스 링(170)과 챔버 내부간의 압력차가 커진다. 예를 들어, 가스 링의 압력이 1 Torr를 초과하고 챔버 내부의 압력이 약 10 mTorr이면 압력차는 약 100:1이다. 이로인해, 주입기 출구에서 초크된 음속 유동이 야기된다. 주입기의 내부 오리피스는 출구에서 초음속 유동을 제공하도록 하는 형상을 가질 수 있다.
SiH4를 음속으로 주입하게 되면 플라즈마가 주입기를 관통하는 것을 방지할 수 있다. 이러한 구조는 SiH4가 플라즈마-유도 분해되어, 가스 링과 주입기의 연장 튜브에 비정질 실리콘 잔기를 형성하는 것을 방지한다.
실시예
갭 충전 및 캡층 증착을 위한 공정은 일반적으로, 임의의 실리콘 함유 가스 없이 플라즈마 내에서 초기 옵셔널 스퍼터 클린/예열단계 및 하이바이어스 파워 갭 충전단계를 포함한다. 갭이 부분적으로 충전된 후, 바람직하게는 낮은 RF 바이어스 파워로 필름의 최종 희생층 또는 "캡"층이 증착된다. 바람직하게는, 캡층을 증착하기 전에, 갭 충전단계에서 실질적으로 갭의 모든 부분 또는 적어도 대부분을 충전한다. 캡층 증착 단계는 필름 성장이 요구되는 동안 스퍼터링이 일어나지 않아 필름의 품질을 적절하게 유지하기에 충분한 바이어스 파워만을 필요로 한다. 상기 캡층은 갭 충전 단계 보다 높은 속도로 증착된다. 바람직하게는, 이러한 캡 필름이 후속하는 화학적-기계적 폴리슁(chemical-mechanical polishing; CMP) 평판화 단계에서 제거된다.
상기 IC PECVD 시스템은 반도체 또는 유전체 및 캡 필름을 형성하는 성분을 포함하는 프로세스 가스 내에서 고밀도, 저압 플라즈마를 발생한다. 본 발명의 방법은 임의의 적합한 반도체, 유전체 및/또는 캡 필름, 예를 들면 수소화 비정질 실리콘 Si:H, 실리콘 산화물 SiOx, 단 x는 1.5 내지 2.5, 실리콘 질화물 SiN, 실리콘 산화불화물 SiOxFy, 단 x는 1.5 내지 2.5이고 y는 2 내지 12 및, 이들의 혼합물을 증착시키는데 사용가능하다. 화학량론적 및 비화학량론적 화합물 모두 증착될 수 있으며, x와 y값은 공정 변수, 예를 들면, 반응성 가스의 선택 및 이들의 상대 유량을 조절함으로써 제어가능하다. 무기 및 유기 폴리머 역시 증착될 수 있다. 바람직한 유전체 및 캡 필름은 SiO2를 포함한다. 본 명세서는 SiO2를 증착시키는 경우만을 예로들어 본 발명을 설명하고 있으나, 본 발명은 다른 필름에도 적용될 수 있다.
프로세스 가스의 성분은 증착시키고자 하는 반도체 및/또는 유전체에 따라 경정된다. 실리콘 함유 필름에 있어서, 프로세스 가스는 예를 들어, 실란(SiH4), 테트라에틸오르토실리케이트(TEOS), 1,3,5,7-테트라메틸실리케이트(TMCTS), 디실란(Si2H6) 또는 기타 실리콘-함유 유기금속 가스를 포함할 수 있다. 프로세스 가스는 아르곤, 크립톤, 크세논 및 이들의 혼합물과 같은 불활성 가스를 포함하여, 특히 캡층 증착에 앞서 실시되는 갭 충전 단계에서 플라즈마의 성질 또는 스퍼터링 속도를 조절할 수 있도록 하는 것이 바람직하다. 비실리콘 성분을 필름에 합체시키기 위해서는, 프로세스 가스가 H2, O2, N2, NH3, NF3, N2O, NO 및 이들의 혼합물과 같은 반응성 가스를 포함할 수 있다. 또한, 반응성 가스는 보로-포스포-실리케이트 글래스(BSPG), 보로-실리케이트 글래스(BSG) 및 포스포-실리케이트 글래스(PSG) 필름을 제조하기 위하여 붕소 및/또는 인을 포함할 수 있다.
실시예 I(갭 충전 공정)
도 1과 유사한 ICP 시스템에서 SiO2IMD 증착을 실시하였다. 기계적으로 클램프된 150 mm 웨이퍼를 사용하였다. 창(33)의 기저부 가장자리에 위치한 2개의 가스 링을 사용하였다. 하나의 링은 SiH4를 분배하고 다른 하나는 아르곤과 산소를 분배하였다. 시스템 변수는 표 1과 같이 설정하였다. 전극의 온도는 80℃로 유지하였다.
산소대 실란의 유량비(총유량은 일정)가 필름 특성에 미치는 영향
플라즈마의 화학적 조성에 의해 필름의 화학량론비를 정하였다. 실란과 산소의 질량 유량비 R은 다음과 같이 정의하였다. 단, Q는 가스의 질량 유량이다.
R = QSiH4/(QSiH4 +QO2)
웨이퍼에 대해 유효한 산소-실란 비는 다른 공정 변수에도 의존한다는 사실을 주목할 필요가 있다. 필름 특성에 대한 R의 영향은 표 2에 나타내었다.
증착 반응의 플라즈마 화학은 넓게는 다음과 같은 반응식으로 분류될 수 있다.
R〈 0.5: SiH4-제한 (2+n)O2+ SiH4→ SiO2:(OH)4n+ (2-2n)H2O
R ≥ 0.5: O2-제한 O2+ SiH4→ SiO2:(H)2n+ (2-n)H2
상기 식중, SiO2:(X)n은 대략 X의 n분율( 0 ≤ n〈1)을 함유하는 화학량론적 산화물을 의미한다. 측정된 OH 함량에 근거하여, n은 항상 0.025 미만이다(OH〈 10 원자%). 반응식 1은 필름 성장이 실란-제한 환경인 경우에 지배적이다(R≤0.5). 상기 반응에서는 R이 감소함에 따라 플라즈마 내로 방출되는 물의 양이 증가하며, 이는 R이 감소함에 따라 필름내의 OH 농도가 증가하는 현상을 설명해준다. 반대로, 산소-제한 환경에서는 반응식 2(R 〉0.5)에 의해 H2 생산지 증가하며, 이는 R이 커질수록 Si-H로서 합체되는 H의 양이 증가(그 결과 Si2O3와 같은 Si가 풍부한 서브-옥사이드가 나타남)하는 현상을 설명해준다.
또한, 데이터는 R = 0.40 근처에서 공정에 심각한 변화가 일어남을 보여준다. 이러한 변화는 표 2에 기재된 바와 같이 모든 필름 특성에서 나타났으며, 상술한 바와 같이 실란-제한 화학, 즉 반응 1로부터 산소-제한 화학, 즉 반응 2로 전환되는 것과 상응한다. 증착속도는 실란의 유량과 선형적으로 비례하였으며, 실란-제한 영역(R〈 0.40)은 예상되는 바와 같이, 제로 유량의 제로 두께까지 외삽하였다.
일반적으로 필름 스트레스는 필름과 기판의 열팽창 차이에서 기인하는 기계적 스트레스와 필름의 고유 스트레스에서 유래한다. 전자는 주로 증착온도에 의해 결정되다. 후자의 경우에는, 필름의 미세구조와 화학량론이 지배적인 인자이다. SiH4-제한 조건에서 필름의 스트레스는 주로 증착속도에 의존한다. 필름성장이 빠를수록 열적 이완과 이온에 의한 충격/치밀화 시간이 줄어들 것으로 생각된다. O2-제한 조건하의 필름 성장은 증착속도가 빨라지더라도 O2-충분 조건하의 필름 성장보다 덜 압축적이다.
도 2에 도시된 FTIR 스펙트럼은 반응 1과 반응 2의 관계를 설명해준다. R이 작은 경우, Si-OH와 Si-HOH 흡수 밴드는 관찰되나 Si-H 는 관찰되지 않았다. R이 큰 경우에는 Si-OH는 관찰되지 않았지만, Si-H 와 서브옥사이드(Si2O3) Si-O 밴드는 존재하였다. R이 중간일 때는 임계 영역의 O2-충분 쪽에만 최소한의 Si-OH와 Si-H가 나타났다. 원하는 유전상수를 얻기에는 중간영역의 R이 최적이다. 또한, 1.465 내지 1.480의 굴절률은 양호한 유전상수를 갖는 필름과 상응하는 것이기 때문에 굴절률도 바람직한 공정조건의 척도가 될 수 있다.
ICP 파워가 필름 특성에 미치는 영향
하기 표 3은 바이어스 파워가 1000W일 때 ICP 파워가 필름특성에 미치는 영향을 보여준다.
ICP 파워가 필름 특성에 미치는 영향은 총 유량이 미치는 영향과 유사하다. 양자는 본질적으로 증착 전구체 공급 현상과 관련이 있는 것으로 생각된다. 제1 증착 전구체는 실란 분해에 의하여 생성되며, 이러한 종이 웨이퍼 표면에 공급되는 것은 플라즈마 내에서 상기 종의 생성속도 및 펌프 손실과 반응기 벽상의 증착으로 인한 손실에 의존한다. 총 유량 및 ICP 파워는 모두 생성 또는 손실 메카니즘을 통해 웨이퍼에서의 유효 R에 영향을 미칠 수 있다.
전구체 생성의 경우에, 결합 강도에 근거한 계산에 의하면 SiH4를 분해하는데 필요한 에너지는 O2를 분해하는데 필요한 에너지보다 작다. 이 경우, 실란 공급량(총유량)을 증가시키면 임의의 관련 산소 종에 대한 SiHx의 공급량에 증가하게 된다. 관찰한 바와 같이, 이는 반응화학을 R이 보다 큰 쪽으로 유도한다. 그 의존관계는 불확실하지만, ICP 파워 역시 상기 공정에 영향을 미친다.
바이어스 파워가 필름 특성에 미치는 영향
바이어스 파워는 웨이퍼에 인가되어 DC 쉬스 전위, 따라서 충격 이온의 역학에너지를 필름을 스퍼터 하여 성장시킬 수 있는 정도까지 증대시킨다. 필름의 품질 은 다양한 방면으로 향상된다. 증착 스퍼터에 선행하는 O2플라즈마는 웨이퍼 표면을 세정하여 깨끗하고 점착성인 계면이 형성되도록 한다. 증착시 이온 충격으로 인해 은 웨이퍼가 가열되기 때문에 헬륨 후방 냉각으로 온도를 조절할 필요가 있다. 이온 충격은 또한, 우선적으로 필름 상의 약하고 평탄하지 않은 구조물을 스퍼터하여 "식각"한 후 압축을 통해 치밀화시킨다. 이는 다른 방법보다 낮은 온도에서 고품질이 필름을 증착할 수 있도록 한다. 필름 특성에 바이어스 필름이 미치는 영향은 표 4에 나타내었다.
일납적인 필름의 특성은 400 내지 500 와트에서 심각하게 변함을 알 수 있다. 이온 에너지는 400 와트 이하의 바이어스 파워에서는 이와 비례하여 증가하지만 이온들이 스퍼터하기에 충분한 에너지를 갖지 못하여 바이어스 파워의 지배적인 영향은 웨이퍼 상의 플라즈마 발생을 증대시키는 것으로 생각된다. 400 와트 이상에서는 평균 이온 에너지가 SiO2에 대한 스퍼터링 한계치 이상인 것으로 추정되며, 2차 플라즈마 발생으로 인해 스퍼터링 성분이 다는 영향보다 지배적이어서 전체 증착속도는 감소한다.
갭-충전 증착
갭 충전 성능은 RF 바이어스 존재 또는 부존재 ("제로-바이어스"조건은 실제로 2차 플라즈마 발생 원인이 되는 100 W를 사용하였다) 하의 증착속도로부터 계산되는 "식각 대 증착속도비", ER/DR 로부터 예측할 수 있다.
E/D = [DR(노바이어스) - DR(바이어스)] ÷ DR(노바이어스)
상기 식중, DR은 증착 속도임.
E/D가 큰 공정일수록 더 많은 어그레시브 갭을 충전할 수있다. 일반적으로, 전체 증착 속도를 최대화하기 위해서는 원하는 갭을 충전할 수 있는 한 최저의 E/D를 사용하여야 한다. 물론 일단 갭이 충전되면 E/D는 필름이 품질을 보전할 수 있는 최소값으로 감소시켜야, 즉 IMD 층의 대부분이 보다 빠른 속도로 증착되도록 하여야 한다.
도 3a, 3b, 3c 및 3d의 SEM 사진은 ICP-CVD에 의한 양호하거나 불량한 갭 충전 상태를 예시한다. 도 3a는 노 바이어스로 부분적으로 충전시킨 것이다. 라인의 정상부에서 다공성 필름 형태와 필름의 "브레드로프"형상을 볼 수 있다. 이는 결국 도 3b에 도시된 바와 같이 덮어씌워져 공극을 남긴다. 이들은 또한, 스퍼터링 일드가 45도에서 최대이기 때문에 우선적으로 스퍼터되는 구조물이기도 하다. 도 3b는 바이서스 파워를 사용하였지만 E/D가 갭에 비해 너무 낮은 경우 만족스럽지 못하게 충전된 예를 보여준다. 상기 공정 중에 일찍 덮어진 브레드로프가 크고 깊은 갭을 남기는 점을 주목할 필요가 있다. 도 3c에서는, 성공적으로 충전된 다른 동일한 갭 옆에서, 갭이 충전되기 바로 전에 형성된 작은 공극을 볼 수 있다. 이 경우 E/D는 최저값이다. 층 형성 작업은 Si-풍부 박층을 주기적으로 증착시키는 단계와 상기 샘플 적당한 스테인으로 데코레이트하여 조성대비가 나타나도록 하는 단계에 의해 신중하게 실시하였다. 이는 수평면에서의 성장에 비해 측벽 성장을 최소화하면서 갭의 기저부로부터 충전해나가는 모습을 분명하게 보여준다. 스퍼터링에 의해 라인 위에 형성된 45도로 깎인 면 역시 분명하게 볼 수 있다. 도 3d는 중간 정도의 E/D 공정(100 sccm Ar)에 의해 어그레시브 갭을 완전하게 충전하는 모습을 보여준다.
실시예 2(갭충전 및 캐핑 공정)
도 4와 유사한 ICP 시스템에ㅅ SiO2IMD 및 캐핑 증착을 실시하였다. 본 실시예에서는 200 mm 웨이퍼를 처리하였다. 상기 웨이퍼는 온도가 조절되는 척에 정전기적으로 클램프되었다. 하부 전극은 13.56 MHz 발전기로부터 파워를 공급받았다. 고유량에서의 펌핑속도를 향상시키기 위하여 2000ℓ/sec 펌프를 ICP/CVD 시스템 내에 설치하였다. ICP 파워는 1000 내지 2500 와트를 사용하였다. 갭충전에는 500 내지 2500 와트의 높은 바이어스 파워를 사용하였다.
갭 충전, 캡 및 희생 캡층에 대한 일반적인 공정 변수와 상응하는 필름의 특성은 표 5에 나타내었다. 하기 표는 또한, 공정 변수의 바람직한 범위도 포함하고 있다.
상기 증착 실험(0.5㎛ 갭)에서는 프로세스 가스에 아르곤을 포함시켰다. 그러나, 바람직한 범위에 표기된 바와 같이 아르곤 부가는 항상 필요한 것은 아니다. 캡층의 증착에 있어서, 양호한 품질의 필름을 제조하기 위하여 초기 증착에는 높은 전극 RF 바이어스 파워를 사용할 수 있다. 그런 후에, 보다 낮은 바이어스 파워를 인가하여 (바람직하게는 잔극의 온도는 동일하게 유지하면서) 보다 품질이 낮은 희생 캡층을 제조할 수 있다. 일반적으로 이러한 희생 캡층은 후속되는 평판화 공정에서 실질적으로 제거된다.
일반적으로, 기판의 온도가 보다 높은 경우에는 증착된 필른의 특성이 향상된다. 보통, 기판의 온도는 두가지의 주된 요인과 관계가 있다: (1) 기판 지지수단(ESC)으로부터의 가열 및 (2) 전극 RF 바이어스 파워로부터 주로 기인하며, 적게는 소스(ICP, ECR 등) 파워로부터 기인하는 플라즈마 가열.
선행기술에서는, 소스 및 바이어스 파워의 증가는 필름의 품질을 향상시키기 위하여 기판의 온도를 올리는데 사용되었다. 그러나, 이러한 방법은 때로는 헬륨 후방압력, 파워 및 챔버 높이의 영향에서 검증된 바와 같이 원하는 필름 특성 중에서 몇가지를 취사 선택하게 한다.
헬륨 후방압력, 파워 및 챔버 높이의 영향
ICP-CVD 장치의 스페이서 높이, 헬륨 냉각압력 및 파워 레벨을 변화시켜 기판의 온도를 조절하고, 전극의 온도는 80℃로 유지하면서 일련의 증착을 실시하였다. 표 6은 그 결과를 나타낸다.
400℃ 부근의 기판 온도는 고품질의 옥사이드를 생산하는 것으로 밝혀졌다. 그 중에서도 고온의 기판은 휘발성 종을 쫓아내므로 필름 밀도가 향상된다. 3번 증착에는 헬륨을 사용하지 않았으며, 기판의 온도는 450℃를 초과하였을 것으로 추정된다.
3개 웨이퍼의 제1 세트는, 헬륨 압력을 2 Torr 에서 0 Torr(즉, 냉각하지 않음)로 감소시킨 경우이며, 기판의 온도가 275 내지 400℃ 이상으로 상승하였다. 상기 필름 특성은 웨이퍼의 온도가 높을수록 고품질의 필름이 제조됨을 보여준다. 필름내의 OH 함량이 낮고 다른 모든 필름 특성은 우수하다. 웨이퍼의 온도를 고온으로 하는 경우의 이점은 필름 스트레스, OH % 및 습식 식각률에 부작용을 미치지 않는다는 것이다.
3-웨이퍼의 제2 세트(4, 5 및 6 번 증착)는 기판의 온도 조절을 위해 헬륨 및 아르곤 냉각 가스를 사용항 경우이다. 3개 웨이퍼의 제1 세트는 헬룸을 사용하였, 3개 웨이퍼의 제2 세트는 아르곤을 사용하여 냉각시켰다. 결과로부터 헬륨과 아르곤이 유사한 처리 결과를 가져옴을 알 수 있다.
3-웨이퍼의 제1 및 제3 세트는 웨이퍼의 플라즈마 가열 효과를 비교하기 위한 것이다. 웨이퍼 가열은 ICP 코일과 기판 표면간의 거리(스페이서 높이)를 감소시킴으로써 가능하다. 결과는, 파워 레벨이 동일할 때 갭 스페이싱이 높은 곳에서 낮은 곳으로 갈수록 필름의 품질이 변함을 보여준다. 2 또는 1 Torr 헬륨 냉각의 경우 OH %는 동일하지만, 습식 식각률은 보다 낮은 스페이싱에서 향상된다.
표 6에서 3-웨이퍼의 제3 세트와 마지막 2개의 웨이퍼를 비교하면, ICP 파워가 2500 에서 2000 와트로 감소하였다. 상기 데이터로부터, 파워를 감소시키면 스트레스가 덜 압축적임을 알 수 있다. 습식 식각률이 감소한 것을 보면, 플라즈마 가열이 약해질수록 필름의 구조는 더욱 다공성이 됨을 알 수 있다. 그러므로, 습식 에체비는 파워 레벨이 높을수록 양호하다.
가열된 전극이 필름 특성에 미치는 영향
기판의 온도를 올리기 위하여 소스 및 바이어스 파워를 증가시키는 대신, 고온의 전극을 사용함으로써, 필름 스트레스, OH % 및 습식 식각률 중 몇가지를 취사선택할 필요없이 필름의 특성을 보다 향상시킬 수 있고, 프로세스 윈도우의 너비도도 보다 넓힐 수 있다는 것을 알았다.
이는 하기 표 7에 나타난 결과로부터 알 수 있으며, 70 및 120 ℃의 전극을 사용하고, RF 바이어스를 인가하거나 인가하지 않고서 캡층을 증착시킨 결과가 요약되어 있다. 바람직하게는, 캡층 필름을 제조함에 있어서 습식 식각률은 2:1 미만이고, OH %는 약 1% 이하이며, 필름 스트레스의 크기는 200 MPa 미만이다. 단순히, 바이어스를 0 에서 2000 W로 증가시켜 웨이퍼의 플라즈마 가열을 증대시키면, 습식 식각률은 감소하지만 필름 스트레스를 증가시키는 바람직하지 못한 결과를 초래한다. 반대로, 전극의 온도를 높이면 RF 바이어스 파워를 인가하거나 인가하지 않거나 상관없이 필름 스트레스와 습식 식각률이 모두 감소한다. 그러므로, 바람직한 공정은 약 60 내지 200℃에서 선택되는 온도로 조절된 전극을 사용한다.
고온 전극을 사용함으로써 얻을 수 있는 또다른 이점은 다른 공정조건, 예를 들어, 압력, 반응성 가스 유량 및 TCP 파워와 같은 공정조건의 범위가 보다 넓어질 수 있어 보다 브로드한 운전 조건의 세트를 사용할 수 있다는 것이다.
이상에서는 본 발명의 원리, 바람직한 실시예 및 운전 모드를 설명하였다. 그러나, 본 발명은 이상에서 논의된 특정 실시예에 한정되는 것으로 해석되어져서는 안된다. 따라서, 상기 실시예는 한정적인 것이 아니고 예시적인 것으로 해석되어야 하며, 당업자들은 첨부된 청구범위에 의해 정의되는 본 발명의 범위를 벗어나지 않는 범위내에서 다양한 변형예들을 생각해낼 수 있을 것이다.

Claims (55)

  1. 반도체 기판(23, 120) 상의 도전성 라인 사이의 갭을 충전하는 방법에 있어서,
    유도결합 플라즈마-강화 화학증착 반응기(20)의 프로세스 챔버(21, 140) 내에 기판(23, 120)을 제공하는 단계;
    갭충전을 조력하기에 충분한 양의 불활성 가스를 포함하는 프로세스 가스를 상기 프로세스 챔버내로 공급하는 단계;
    상기 기판 상에 유전체 필름을 성장시켜, 유전체 필름이 기판상의 도전성 라인 사이의 갭에 증착되도록 하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1항에 있어서, 상기 프로세스 가스는 SiH4, SiF4, Si2H6, TEOS, TMCTS 및 이들의 혼합물로부터 이루어진 그룹으로부터 선택되는 실리콘 함유 반응성 가스를 더 포함하고, 상기 방법은 상기 실리콘 함유 반응물을 분해하여 실리콘 함유 가스를 형성하는 단계와 상기 실리콘 함유 가스를 기판 표면 상에서 플라즈마 상반응시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제 1항 또는 2항에 있어서, 상기 프로세스 가스는 H2, O2, N2, NH3, NF3, N2O, NO3및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 반응성 가스를 포함하는 것을 특징으로 하는 방법.
  4. 제 1항 내지 3항중 어느 한 항에 있어서, 상기 프로세스 가스는 붕소 함유 가스, 인 함유 가스 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 반응성 가스를 포함하는 것을 특징으로 하는 방법.
  5. 제 1항 내지 4항중 어느 한 항에 있어서, 상기 프로세스 챔버는 압력이 약 1 mTorr 내지 약 30 mTorr로 유지되는 것을 특징으로 하는 방법.
  6. 제 1항 내지 5항중 어느 한 항에 있어서, 상기 필름이 실리콘 웨이퍼 상에 증착되고, 상기 갭은 알루미늄, 구리, 텅스텐 및 이들의 혼합물을 포함하는 도전성 라인 사이에 위치하는 것을 특징으로 하는 방법.
  7. 제 1항 내지 6항중 어느 한 항에 있어서, 상기 기판에 무선 주파수 바이어스를 인가하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  8. 제 7항에 있어서, 상기 기판에 무선 주파수 바이어스를 인가하는 단계는, 무선 주파수 바이어스를 공급하는 전극을 구비한 기판 지지수단(24, 130) 상에 기판을 지지시키는 단계를 포함하며, 상기 무선 주파수 바이어스는 상기 전극에 2 와트/cm2이상의 파워를 공급함으로써 발생되는 것을 특징으로 하는 방법.
  9. 제 7항에 있어서, 상기 기판에 인가되는 무선 주파수 바이어스의 주파수 범위는 약 100 KHz 내지 27 MHz 인 것을 특징으로 하는 방법.
  10. 제 1항 내지 9항중 어느 한 항에 있어서, 상기 기판은 온도가 약 80 내지 200℃로 유지되는 기판 지지수단(24, 130) 상에 위치하는 것을 특징으로 하는 방법.
  11. 제 1항 내지 10항중 어느 한 항에 있어서, 상기 기판의 표면과, 필름 성장 단계에서 기판을 지지하는 기판 지지수단(24, 130)의 표면 사이에 열전달 가스를 공급하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  12. 제 1항 내지 11항중 어느 한 항에 있어서, 상기 필름 성장 단계에서 상기 기판을 정전기적 또는 기계적 척 상에 클램핑하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  13. 제 12항에 있어서, 상기 기판의 표면과 상기 척의 표면 사이에 헬륨 및/또는 아르곤을 포함하는 열전달 가스를 공급하는 것을 특징으로 하는 방법.
  14. 제 1항 내지 13항중 어느 한 항에 있어서, 상기 갭내에서 산소 함유 가스를 플라즈마 상반응시키는 단계와, 필름 성장 단계 이전에 갭내의 폴리머 잔기를 제거하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  15. 제 1항 내지 14항중 어느 한 항에 있어서, 상기 유전체 필름이 실리콘 옥사이드를 포함하는 것을 특징으로 하는 방법.
  16. 제 1항 내지 14항중 어느 한 항에 있어서, 상기 유전체 필름은 SiO2를 포함하는 것을 특징으로 하는 방법.
  17. 제 1항 내지 14항중 어느 한 항에 있어서, 상기 프로세스 가스는 실리콘 및 플루오르 함유 반응물을 포함하고, 상기 유전체 필름은 실리콘 옥시플루오라이드를 포함하는 것을 특징으로 하는 방법.
  18. 제 1항 내지 17항중 어느 한 항에 있어서, 상기 가스 혼합물은 질소 함유 가스를 포함하고, 상기 유전체 필름은 실리콘 옥시나이트라이드를 포함는 것을 특징으로 하는 방법.
  19. 제 1항 내지 18항중 어느 한 항에 있어서, 상기 유도 결합 플라즈마가 실질적으로 평판형인 유도 코일(34)에 의해 생성되는 것을 특징으로 하는 방법.
  20. 제 1항 내지 19항중 어느 한 항에 있어서, 상기 프로세스 가스는 오리피스(187)를 포함하는 가스 공급수단(160,170)을 통해 공급되고, 상기 오리피스의 적어도 일부는 기판의 노출 표면과 예각으로 교차하는 주입축을 따라 프로세스 가스를 공급하도록 배향되어 있는 것을 특징으로 하는 방법.
  21. 제 20항에 있어서, 상기 프로세스 가스 공급 단계는 가스 또는 가스혼합물이 적어도 일부가 상기 기판을 향하도록 배향된 제1 가스 링(170)으로부터 상기 가스 또는 가스 혼합물을 공급하는 단계를 포함하는 것을 특징으로 하는 방법.
  22. 제 21항에 있어서, 상기 가스 공급 단계는 제2 가스 링(160)으로부터 부가적인 가스 또는 가스 혼합물을 공급하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  23. 제 21항에 있어서, 상기 가스 또는 가스 혼합물의 적어도 일부를 상기 기판을 향하도록 상기 챔버내로 주입하는 주입기(180)가 상기 제1 가스 링에 연결된 것을 특징으로 하는 방법.
  24. 반도체 기판(23, 120) 상의 도전성 라인 사이의 갭을 충전하고, 상기 충전된 갭 위로 캐핑 층을 증착시키는 방법에 있어서,
    유도결합 플라즈마-강화 화학증착 반응기(20)의 프로세스 챔버(21, 140) 내에 기판(23, 120)을 제공하는 단계;
    제1 프로세스 가스를 도입하고, 상기 갭에 제1 유전체 필름을 제1 증착 속도로 성장시킴으로써 상기 기판상의 도전성 라인 사이의 갭을 충전하는 단계; 및
    제2 프로세스 가스를 상기 프로세스 챔버에 도입하고, 상기 제1 증착 속도보다 큰 제2 증착 속도로, 제2 유전체 필름을 포함하는 캐핑 층을 상기 제1 유전체 필름의 표면상에 증착시키는 단계를 포함하는 것을 특징으로 하는 방법.
  25. 제 24항에 있어서, 상기 유전체 필름은 실리콘 옥사이드를 포함하고, 상기 제1 및 제2 프로세스 가스는 실리콘 반응물 및 산소 반응물을 포함하며, 상기 제2 프로세스 가스는 제1 프로세스 가스보다 많은 양의 실리콘 및 산소 반응물을 함유하는 것을 특징으로 하는 방법.
  26. 제 24항에 있어서, 상기 유전체 필름은 실리콘 옥사이드를 포함하고, 상기 제1 및 제2 프로세스 가스는 불활성 가스를 포함하며, 상기 제1 프로세스 가스는 제2 프로세스 가스보다 많은 양의 불활성 가스를 함유하는 것을 특징으로 하는 방법.
  27. 제 24항 내지 26항중 어느 한 항에 있어서, 갭 충전 및 캐핑 단계를 실시하는 동안 RF 바이어스가 인가되며, 캐핑 단계보다 갭 충전 단계에 인가되는 RF 바이어스가 더 큰 것을 특징으로 하는 방법.
  28. 제 24항 내지 27항중 어느 한 항에 있어서, 상기 기판은 온도가 약 80 내지 200℃로 유지되는 기판 지지수단(24, 130) 상에 위치하는 것을 특징으로 하는 방법.
  29. 제 1항 내지 19항중 어느 한 항에 있어서, 상기 프로세스 가스는 오리피스(187)를 포함하는 가스 공급수단(160,170)을 통해 도입되고, 상기 오리피스의 적어도 일부는 기판의 노출 표면과 예각으로 교차하는 주입축을 따라 프로세스 가스를 공급하도록 배향되어 있는 것을 특징으로 하는 방법.
  30. 유도결합 플라즈마-강화 화학증착 반응기(20)의 프로세스 챔버(21, 140) 내의 기판 지지수단(24, 130) 상에 기판(23, 120)을 제공하는 단계;
    스퍼터링 에칭을 야기하기에 충분한 양의 불활성 가스를 포함하는 프로세스 가스를 상기 프로세스 챔버내로 공급하는 단계;
    상기 기재 지지수단의 표면 온도를 조절하는 단계; 및
    유도 결합 RF 에너지를 프로세스 챔버내에 인가하여 상기 프로세스 가스를 플라즈마 상태로 에너지화함으로써 상기 기판 상에 유전체 필름을 성장시키는 단계를 포함하는 기판상에 유전체 필름을 증착시키는 방법.
  31. 제 30항에 있어서, 상기 프로세스 가스는 SiH4, SiF4, Si2H6, TEOS, TMCTS 및 이들의 혼합물로부터 이루어진 그룹으로부터 선택되는 실리콘 함유 반응성 가스를 더 포함하고, 상기 방법은 상기 실리콘 함유 반응물을 분해하여 실리콘 함유 가스를 형성하는 단계와 상기 실리콘 함유 가스를 기판 표면 상에서 플라즈마 상반응시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  32. 제 30항 또는 31항에 있어서, 상기 프로세스 가스는 H2, O2, N2, NH3, NF3, N2O, NO3및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 반응성 가스를 포함하는 것을 특징으로 하는 방법.
  33. 제 30항 내지 32항중 어느 한 항에 있어서, 상기 프로세스 가스는 붕소 함유 가스, 인 함유 가스 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 반응성 가스를 포함하는 것을 특징으로 하는 방법.
  34. 제 30항 내지 33항중 어느 한 항에 있어서, 상기 프로세스 챔버는 압력이 약 1 mTorr 내지 약 30 mTorr로 유지되는 것을 특징으로 하는 방법.
  35. 제 30항 내지 34항중 어느 한 항에 있어서, 상기 기판에 무선 주파수 바이어스를 인가하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  36. 제 35항에 있어서, 상기 기판에 무선 주파수 바이어스를 인가하는 단계는, 무선 주파수 바이어스를 공급하는 전극을 구비한 기판 지지수단(24, 130) 상에 기판을 지지시키는 단계를 포함하며, 상기 무선 주파수 바이어스는 상기 전극에 2 와트/cm2이상의 파워를 공급함으로써 발생되는 것을 특징으로 하는 방법.
  37. 제 36항에 있어서, 상기 기판에 인가되는 무선 주파수 바이어스의 주파수 범위는 약 100 KHz 내지 27 MHz 인 것을 특징으로 하는 방법.
  38. 제 30항 내지 37항중 어느 한 항에 있어서, 상기 기판은 온도가 약 80 내지 200℃로 유지되는 기판 지지수단 상에 위치하는 것을 특징으로 하는 방법.
  39. 제 30항 내지 38항중 어느 한 항에 있어서, 상기 기판의 표면과 기판 지지수단 의 표면 사이에 열전달 가스를 공급하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  40. 제 30항 내지 39항중 어느 한 항에 있어서, 상기 필름 성장 단계에서 상기 기판을 정전기적 또는 기계적 척 상에 클램핑하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  41. 제 39항 또는 40항에 있어서, 상기 기판의 표면과 상기 척의 표면 사이에 헬륨 및/또는 아르곤을 포함하는 열전달 가스를 공급하는 것을 특징으로 하는 방법.
  42. 제 30항 내지 41항중 어느 한 항에 있어서, 상기 유전체 필름이 실리콘 옥사이드를 포함하는 것을 특징으로 하는 방법.
  43. 제 30항 내지 41항중 어느 한 항에 있어서, 상기 유전체 필름은 SiO2를 포함하는 것을 특징으로 하는 방법.
  44. 제 30항 내지 43항중 어느 한 항에 있어서, 상기 프로세스 가스는 실리콘 및 플루오르 함유 반응물을 포함하고, 상기 유전체 필름은 실리콘 옥시플루오라이드를 포함하는 것을 특징으로 하는 방법.
  45. 제 30항 내지 43항중 어느 한 항에 있어서, 상기 가스 혼합물은 질소 함유 가스를 포함하고, 상기 유전체 필름은 실리콘 옥시나이트라이드를 포함는 것을 특징으로 하는 방법.
  46. 제 30항 내지 45항중 어느 한 항에 있어서, 상기 유도 결합 플라즈마가 실질적으로 평판형인 유도 코일(34)에 의해 생성되는 것을 특징으로 하는 방법.
  47. 제 30항 내지 46항중 어느 한 항에 있어서, 상기 프로세스 가스는 오리피스(187)를 포함하는 가스 공급수단(160,170)을 통해 도입되고, 상기 오리피스의 적어도 일부는 기판의 노출 표면과 예각으로 교차하는 주입축을 따라 프로세스 가스를 공급하도록 배향되어 있는 것을 특징으로 하는 방법.
  48. 플라즈마 처리챔버(21, 140);
    상기 처리챔버 내에 기판(23, 120)을 지지하며, 약 80 내지 200℃의 온도로 유지되는 기판 지지수단(24, 130);
    상기 처리챔버의 외부에 배치되는 도전성 코일(34);
    상기 처리챔버에 프로세스 가스를 도입하기 위한 수단(31, 32, 160, 170, 187); 및
    상기 RF 에너지를 상기 처리챔버내로 유도결합시켜 상기 프로세스 가스를 플라즈마 상태로 에너지화 시키는 RF 에너지원(35)를 포함하는 것을 특징으로 하는 유도 결합 플라즈마 처리 시스템.
  49. 제 48항에 있어서, 상기 프로세스 가스는 SiH4, SiF4, Si2H6, TEOS, TMCTS 및 이들의 혼합물로부터 이루어진 그룹으로부터 선택되는 실리콘 함유 반응성 가스를 포함하는 것을 특징으로 하는 시스템.
  50. 제 48항 또는 49항에 있어서, 상기 프로세스 가스는 H2, O2, N2, NH3, NF3, N2O, NO3및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 반응성 가스를 포함하는 것을 특징으로 하는 시스템.
  51. 제 48항 내지 50항중 어느 한 항에 있어서, 상기 프로세스 가스는 붕소 함유 가스, 인 함유 가스 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 반응성 가스를 포함하는 것을 특징으로 하는 시스템.
  52. 제 48항 내지 51항중 어느 한 항에 있어서, 상기 프로세스 챔버는 압력이 약 1 mTorr 내지 약 30 mTorr로 유지되는 것을 특징으로 하는 시스템.
  53. 제 48항 내지 52항중 어느 한 항에 있어서, 상기 기판에 연결되어 RF 바이어스를 생성하는 RF 발생기(35, 36)를 더 포함하는 것을 특징으로 하는 시스템.
  54. 제 48항 내지 53항중 어느 한 항에 있어서, 상기 프로세스 가스 도입 수단은오리피스(187)를 포함하는 가스 공급수단(160,170)을 포함하고, 상기 오리피스의 적어도 일부는 기판의 노출 표면과 예각으로 교차하는 주입축을 따라 프로세스 가스를 공급하도록 배향되어 있는 것을 특징으로 하는 시스템.
  55. 제 48항 내지 54항중 어느 한 항에 있어서, 상기 코일(34)은 실질적으로 평판 형인 것을 특징으로 하는 시스템.
KR10-1999-7005753A 1996-12-23 1997-12-22 유도결합 플라즈마 화학증착법 KR100497778B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/772,374 1996-12-23
US8/772,374 1996-12-23
US08/772,374 US6184158B1 (en) 1996-12-23 1996-12-23 Inductively coupled plasma CVD
PCT/US1997/022987 WO1998028465A1 (en) 1996-12-23 1997-12-22 Inductively coupled plasma cvd

Publications (2)

Publication Number Publication Date
KR20000062317A true KR20000062317A (ko) 2000-10-25
KR100497778B1 KR100497778B1 (ko) 2005-06-23

Family

ID=25094857

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7005753A KR100497778B1 (ko) 1996-12-23 1997-12-22 유도결합 플라즈마 화학증착법

Country Status (8)

Country Link
US (2) US6184158B1 (ko)
EP (1) EP0953066B1 (ko)
JP (1) JP2001507081A (ko)
KR (1) KR100497778B1 (ko)
AT (1) ATE292200T1 (ko)
DE (1) DE69732918T2 (ko)
TW (1) TW432493B (ko)
WO (1) WO1998028465A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100731998B1 (ko) * 2005-06-07 2007-06-27 주식회사 뉴파워 프라즈마 유도결합 플라즈마 소오스

Families Citing this family (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19752926A1 (de) * 1997-11-28 1999-06-10 Bosch Gmbh Robert Verfahren zum Aufbringen eines Schutzlacks auf einen Wafer
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6384466B1 (en) * 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
TW469534B (en) 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
EP1169733A1 (en) * 1999-03-17 2002-01-09 Infineon Technologies SC300 GmbH & Co. KG Method for filling gaps on a semiconductor wafer
EP1208002A4 (en) * 1999-06-03 2006-08-02 Penn State Res Found MATERIALS WITH NETWORK OF SURFACE POROSITY COLUMNS DEPOSITED IN THIN FILM
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
JP4105353B2 (ja) * 1999-07-26 2008-06-25 財団法人国際科学振興財団 半導体装置
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
DE10010286A1 (de) * 2000-02-25 2001-09-13 Infineon Technologies Ag Verfahren zum Auffüllen von Vertiefungen in einer Oberfläche einer Halbleiterstruktur und eine auf diese Weise aufgefüllte Halbleiterstruktur
TW501232B (en) * 2000-04-04 2002-09-01 Agere Syst Guardian Corp High density plasma-fluorinated silicon glass process stack and method of manufacture therefor
JP3532830B2 (ja) * 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
AUPR368201A0 (en) * 2001-03-13 2001-04-12 Redfern Integrated Optics Pty Ltd Silica-based optical device fabrication
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US7001854B1 (en) * 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
KR100418908B1 (ko) * 2001-12-21 2004-02-14 엘지전자 주식회사 광도파로용 실리카막 제조방법
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US6936547B2 (en) * 2002-10-31 2005-08-30 Micron Technology, Inc.. Gas delivery system for deposition processes, and methods of using same
US7786021B2 (en) * 2002-11-14 2010-08-31 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US20040132287A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Dry etch process for copper
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7807225B2 (en) * 2003-01-31 2010-10-05 Sharp Laboratories Of America, Inc. High density plasma non-stoichiometric SiOxNy films
US7544625B2 (en) * 2003-01-31 2009-06-09 Sharp Laboratories Of America, Inc. Silicon oxide thin-films with embedded nanocrystalline silicon
KR100497607B1 (ko) * 2003-02-17 2005-07-01 삼성전자주식회사 박막 형성 방법 및 박막 증착 장치
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US20070184181A1 (en) * 2003-03-25 2007-08-09 Kazuo Wada Device and method for forming film for organic electro-luminescence element using inductive coupling CVD
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7595096B2 (en) * 2003-07-30 2009-09-29 Oc Oerlikon Balzers Ag Method of manufacturing vacuum plasma treated workpieces
US7446050B2 (en) * 2003-08-04 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and plasma treatment process to improve a gate profile
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
DE102004003337A1 (de) * 2004-01-22 2005-08-18 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung
US8357242B2 (en) * 2007-05-03 2013-01-22 Jewett Russell F Crystalline film devices, apparatuses for and methods of fabrication
US7998884B2 (en) * 2004-03-15 2011-08-16 Sharp Laboratories Of America, Inc. Method of forming a light emitting device with a nanocrystalline silicon embedded insulator film
US9222169B2 (en) * 2004-03-15 2015-12-29 Sharp Laboratories Of America, Inc. Silicon oxide-nitride-carbide thin-film with embedded nanocrystalline semiconductor particles
US8133822B2 (en) * 2004-03-15 2012-03-13 Sharp Laboratories Of America, Inc. Method of forming silicon nanocrystal embedded silicon oxide electroluminescence device with a mid-bandgap transition layer
US20060079100A1 (en) * 2004-03-15 2006-04-13 Sharp Laboratories Of America, Inc. High density plasma grown silicon nitride
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) * 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
DE102004050391B4 (de) * 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US20060094257A1 (en) * 2004-11-04 2006-05-04 Tower Semiconductor Ltd. Low thermal budget dielectric stack for SONOS nonvolatile memories
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US20060128149A1 (en) * 2004-12-15 2006-06-15 Dongbuanam Semiconductor Inc. Method for forming a metal wiring in a semiconductor device
US7205187B2 (en) * 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
JP4476232B2 (ja) * 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7857907B2 (en) * 2007-01-25 2010-12-28 Au Optronics Corporation Methods of forming silicon nanocrystals by laser annealing
US20080179762A1 (en) * 2007-01-25 2008-07-31 Au Optronics Corporation Layered structure with laser-induced aggregation silicon nano-dots in a silicon-rich dielectric layer, and applications of the same
US20080202414A1 (en) * 2007-02-23 2008-08-28 General Electric Company Methods and devices for coating an interior surface of a plastic container
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080266689A1 (en) * 2007-04-26 2008-10-30 Sharp Laboratories Of America, Inc. Non-stoichiometric SiOxNy optical filters
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
JP2011501409A (ja) * 2007-10-10 2011-01-06 イザ,マイケル 化学蒸着反応チャンバ
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
TWI381534B (zh) * 2009-03-24 2013-01-01 Au Optronics Corp 光學感測器與其製作方法以及具有光學感測器之顯示面板
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
KR101273116B1 (ko) * 2010-03-16 2013-06-13 서울대학교산학협력단 실리콘 산화막의 형성 방법
US20120027956A1 (en) * 2010-07-29 2012-02-02 International Business Machines Corporation Modification of nitride top layer
WO2012077163A1 (ja) * 2010-12-08 2012-06-14 日新電機株式会社 シリコン酸窒化膜及びその形成方法並びに半導体デバイス
US8927857B2 (en) * 2011-02-28 2015-01-06 International Business Machines Corporation Silicon: hydrogen photovoltaic devices, such as solar cells, having reduced light induced degradation and method of making such devices
US9034143B2 (en) 2011-10-05 2015-05-19 Intevac, Inc. Inductive/capacitive hybrid plasma source and system with such chamber
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP6273257B2 (ja) 2012-03-27 2018-01-31 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステンによるフィーチャ充填
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
CN104752258A (zh) * 2013-12-30 2015-07-01 中微半导体设备(上海)有限公司 等离子体处理腔室的清洁方法
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9385003B1 (en) 2015-02-16 2016-07-05 Lam Research Corporation Residue free systems and methods for isotropically etching silicon in tight spaces
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
JP6963900B2 (ja) 2017-03-10 2021-11-10 東京エレクトロン株式会社 成膜方法
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2022510428A (ja) 2018-12-05 2022-01-26 ラム リサーチ コーポレーション ボイドフリーの低応力充填
JP2023504257A (ja) * 2019-12-02 2023-02-02 ラム リサーチ コーポレーション In-situでのpecvdによるキャップ層
TWI762114B (zh) * 2020-12-25 2022-04-21 天虹科技股份有限公司 電漿清潔裝置
CN114752921B (zh) * 2021-01-08 2023-08-18 江苏鲁汶仪器股份有限公司 一种倒装芯片中的镀膜方法
US20220298636A1 (en) * 2021-03-22 2022-09-22 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2371524A1 (fr) 1976-11-18 1978-06-16 Alsthom Atlantique Procede de depot d'une couche mince par decomposition d'un gaz dans un plasma
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4512283A (en) 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
AU548915B2 (en) 1983-02-25 1986-01-09 Toyota Jidosha Kabushiki Kaisha Plasma treatment
US4691662A (en) 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPH0766910B2 (ja) 1984-07-26 1995-07-19 新技術事業団 半導体単結晶成長装置
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4614639A (en) 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
DE3885833T2 (de) 1987-09-22 1994-03-24 Nec Corp Chemischer Dampfabscheidungsapparat für die Herstellung von hochqualitativen epitaktischen Schichten mit gleichmässiger Dichte.
US4980204A (en) 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4877641A (en) 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH0394069A (ja) 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
US5314845A (en) 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
FR2653633B1 (fr) 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
JP3123061B2 (ja) * 1990-06-13 2001-01-09 ソニー株式会社 バイアスecr―cvd法による埋め込み平坦化方法
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
JP2519364B2 (ja) 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JP2839720B2 (ja) 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
DE4106770C2 (de) 1991-03-04 1996-10-17 Leybold Ag Verrichtung zum reaktiven Beschichten eines Substrats
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP3375646B2 (ja) * 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
JP2635267B2 (ja) 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5286518A (en) * 1992-04-30 1994-02-15 Vlsi Technology, Inc. Integrated-circuit processing with progressive intermetal-dielectric deposition
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JP2875945B2 (ja) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
EP0967633A1 (en) 1993-07-30 1999-12-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
TW293983B (ko) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5535090A (en) * 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
JP3257241B2 (ja) * 1994-04-25 2002-02-18 ソニー株式会社 プラズマcvd方法
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5552124A (en) 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5686356A (en) * 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
JP3699142B2 (ja) 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5691876A (en) * 1995-01-31 1997-11-25 Applied Materials, Inc. High temperature polyimide electrostatic chuck
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JPH08227933A (ja) * 1995-02-20 1996-09-03 Shin Etsu Chem Co Ltd 静電吸着機能を有するウエハ加熱装置
US5653806A (en) 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5776834A (en) * 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
JP2783276B2 (ja) * 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5616519A (en) * 1995-11-02 1997-04-01 Chartered Semiconductor Manufacturing Pte Ltd. Non-etch back SOG process for hot aluminum metallizations
US5643640A (en) * 1995-11-27 1997-07-01 International Business Machines Corporation Fluorine doped plasma enhanced phospho-silicate glass, and process
US5789314A (en) * 1995-12-05 1998-08-04 Integrated Device Technology, Inc. Method of topside and inter-metal oxide coating
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5744400A (en) * 1996-05-06 1998-04-28 Accord Semiconductor Equipment Group Apparatus and method for dry milling of non-planar features on a semiconductor surface
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP2000514136A (ja) * 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
JPH1064983A (ja) * 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US5922133A (en) * 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100731998B1 (ko) * 2005-06-07 2007-06-27 주식회사 뉴파워 프라즈마 유도결합 플라즈마 소오스

Also Published As

Publication number Publication date
US6184158B1 (en) 2001-02-06
KR100497778B1 (ko) 2005-06-23
EP0953066A1 (en) 1999-11-03
JP2001507081A (ja) 2001-05-29
ATE292200T1 (de) 2005-04-15
TW432493B (en) 2001-05-01
WO1998028465A1 (en) 1998-07-02
DE69732918T2 (de) 2006-04-13
US20010019903A1 (en) 2001-09-06
DE69732918D1 (de) 2005-05-04
EP0953066B1 (en) 2005-03-30

Similar Documents

Publication Publication Date Title
KR100497778B1 (ko) 유도결합 플라즈마 화학증착법
US6596654B1 (en) Gap fill for high aspect ratio structures
EP0584252B1 (en) A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US6867086B1 (en) Multi-step deposition and etch back gap fill process
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US7223701B2 (en) In-situ sequential high density plasma deposition and etch processing for gap fill
US6071573A (en) Process for precoating plasma CVD reactors
EP0478174B1 (en) Silicon dioxide deposition method
US6846745B1 (en) High-density plasma process for filling high aspect ratio structures
US4681653A (en) Planarized dielectric deposited using plasma enhanced chemical vapor deposition
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US7163896B1 (en) Biased H2 etch process in deposition-etch-deposition gap fill
EP0934433B1 (en) Method for depositing fluorine doped silicon dioxide films
JPH1174257A (ja) フッ素含有酸化ケイ素薄膜及びその製造方法
US7001854B1 (en) Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
KR20130130035A (ko) Hdp-cvd에 의한 폴리실리콘 필름
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
JPH09270421A (ja) 表面処理装置および表面処理方法
US7344996B1 (en) Helium-based etch process in deposition-etch-deposition gap fill
US20030129851A1 (en) Plasma deposition method and system
US20060134924A1 (en) Method of filling gaps and methods of depositing materials using high density plasma chemical vapor deposition
US7176039B1 (en) Dynamic modification of gap fill process characteristics
US7067440B1 (en) Gap fill for high aspect ratio structures
US20040037973A1 (en) Deposition and chamber treatment methods
US5281557A (en) Soluble oxides for integrated circuit fabrication formed by the incomplete dissociation of the precursor gas

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130610

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140610

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150605

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160607

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170609

Year of fee payment: 13