DE69732918D1 - Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD - Google Patents
Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVDInfo
- Publication number
- DE69732918D1 DE69732918D1 DE69732918T DE69732918T DE69732918D1 DE 69732918 D1 DE69732918 D1 DE 69732918D1 DE 69732918 T DE69732918 T DE 69732918T DE 69732918 T DE69732918 T DE 69732918T DE 69732918 D1 DE69732918 D1 DE 69732918D1
- Authority
- DE
- Germany
- Prior art keywords
- coupled plasma
- substrate
- plasma cvd
- filling gaps
- inductive coupled
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000000034 method Methods 0.000 title abstract 4
- 230000001939 inductive effect Effects 0.000 title 1
- 239000000758 substrate Substances 0.000 abstract 4
- 238000000151 deposition Methods 0.000 abstract 2
- 238000005229 chemical vapour deposition Methods 0.000 abstract 1
- 238000010438 heat treatment Methods 0.000 abstract 1
- 238000009616 inductively coupled plasma Methods 0.000 abstract 1
- 239000004065 semiconductor Substances 0.000 abstract 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/507—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02301—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02362—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
- H01L21/31612—Deposition of SiO2 on a silicon body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/902—Capping layer
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/906—Cleaning of wafer as interim step
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US772374 | 1996-12-23 | ||
US08/772,374 US6184158B1 (en) | 1996-12-23 | 1996-12-23 | Inductively coupled plasma CVD |
PCT/US1997/022987 WO1998028465A1 (en) | 1996-12-23 | 1997-12-22 | Inductively coupled plasma cvd |
Publications (2)
Publication Number | Publication Date |
---|---|
DE69732918D1 true DE69732918D1 (de) | 2005-05-04 |
DE69732918T2 DE69732918T2 (de) | 2006-04-13 |
Family
ID=25094857
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69732918T Expired - Lifetime DE69732918T2 (de) | 1996-12-23 | 1997-12-22 | Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD |
Country Status (8)
Country | Link |
---|---|
US (2) | US6184158B1 (de) |
EP (1) | EP0953066B1 (de) |
JP (1) | JP2001507081A (de) |
KR (1) | KR100497778B1 (de) |
AT (1) | ATE292200T1 (de) |
DE (1) | DE69732918T2 (de) |
TW (1) | TW432493B (de) |
WO (1) | WO1998028465A1 (de) |
Families Citing this family (137)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE19752926A1 (de) * | 1997-11-28 | 1999-06-10 | Bosch Gmbh Robert | Verfahren zum Aufbringen eines Schutzlacks auf einen Wafer |
US6348421B1 (en) * | 1998-02-06 | 2002-02-19 | National Semiconductor Corporation | Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD |
US7804115B2 (en) * | 1998-02-25 | 2010-09-28 | Micron Technology, Inc. | Semiconductor constructions having antireflective portions |
US6274292B1 (en) * | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US6384466B1 (en) * | 1998-08-27 | 2002-05-07 | Micron Technology, Inc. | Multi-layer dielectric and method of forming same |
US6268282B1 (en) | 1998-09-03 | 2001-07-31 | Micron Technology, Inc. | Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks |
US6486081B1 (en) | 1998-11-13 | 2002-11-26 | Applied Materials, Inc. | Gas distribution system for a CVD processing chamber |
US6828683B2 (en) * | 1998-12-23 | 2004-12-07 | Micron Technology, Inc. | Semiconductor devices, and semiconductor processing methods |
US7235499B1 (en) * | 1999-01-20 | 2007-06-26 | Micron Technology, Inc. | Semiconductor processing methods |
TW469534B (en) | 1999-02-23 | 2001-12-21 | Matsushita Electric Ind Co Ltd | Plasma processing method and apparatus |
KR100420753B1 (ko) * | 1999-03-17 | 2004-03-02 | 세미컨덕터300 게엠베하 운트 코 카게 | 반도체 웨이퍼 상의 갭 충진 방법 |
EP1208002A4 (de) * | 1999-06-03 | 2006-08-02 | Penn State Res Found | Dünnschicht-abgeschieden leersäule-netzwerksmaterialien |
US6368988B1 (en) * | 1999-07-16 | 2002-04-09 | Micron Technology, Inc. | Combined gate cap or digit line and spacer deposition using HDP |
JP4105353B2 (ja) * | 1999-07-26 | 2008-06-25 | 財団法人国際科学振興財団 | 半導体装置 |
US6440860B1 (en) * | 2000-01-18 | 2002-08-27 | Micron Technology, Inc. | Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride |
DE10010286A1 (de) * | 2000-02-25 | 2001-09-13 | Infineon Technologies Ag | Verfahren zum Auffüllen von Vertiefungen in einer Oberfläche einer Halbleiterstruktur und eine auf diese Weise aufgefüllte Halbleiterstruktur |
TW501232B (en) * | 2000-04-04 | 2002-09-01 | Agere Syst Guardian Corp | High density plasma-fluorinated silicon glass process stack and method of manufacture therefor |
JP3532830B2 (ja) * | 2000-05-24 | 2004-05-31 | キヤノン販売株式会社 | 半導体装置及びその製造方法 |
US6559052B2 (en) * | 2000-07-07 | 2003-05-06 | Applied Materials, Inc. | Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures |
JP3934343B2 (ja) * | 2000-07-12 | 2007-06-20 | キヤノンマーケティングジャパン株式会社 | 半導体装置及びその製造方法 |
US6534423B1 (en) * | 2000-12-27 | 2003-03-18 | Novellus Systems, Inc. | Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean |
JP5068402B2 (ja) | 2000-12-28 | 2012-11-07 | 公益財団法人国際科学振興財団 | 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法 |
AUPR368201A0 (en) * | 2001-03-13 | 2001-04-12 | Redfern Integrated Optics Pty Ltd | Silica-based optical device fabrication |
JP2002305242A (ja) * | 2001-04-05 | 2002-10-18 | Canon Sales Co Inc | 半導体装置の製造方法 |
US6740601B2 (en) * | 2001-05-11 | 2004-05-25 | Applied Materials Inc. | HDP-CVD deposition process for filling high aspect ratio gaps |
US6596653B2 (en) * | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US6596654B1 (en) * | 2001-08-24 | 2003-07-22 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
US7001854B1 (en) * | 2001-08-03 | 2006-02-21 | Novellus Systems, Inc. | Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures |
US6846745B1 (en) * | 2001-08-03 | 2005-01-25 | Novellus Systems, Inc. | High-density plasma process for filling high aspect ratio structures |
JP4666912B2 (ja) * | 2001-08-06 | 2011-04-06 | エー・エス・エムジニテックコリア株式会社 | プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法 |
US6820570B2 (en) * | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
US7067440B1 (en) | 2001-08-24 | 2006-06-27 | Novellus Systems, Inc. | Gap fill for high aspect ratio structures |
KR100760291B1 (ko) * | 2001-11-08 | 2007-09-19 | 에이에스엠지니텍코리아 주식회사 | 박막 형성 방법 |
KR100446619B1 (ko) * | 2001-12-14 | 2004-09-04 | 삼성전자주식회사 | 유도 결합 플라즈마 장치 |
KR100418908B1 (ko) * | 2001-12-21 | 2004-02-14 | 엘지전자 주식회사 | 광도파로용 실리카막 제조방법 |
US6812153B2 (en) * | 2002-04-30 | 2004-11-02 | Applied Materials Inc. | Method for high aspect ratio HDP CVD gapfill |
US6936547B2 (en) * | 2002-10-31 | 2005-08-30 | Micron Technology, Inc.. | Gas delivery system for deposition processes, and methods of using same |
US7786021B2 (en) * | 2002-11-14 | 2010-08-31 | Sharp Laboratories Of America, Inc. | High-density plasma multilayer gate oxide |
US20040142558A1 (en) * | 2002-12-05 | 2004-07-22 | Granneman Ernst H. A. | Apparatus and method for atomic layer deposition on substrates |
US7122485B1 (en) | 2002-12-09 | 2006-10-17 | Novellus Systems, Inc. | Deposition profile modification through process chemistry |
US20040132287A1 (en) * | 2003-01-07 | 2004-07-08 | International Business Machines Corporation | Dry etch process for copper |
US6808748B2 (en) * | 2003-01-23 | 2004-10-26 | Applied Materials, Inc. | Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology |
US7807225B2 (en) * | 2003-01-31 | 2010-10-05 | Sharp Laboratories Of America, Inc. | High density plasma non-stoichiometric SiOxNy films |
US7544625B2 (en) * | 2003-01-31 | 2009-06-09 | Sharp Laboratories Of America, Inc. | Silicon oxide thin-films with embedded nanocrystalline silicon |
KR100497607B1 (ko) * | 2003-02-17 | 2005-07-01 | 삼성전자주식회사 | 박막 형성 방법 및 박막 증착 장치 |
US6867086B1 (en) * | 2003-03-13 | 2005-03-15 | Novellus Systems, Inc. | Multi-step deposition and etch back gap fill process |
US20070184181A1 (en) * | 2003-03-25 | 2007-08-09 | Kazuo Wada | Device and method for forming film for organic electro-luminescence element using inductive coupling CVD |
US7601223B2 (en) * | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US7537662B2 (en) * | 2003-04-29 | 2009-05-26 | Asm International N.V. | Method and apparatus for depositing thin films on a surface |
US6958112B2 (en) | 2003-05-27 | 2005-10-25 | Applied Materials, Inc. | Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation |
US7083903B2 (en) * | 2003-06-17 | 2006-08-01 | Lam Research Corporation | Methods of etching photoresist on substrates |
US7595096B2 (en) * | 2003-07-30 | 2009-09-29 | Oc Oerlikon Balzers Ag | Method of manufacturing vacuum plasma treated workpieces |
US7446050B2 (en) * | 2003-08-04 | 2008-11-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etching and plasma treatment process to improve a gate profile |
US7078312B1 (en) | 2003-09-02 | 2006-07-18 | Novellus Systems, Inc. | Method for controlling etch process repeatability |
US6903031B2 (en) * | 2003-09-03 | 2005-06-07 | Applied Materials, Inc. | In-situ-etch-assisted HDP deposition using SiF4 and hydrogen |
US7468311B2 (en) * | 2003-09-30 | 2008-12-23 | Tokyo Electron Limited | Deposition of silicon-containing films from hexachlorodisilane |
US7476621B1 (en) | 2003-12-10 | 2009-01-13 | Novellus Systems, Inc. | Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill |
US7344996B1 (en) | 2005-06-22 | 2008-03-18 | Novellus Systems, Inc. | Helium-based etch process in deposition-etch-deposition gap fill |
US7163896B1 (en) | 2003-12-10 | 2007-01-16 | Novellus Systems, Inc. | Biased H2 etch process in deposition-etch-deposition gap fill |
DE102004003337A1 (de) * | 2004-01-22 | 2005-08-18 | Infineon Technologies Ag | Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung |
US8357242B2 (en) * | 2007-05-03 | 2013-01-22 | Jewett Russell F | Crystalline film devices, apparatuses for and methods of fabrication |
US20060079100A1 (en) * | 2004-03-15 | 2006-04-13 | Sharp Laboratories Of America, Inc. | High density plasma grown silicon nitride |
US7998884B2 (en) * | 2004-03-15 | 2011-08-16 | Sharp Laboratories Of America, Inc. | Method of forming a light emitting device with a nanocrystalline silicon embedded insulator film |
US9222169B2 (en) * | 2004-03-15 | 2015-12-29 | Sharp Laboratories Of America, Inc. | Silicon oxide-nitride-carbide thin-film with embedded nanocrystalline semiconductor particles |
US8133822B2 (en) * | 2004-03-15 | 2012-03-13 | Sharp Laboratories Of America, Inc. | Method of forming silicon nanocrystal embedded silicon oxide electroluminescence device with a mid-bandgap transition layer |
US20050260356A1 (en) * | 2004-05-18 | 2005-11-24 | Applied Materials, Inc. | Microcontamination abatement in semiconductor processing |
US7229931B2 (en) * | 2004-06-16 | 2007-06-12 | Applied Materials, Inc. | Oxygen plasma treatment for enhanced HDP-CVD gapfill |
US7183227B1 (en) | 2004-07-01 | 2007-02-27 | Applied Materials, Inc. | Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas |
US7087536B2 (en) | 2004-09-01 | 2006-08-08 | Applied Materials | Silicon oxide gapfill deposition using liquid precursors |
US7217658B1 (en) * | 2004-09-07 | 2007-05-15 | Novellus Systems, Inc. | Process modulation to prevent structure erosion during gap fill |
US7176039B1 (en) | 2004-09-21 | 2007-02-13 | Novellus Systems, Inc. | Dynamic modification of gap fill process characteristics |
DE102004050391B4 (de) * | 2004-10-15 | 2007-02-08 | Infineon Technologies Ag | Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung |
US20060094257A1 (en) * | 2004-11-04 | 2006-05-04 | Tower Semiconductor Ltd. | Low thermal budget dielectric stack for SONOS nonvolatile memories |
US7381451B1 (en) | 2004-11-17 | 2008-06-03 | Novellus Systems, Inc. | Strain engineering—HDP thin film with tensile stress for FEOL and other applications |
US20060128149A1 (en) * | 2004-12-15 | 2006-06-15 | Dongbuanam Semiconductor Inc. | Method for forming a metal wiring in a semiconductor device |
US7205187B2 (en) * | 2005-01-18 | 2007-04-17 | Tokyo Electron Limited | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
KR100689826B1 (ko) * | 2005-03-29 | 2007-03-08 | 삼성전자주식회사 | 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들 |
JP3984638B2 (ja) * | 2005-03-30 | 2007-10-03 | 松下電器産業株式会社 | 伝送線路対及び伝送線路群 |
US8129290B2 (en) | 2005-05-26 | 2012-03-06 | Applied Materials, Inc. | Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure |
US8138104B2 (en) * | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US7396415B2 (en) * | 2005-06-02 | 2008-07-08 | Asm America, Inc. | Apparatus and methods for isolating chemical vapor reactions at a substrate surface |
KR100731998B1 (ko) * | 2005-06-07 | 2007-06-27 | 주식회사 뉴파워 프라즈마 | 유도결합 플라즈마 소오스 |
US20070032081A1 (en) * | 2005-08-08 | 2007-02-08 | Jeremy Chang | Edge ring assembly with dielectric spacer ring |
US20070264427A1 (en) * | 2005-12-21 | 2007-11-15 | Asm Japan K.K. | Thin film formation by atomic layer growth and chemical vapor deposition |
JP4476232B2 (ja) * | 2006-03-10 | 2010-06-09 | 三菱重工業株式会社 | 成膜装置のシーズニング方法 |
US7482245B1 (en) | 2006-06-20 | 2009-01-27 | Novellus Systems, Inc. | Stress profile modulation in STI gap fill |
US7857907B2 (en) * | 2007-01-25 | 2010-12-28 | Au Optronics Corporation | Methods of forming silicon nanocrystals by laser annealing |
US20080179762A1 (en) * | 2007-01-25 | 2008-07-31 | Au Optronics Corporation | Layered structure with laser-induced aggregation silicon nano-dots in a silicon-rich dielectric layer, and applications of the same |
US20080202414A1 (en) * | 2007-02-23 | 2008-08-28 | General Electric Company | Methods and devices for coating an interior surface of a plastic container |
US20080241387A1 (en) * | 2007-03-29 | 2008-10-02 | Asm International N.V. | Atomic layer deposition reactor |
US20080266689A1 (en) * | 2007-04-26 | 2008-10-30 | Sharp Laboratories Of America, Inc. | Non-stoichiometric SiOxNy optical filters |
US7972471B2 (en) * | 2007-06-29 | 2011-07-05 | Lam Research Corporation | Inductively coupled dual zone processing chamber with single planar antenna |
US20090035946A1 (en) * | 2007-07-31 | 2009-02-05 | Asm International N.V. | In situ deposition of different metal-containing films using cyclopentadienyl metal precursors |
KR20090018290A (ko) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | 증착 장치 |
KR20100061740A (ko) * | 2007-10-10 | 2010-06-08 | 마이클 아이자 | 화학기상증착 반응기 챔버 |
US8043470B2 (en) * | 2007-11-21 | 2011-10-25 | Lam Research Corporation | Electrode/probe assemblies and plasma processing chambers incorporating the same |
US7678715B2 (en) * | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
US8383525B2 (en) * | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US8158017B2 (en) * | 2008-05-12 | 2012-04-17 | Lam Research Corporation | Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations |
US8133797B2 (en) * | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
TWI381534B (zh) * | 2009-03-24 | 2013-01-01 | Au Optronics Corp | 光學感測器與其製作方法以及具有光學感測器之顯示面板 |
US20100266765A1 (en) * | 2009-04-21 | 2010-10-21 | White Carl L | Method and apparatus for growing a thin film onto a substrate |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
KR101273116B1 (ko) * | 2010-03-16 | 2013-06-13 | 서울대학교산학협력단 | 실리콘 산화막의 형성 방법 |
US20120027956A1 (en) * | 2010-07-29 | 2012-02-02 | International Business Machines Corporation | Modification of nitride top layer |
WO2012077163A1 (ja) * | 2010-12-08 | 2012-06-14 | 日新電機株式会社 | シリコン酸窒化膜及びその形成方法並びに半導体デバイス |
US8927857B2 (en) * | 2011-02-28 | 2015-01-06 | International Business Machines Corporation | Silicon: hydrogen photovoltaic devices, such as solar cells, having reduced light induced degradation and method of making such devices |
WO2013052713A1 (en) | 2011-10-05 | 2013-04-11 | Intevac, Inc. | Inductive/capacitive hybrid plasma source and system with such chamber |
CN113862634A (zh) | 2012-03-27 | 2021-12-31 | 诺发系统公司 | 钨特征填充 |
US11437269B2 (en) | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
CN104752258A (zh) * | 2013-12-30 | 2015-07-01 | 中微半导体设备(上海)有限公司 | 等离子体处理腔室的清洁方法 |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9748137B2 (en) | 2014-08-21 | 2017-08-29 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9385003B1 (en) | 2015-02-16 | 2016-07-05 | Lam Research Corporation | Residue free systems and methods for isotropically etching silicon in tight spaces |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US9741584B1 (en) * | 2016-05-05 | 2017-08-22 | Lam Research Corporation | Densification of dielectric film using inductively coupled high density plasma |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
JP6963900B2 (ja) | 2017-03-10 | 2021-11-10 | 東京エレクトロン株式会社 | 成膜方法 |
JP6817883B2 (ja) * | 2017-04-25 | 2021-01-20 | 東京エレクトロン株式会社 | 成膜方法 |
US20200058497A1 (en) * | 2018-08-20 | 2020-02-20 | Applied Materials, Inc | Silicon nitride forming precursor control |
JP7090521B2 (ja) * | 2018-09-26 | 2022-06-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
SG11202106002VA (en) | 2018-12-05 | 2021-07-29 | Lam Res Corp | Void free low stress fill |
WO2021113257A1 (en) * | 2019-12-02 | 2021-06-10 | Lam Research Corporation | In-situ pecvd cap layer |
TWI762114B (zh) * | 2020-12-25 | 2022-04-21 | 天虹科技股份有限公司 | 電漿清潔裝置 |
CN114752921B (zh) * | 2021-01-08 | 2023-08-18 | 江苏鲁汶仪器股份有限公司 | 一种倒装芯片中的镀膜方法 |
US20220298636A1 (en) * | 2021-03-22 | 2022-09-22 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
Family Cites Families (112)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR2371524A1 (fr) | 1976-11-18 | 1978-06-16 | Alsthom Atlantique | Procede de depot d'une couche mince par decomposition d'un gaz dans un plasma |
JPS5930130B2 (ja) * | 1979-09-20 | 1984-07-25 | 富士通株式会社 | 気相成長方法 |
US4270999A (en) | 1979-09-28 | 1981-06-02 | International Business Machines Corporation | Method and apparatus for gas feed control in a dry etching process |
US4512283A (en) | 1982-02-01 | 1985-04-23 | Texas Instruments Incorporated | Plasma reactor sidewall shield |
AU548915B2 (en) | 1983-02-25 | 1986-01-09 | Toyota Jidosha Kabushiki Kaisha | Plasma treatment |
US4691662A (en) | 1983-02-28 | 1987-09-08 | Michigan State University | Dual plasma microwave apparatus and method for treating a surface |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
JPH0766910B2 (ja) | 1984-07-26 | 1995-07-19 | 新技術事業団 | 半導体単結晶成長装置 |
JPH0697660B2 (ja) * | 1985-03-23 | 1994-11-30 | 日本電信電話株式会社 | 薄膜形成方法 |
US4614639A (en) | 1985-04-26 | 1986-09-30 | Tegal Corporation | Compound flow plasma reactor |
US4690746A (en) * | 1986-02-24 | 1987-09-01 | Genus, Inc. | Interlayer dielectric process |
US4913929A (en) | 1987-04-21 | 1990-04-03 | The Board Of Trustees Of The Leland Stanford Junior University | Thermal/microwave remote plasma multiprocessing reactor and method of use |
US4854263B1 (en) | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
US4992301A (en) | 1987-09-22 | 1991-02-12 | Nec Corporation | Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness |
US4980204A (en) | 1987-11-27 | 1990-12-25 | Fujitsu Limited | Metal organic chemical vapor deposition method with controlled gas flow rate |
US5262029A (en) | 1988-05-23 | 1993-11-16 | Lam Research | Method and system for clamping semiconductor wafers |
US4877641A (en) | 1988-05-31 | 1989-10-31 | Olin Corporation | Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate |
US4996077A (en) | 1988-10-07 | 1991-02-26 | Texas Instruments Incorporated | Distributed ECR remote plasma processing and apparatus |
US4943345A (en) | 1989-03-23 | 1990-07-24 | Board Of Trustees Operating Michigan State University | Plasma reactor apparatus and method for treating a substrate |
US5134965A (en) | 1989-06-16 | 1992-08-04 | Hitachi, Ltd. | Processing apparatus and method for plasma processing |
US5013691A (en) * | 1989-07-31 | 1991-05-07 | At&T Bell Laboratories | Anisotropic deposition of silicon dioxide |
US4948458A (en) | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
US5164040A (en) | 1989-08-21 | 1992-11-17 | Martin Marietta Energy Systems, Inc. | Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets |
JPH0394069A (ja) | 1989-09-05 | 1991-04-18 | Mitsubishi Electric Corp | 薄膜形成装置 |
US5314845A (en) | 1989-09-28 | 1994-05-24 | Applied Materials, Inc. | Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer |
US5556501A (en) * | 1989-10-03 | 1996-09-17 | Applied Materials, Inc. | Silicon scavenger in an inductively coupled RF plasma reactor |
FR2653633B1 (fr) | 1989-10-19 | 1991-12-20 | Commissariat Energie Atomique | Dispositif de traitement chimique assiste par un plasma de diffusion. |
JPH0740569B2 (ja) * | 1990-02-27 | 1995-05-01 | エイ・ティ・アンド・ティ・コーポレーション | Ecrプラズマ堆積方法 |
JP3129452B2 (ja) * | 1990-03-13 | 2001-01-29 | 富士電機株式会社 | 静電チャック |
JP3123061B2 (ja) * | 1990-06-13 | 2001-01-09 | ソニー株式会社 | バイアスecr―cvd法による埋め込み平坦化方法 |
US5221403A (en) * | 1990-07-20 | 1993-06-22 | Tokyo Electron Limited | Support table for plate-like body and processing apparatus using the table |
US5089442A (en) * | 1990-09-20 | 1992-02-18 | At&T Bell Laboratories | Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd |
US5707692A (en) * | 1990-10-23 | 1998-01-13 | Canon Kabushiki Kaisha | Apparatus and method for processing a base substance using plasma and a magnetic field |
JP2519364B2 (ja) | 1990-12-03 | 1996-07-31 | アプライド マテリアルズ インコーポレイテッド | Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ |
JP2839720B2 (ja) | 1990-12-19 | 1998-12-16 | 株式会社東芝 | 熱処理装置 |
DE69130205T2 (de) * | 1990-12-25 | 1999-03-25 | Ngk Insulators Ltd | Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben |
DE4106770C2 (de) | 1991-03-04 | 1996-10-17 | Leybold Ag | Verrichtung zum reaktiven Beschichten eines Substrats |
US5155652A (en) * | 1991-05-02 | 1992-10-13 | International Business Machines Corporation | Temperature cycling ceramic electrostatic chuck |
US5267607A (en) | 1991-05-28 | 1993-12-07 | Tokyo Electron Limited | Substrate processing apparatus |
JP3375646B2 (ja) * | 1991-05-31 | 2003-02-10 | 株式会社日立製作所 | プラズマ処理装置 |
KR100255703B1 (ko) | 1991-06-27 | 2000-05-01 | 조셉 제이. 스위니 | 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법 |
US5279865A (en) | 1991-06-28 | 1994-01-18 | Digital Equipment Corporation | High throughput interlevel dielectric gap filling process |
US5234529A (en) * | 1991-10-10 | 1993-08-10 | Johnson Wayne L | Plasma generating apparatus employing capacitive shielding and process for using such apparatus |
JP2894658B2 (ja) | 1992-01-17 | 1999-05-24 | 株式会社東芝 | ドライエッチング方法およびその装置 |
US5280154A (en) | 1992-01-30 | 1994-01-18 | International Business Machines Corporation | Radio frequency induction plasma processing system utilizing a uniform field coil |
US5231334A (en) | 1992-04-15 | 1993-07-27 | Texas Instruments Incorporated | Plasma source and method of manufacturing |
US5286518A (en) * | 1992-04-30 | 1994-02-15 | Vlsi Technology, Inc. | Integrated-circuit processing with progressive intermetal-dielectric deposition |
US5226967A (en) | 1992-05-14 | 1993-07-13 | Lam Research Corporation | Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber |
US5346578A (en) | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
US5800618A (en) * | 1992-11-12 | 1998-09-01 | Ngk Insulators, Ltd. | Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof |
US5567267A (en) * | 1992-11-20 | 1996-10-22 | Tokyo Electron Limited | Method of controlling temperature of susceptor |
US5753564A (en) * | 1992-11-24 | 1998-05-19 | Sumitomo Metal Industries, Ltd. | Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma |
JP2875945B2 (ja) | 1993-01-28 | 1999-03-31 | アプライド マテリアルズ インコーポレイテッド | Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法 |
US5401350A (en) | 1993-03-08 | 1995-03-28 | Lsi Logic Corporation | Coil configurations for improved uniformity in inductively coupled plasma systems |
US5511799A (en) * | 1993-06-07 | 1996-04-30 | Applied Materials, Inc. | Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential |
US5571571A (en) * | 1993-06-16 | 1996-11-05 | Applied Materials, Inc. | Method of forming a thin film for a semiconductor device |
US5384008A (en) | 1993-06-18 | 1995-01-24 | Applied Materials, Inc. | Process and apparatus for full wafer deposition |
US5531834A (en) | 1993-07-13 | 1996-07-02 | Tokyo Electron Kabushiki Kaisha | Plasma film forming method and apparatus and plasma processing apparatus |
EP0967632A1 (de) | 1993-07-30 | 1999-12-29 | Applied Materials, Inc. | Gaseinlässe für einen Waferbearbeitungsraum |
US5498313A (en) | 1993-08-20 | 1996-03-12 | International Business Machines Corp. | Symmetrical etching ring with gas control |
US5614055A (en) | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
US5529657A (en) | 1993-10-04 | 1996-06-25 | Tokyo Electron Limited | Plasma processing apparatus |
US5571366A (en) * | 1993-10-20 | 1996-11-05 | Tokyo Electron Limited | Plasma processing apparatus |
TW296534B (de) | 1993-12-17 | 1997-01-21 | Tokyo Electron Co Ltd | |
US5535090A (en) * | 1994-03-03 | 1996-07-09 | Sherman; Arthur | Electrostatic chuck |
US5783492A (en) * | 1994-03-04 | 1998-07-21 | Tokyo Electron Limited | Plasma processing method, plasma processing apparatus, and plasma generating apparatus |
US5589002A (en) | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
US5685914A (en) | 1994-04-05 | 1997-11-11 | Applied Materials, Inc. | Focus ring for semiconductor wafer processing in a plasma reactor |
JP2720420B2 (ja) | 1994-04-06 | 1998-03-04 | キヤノン販売株式会社 | 成膜/エッチング装置 |
JP3257241B2 (ja) * | 1994-04-25 | 2002-02-18 | ソニー株式会社 | プラズマcvd方法 |
US5522934A (en) | 1994-04-26 | 1996-06-04 | Tokyo Electron Limited | Plasma processing apparatus using vertical gas inlets one on top of another |
US5628829A (en) * | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
US5552124A (en) | 1994-06-22 | 1996-09-03 | Applied Materials, Inc. | Stationary focus ring for plasma reactor |
JP3080843B2 (ja) * | 1994-08-24 | 2000-08-28 | 松下電器産業株式会社 | 薄膜形成方法及び装置 |
US5686356A (en) * | 1994-09-30 | 1997-11-11 | Texas Instruments Incorporated | Conductor reticulation for improved device planarity |
JP3699142B2 (ja) | 1994-09-30 | 2005-09-28 | アネルバ株式会社 | 薄膜形成装置 |
US5597439A (en) | 1994-10-26 | 1997-01-28 | Applied Materials, Inc. | Process gas inlet and distribution passages |
US5691876A (en) * | 1995-01-31 | 1997-11-25 | Applied Materials, Inc. | High temperature polyimide electrostatic chuck |
US5571576A (en) | 1995-02-10 | 1996-11-05 | Watkins-Johnson | Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition |
JPH08227933A (ja) * | 1995-02-20 | 1996-09-03 | Shin Etsu Chem Co Ltd | 静電吸着機能を有するウエハ加熱装置 |
US5653806A (en) | 1995-03-10 | 1997-08-05 | Advanced Technology Materials, Inc. | Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same |
US5671116A (en) * | 1995-03-10 | 1997-09-23 | Lam Research Corporation | Multilayered electrostatic chuck and method of manufacture thereof |
US5556521A (en) | 1995-03-24 | 1996-09-17 | Sony Corporation | Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source |
US5776834A (en) * | 1995-06-07 | 1998-07-07 | Advanced Micro Devices, Inc. | Bias plasma deposition for selective low dielectric insulation |
JP2783276B2 (ja) * | 1995-07-04 | 1998-08-06 | 日本電気株式会社 | 半導体装置の製造方法 |
TW283250B (en) * | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
TW356554B (en) * | 1995-10-23 | 1999-04-21 | Watkins Johnson Co | Gas injection system for semiconductor processing |
US5616519A (en) * | 1995-11-02 | 1997-04-01 | Chartered Semiconductor Manufacturing Pte Ltd. | Non-etch back SOG process for hot aluminum metallizations |
US5643640A (en) * | 1995-11-27 | 1997-07-01 | International Business Machines Corporation | Fluorine doped plasma enhanced phospho-silicate glass, and process |
US5789314A (en) * | 1995-12-05 | 1998-08-04 | Integrated Device Technology, Inc. | Method of topside and inter-metal oxide coating |
US5772771A (en) * | 1995-12-13 | 1998-06-30 | Applied Materials, Inc. | Deposition chamber for improved deposition thickness uniformity |
US5679606A (en) * | 1995-12-27 | 1997-10-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | method of forming inter-metal-dielectric structure |
US6200412B1 (en) * | 1996-02-16 | 2001-03-13 | Novellus Systems, Inc. | Chemical vapor deposition system including dedicated cleaning gas injection |
US5730803A (en) * | 1996-02-23 | 1998-03-24 | Applied Materials, Inc. | Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body |
US5796066A (en) * | 1996-03-29 | 1998-08-18 | Lam Research Corporation | Cable actuated drive assembly for vacuum chamber |
US5858876A (en) * | 1996-04-01 | 1999-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer |
US5744400A (en) * | 1996-05-06 | 1998-04-28 | Accord Semiconductor Equipment Group | Apparatus and method for dry milling of non-planar features on a semiconductor surface |
US6070551A (en) * | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
US5948704A (en) * | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US5820723A (en) * | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US6626185B2 (en) * | 1996-06-28 | 2003-09-30 | Lam Research Corporation | Method of depositing a silicon containing layer on a semiconductor substrate |
US6013155A (en) * | 1996-06-28 | 2000-01-11 | Lam Research Corporation | Gas injection system for plasma processing |
EP0958401B1 (de) * | 1996-06-28 | 2004-09-08 | Lam Research Corporation | Verfahren und vorrichtung für cvd oder anätzung mit hoher plasmadichte |
US6170428B1 (en) * | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
JPH1064983A (ja) * | 1996-08-16 | 1998-03-06 | Sony Corp | ウエハステージ |
US5835334A (en) * | 1996-09-30 | 1998-11-10 | Lam Research | Variable high temperature chuck for high density plasma chemical vapor deposition |
US6042687A (en) * | 1997-06-30 | 2000-03-28 | Lam Research Corporation | Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing |
US5922133A (en) * | 1997-09-12 | 1999-07-13 | Applied Materials, Inc. | Multiple edge deposition exclusion rings |
US6185839B1 (en) * | 1998-05-28 | 2001-02-13 | Applied Materials, Inc. | Semiconductor process chamber having improved gas distributor |
US6143078A (en) * | 1998-11-13 | 2000-11-07 | Applied Materials, Inc. | Gas distribution system for a CVD processing chamber |
US6263829B1 (en) * | 1999-01-22 | 2001-07-24 | Applied Materials, Inc. | Process chamber having improved gas distributor and method of manufacture |
US6377437B1 (en) * | 1999-12-22 | 2002-04-23 | Lam Research Corporation | High temperature electrostatic chuck |
-
1996
- 1996-12-23 US US08/772,374 patent/US6184158B1/en not_active Expired - Lifetime
-
1997
- 1997-12-22 KR KR10-1999-7005753A patent/KR100497778B1/ko active IP Right Grant
- 1997-12-22 JP JP52886898A patent/JP2001507081A/ja active Pending
- 1997-12-22 AT AT97953209T patent/ATE292200T1/de not_active IP Right Cessation
- 1997-12-22 WO PCT/US1997/022987 patent/WO1998028465A1/en active IP Right Grant
- 1997-12-22 DE DE69732918T patent/DE69732918T2/de not_active Expired - Lifetime
- 1997-12-22 EP EP97953209A patent/EP0953066B1/de not_active Expired - Lifetime
-
1998
- 1998-02-12 TW TW086119628A patent/TW432493B/zh not_active IP Right Cessation
-
2001
- 2001-02-05 US US09/775,664 patent/US20010019903A1/en not_active Abandoned
Also Published As
Publication number | Publication date |
---|---|
US20010019903A1 (en) | 2001-09-06 |
WO1998028465A1 (en) | 1998-07-02 |
ATE292200T1 (de) | 2005-04-15 |
EP0953066A1 (de) | 1999-11-03 |
KR100497778B1 (ko) | 2005-06-23 |
KR20000062317A (ko) | 2000-10-25 |
DE69732918T2 (de) | 2006-04-13 |
JP2001507081A (ja) | 2001-05-29 |
US6184158B1 (en) | 2001-02-06 |
TW432493B (en) | 2001-05-01 |
EP0953066B1 (de) | 2005-03-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE69732918D1 (de) | Verfahren zum Auffüllen von Zwischenräumen mit INDUKTIV GEKOPPELTEm PLASMA-CVD | |
US6265070B1 (en) | Electrostatic-erasing abrasion-proof coating and method for forming the same | |
US6465057B1 (en) | Plasma CVD method and apparatus | |
US5079031A (en) | Apparatus and method for forming thin films | |
US5368937A (en) | Improved carbon containing thin film formed by increasing bias voltage during a plasma CVD process | |
US4971667A (en) | Plasma processing method and apparatus | |
EP0144055A3 (en) | Process and apparatus for producing a continuous insulated metallic substrate | |
FI941439A0 (fi) | Laite ja menetelmä nopeaa plasmakäsittelyä varten | |
US5283087A (en) | Plasma processing method and apparatus | |
ATE346379T1 (de) | Verfahren zur behandlung der oberfläche von halbleitenden substraten | |
DE59811474D1 (de) | Verfahren zur herstellung von werkstücken, die mit einer epitaktischen schicht beschichtet sind | |
KR950000922A (ko) | 플라즈마 화학 기상 증착법 | |
TW430882B (en) | Plasma film forming method | |
EP2396451A1 (de) | Zweischichtige barriere auf polymersubstrat | |
KR100326782B1 (ko) | 플라즈마 화학 기상 증착 장치 | |
ES2140496T3 (es) | Sustrato de metal duro con una capa de diamante de alta adherencia. | |
US6224952B1 (en) | Electrostatic-erasing abrasion-proof coating and method for forming the same | |
US5007374A (en) | Apparatus for forming thin films in quantity | |
AU8312091A (en) | Chemical vapor deposition (cvd) process for plasma depositing silicon carbide films onto a substrate | |
US6827987B2 (en) | Method of reducing an electrostatic charge on a substrate during a PECVD process | |
JPH09209155A (ja) | プラズマ処理装置 | |
WO1997044141B1 (en) | Method and apparatus for dual-frequency plasma-enhanced cvd | |
JPS6250464A (ja) | 電子写真感光体の製造装置 | |
KR970003667A (ko) | 반도체 소자의 도전층 형성방법 | |
JPH02246111A (ja) | プラズマ処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition |