TWI831824B - 非uv高硬度低介電常數膜沉積 - Google Patents

非uv高硬度低介電常數膜沉積 Download PDF

Info

Publication number
TWI831824B
TWI831824B TW108130726A TW108130726A TWI831824B TW I831824 B TWI831824 B TW I831824B TW 108130726 A TW108130726 A TW 108130726A TW 108130726 A TW108130726 A TW 108130726A TW I831824 B TWI831824 B TW I831824B
Authority
TW
Taiwan
Prior art keywords
cdo
precursor
flow rate
processing
layer
Prior art date
Application number
TW108130726A
Other languages
English (en)
Other versions
TW202022154A (zh
Inventor
蕭納克 穆克吉
謝波
忠堅 卓
任康樹
迪尼斯 帕奇
亞絲莎 加格
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202022154A publication Critical patent/TW202022154A/zh
Application granted granted Critical
Publication of TWI831824B publication Critical patent/TWI831824B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文所述的實施例提供藉由電漿輔助化學氣相沉積(PECVD)處理形成具有高硬度的低k碳摻雜氧化矽(CDO)層的方法。此方法包括以載氣流率提供載氣和以前驅物流率提供CDO前驅物至處理腔室。以一功率位準與一頻率將射頻(RF)功率施加至CDO前驅物。CDO層沉積在處理腔室內的基板上。

Description

非UV高硬度低介電常數膜沉積
本發明的實施例大體上關於製造半導體裝置。更明確地,本發明的實施例關於藉由電漿輔助化學氣相沉積處理製造具有高硬度的低k碳摻雜氧化矽(CDO)層之雙重鑲嵌結構。
在半導體製造中,可形成各種特徵。此類特徵包括雙重鑲嵌結構,具有介電層及堆疊在介電層頂上的導電金屬層,介電層為諸如低介電常數材料,也稱為低k層,而導電金屬層為諸如導電銅層。也可形成通孔及/或溝槽。通孔及/或溝槽被蝕刻進入低k層中,而導電金屬層隨後填充進入通孔及/或溝槽並被平坦化,諸如藉由化學機械平坦化處理(CMP),使得導電金屬層平坦化。
用於雙重鑲嵌結構的低k層的一實例為藉由電漿輔助化學氣相沉積(PECVD)處理沉積的碳摻雜氧化矽(CDO)層。藉由PECVD處理沉積CDO層,使得CDO層具有低介電常數,諸如低於3 k。然而,習知低k CDO層具有不佳的機械強度。習知低k CDO層在後續CMP處理期間暴露至高剪應力,高剪應力會導致破裂與裝置損壞。由於楊氏模數與硬度對於介電常數的反比關係,此沉積的低k CDO層具有不佳的機械強度。
因此,本領域需要藉由PECVD處理形成具有高硬度的低k CDO層的方法。
在一實施例中,提供一種形成碳摻雜氧化矽(CDO)層的方法。此方法包括以載氣流率提供載氣和以前驅物流率提供CDO前驅物至處理腔室。此CDO前驅物選自由以下物種所構成的群組:、及前述物的混合物。 以一功率位準與一頻率將射頻(RF)功率施加至CDO前驅物。此CDO層沉積在處理腔室內的基板上。
在另一實施例中,提供一種形成CDO層的方法。此方法包括以載氣流率提供載氣和以前驅物流率提供CDO前驅物至處理腔室,此CDO前驅物以方程式1表示: 在方程式1中,R1 與R2 是獨立地選自由C1 -C20 烷基團所構成的群組,R3 是選自由C1 -C20 烷基團及氫(H)所構成的群組,及R4 是選自由C1 -C20 烷基團及C1 -C20 烷氧基團所構成的群組。以一功率位準與一頻率將RF功率施加至CDO前驅物。此CDO層沉積在處理腔室內的基板上。
在又另一實施例中,提供一種形成CDO層的方法。此方法包括以載氣流率提供載氣和以前驅物流率提供CDO前驅物至處理腔室,此CDO前驅物以方程式1表示: 在方程式1中,R1 選自由所構成的群組,R2 選自由所構成的群組,R3 選自由與H所構成的群組,及R4 選自由、及所構成的群組。以一功率位準與一頻率將RF功率施加至CDO前驅物。此CDO層沉積在處理腔室內的基板上。
本文所述的實施例提供藉由電漿輔助化學氣相沉積(PECVD)處理形成具有高硬度的低k碳摻雜氧化矽(CDO)層的方法。此方法包括以載氣流率提供載氣和以前驅物流率提供CDO前驅物至處理腔室。以一功率位準與第一頻率施加射頻(RF)功率至CDO前驅物。CDO層沉積在處理腔室內的基板上。
圖1是用於藉由PECVD處理形成低k矽CDO層的方法的系統100的圖解視圖。一實例處理系統200是PRODUCER® 平臺,可由加州聖克拉拉的應用材料公司取得。將理解到下方描述的系統是示例平臺,而包括來自其他製造者的平臺的其他平臺可被使用或修改以達成本發明的態樣。
如圖1所示,一對的前開式晶圓傳送盒(FOUP)102供給基板,基板藉由機械手104接收並在放置進入雙PECVD系統108a-108c的處理腔室114a-114f的一者之前放置進入容置區106。第二機械手112可用於將基板從容置區106傳送至PECVD系統108a-108c。PECVD系統108a-108c用於藉由PECVD處理形成低k SiOC層。
圖2是用於藉由PECVD處理形成低k CDO層的方法的PECVD系統108a的圖解剖面視圖。系統100的一實例是由位於加州聖克拉拉的應用材料公司製造的PRECISION® 系統。將理解到下方描述的系統是示例系統而包括來自其他製造者的系統的其他系統可被使用或修改以達成本發明的態樣。
如圖2所示,系統108a包括處理腔室114a、114b,其中處理腔室114a、114b共有資源。例如,處理腔室114a、114b共有諸如真空泵220與氣源216的資源。處理腔室114a(例如,第一處理腔室)與處理腔室114b(例如,第二處理腔室)被類似地設置。處理腔室114a具有包括處理容積204的腔室主體202,處理容積204包括安置在其中的基板支撐件206以支撐基板201。基板支撐件206包括加熱元件210與將基板201保持在基板支撐件206的頂表面207上的機構(未示出),諸如靜電夾盤、真空夾盤、基板固定夾、或類似物。基板支撐件206耦接至並可移動地安置在處理容積204中,並藉由桿208連接至升降系統(未示出),升降系統移動基板支撐件206於升高處理位置與下降處理位置之間,以助於穿過開口212移送基板201至系統180a與從系統180a移送基板201。
處理腔室114a包括流量控制器218,諸如,質量流量控制(MFC)裝置,安置在氣源216與腔室主體202之間以控制由氣源216至噴頭214的處理氣體的流率,噴頭214用於分佈處理氣體遍佈處理容積204。噴頭214藉由RF饋給224連接至RF功率源222,用於由處理氣體在處理容積204中產生電漿。RF功率源222提供RF能量至噴頭214以促進噴頭214與基板支撐件206之間的電漿產生。桿208設置以移動基板支撐件206至在頂表面207與噴頭214之間的處理距離226處的升高處理位置。真空泵220耦接至腔室主體202,用以控制處理容積204內的壓力。處理腔室114a類似於處理腔室114b。
圖3是藉由PECVD處理形成低k CDO層的方法300的流程圖。為了易於闡明,將參照圖2來說明圖3。然而,將注意到不同於圖2的處理腔室114a的處理腔室可結合方法300來使用。
在操作301,基板201移送穿過開口212進入腔室。基板201安置在下降位置中的基板支撐件206的頂表面207之上,下降位置促進基板201穿過開口212移送至處理腔室114a與從處理腔室114a移送基板201。在操作302,基板支撐件206提高至在頂表面207與噴頭214之間的處理距離226處的升高處理位置。藉由處理距離226可控制處理腔室114a中的處理氣體的滯留時間。在可與本文所述的其他實施例結合的一實施例中,處理距離226是約0.3吋(in)至約1.2吋。減少處理距離226增加了電漿密度以增加沉積的CDO層的硬度並減少介電常數。增加處理距離226減少了電漿密度以減少CDO層的硬度並增加介電常數。
在操作303,執行PECVD處理。PECVD處理在基板101上形成CDO層。在可與本文所述的其他實施例結合的一實施例中,在操作203期間,以載氣流率提供載氣及以前驅物流率提供CDO前驅物至處理腔室114a的處理容積。在可與本文所述的其他實施例結合的另一實施例中,除了載氣與CDO前驅物之外,以含氧氣體流率提供含氧氣體與以含氫氣體流率提供含氫氣體中的至少一者至處理容積204。安置在氣源116與腔室主體202之間的流量控制器118控制載氣流率、前驅物流率、及含氧氣體流率與含氫氣體流率中的至少一者。噴頭114分佈載氣、CDO前驅物氣體、及含氧氣體與含氫氣體中至少一者,遍佈處理容積204。在可與本文所述的其他實施例結合的一實施例中,載氣包括氦(He)或氬(Ar)。在可與本文所述的其他實施例結合的另一實施例中,含氧氣體包括氧氣(O2 )或二氧化碳(CO2 )。在可與本文所述的其他實施例結合的又另一實施例中,含氫氣體包括氫氣(H2 )。前驅物流率是約150每分鐘毫克(mgm)至約2000 mgm,載氣流率是約100每分鐘標準立方公分(sccm)至約5000 sccm,及含氧氣體流率是約0 sccm至約1000 sccm與含氫氣體流率是約0 sccm與2000 sccm中至少一者。
在可與本文所述的其他實施例結合的某些實施例中,CDO前驅物包括可由位於加州聖克拉拉的應用材料公司取得的下列化合物中的至少一者: 化合物1 化合物2 化合物3 化合物4 化合物5 化合物6 其中Et是具有化學式C2 H5 的乙基團,及Me是具有化學式CH3 的甲基團。
在可與本文所述的其他實施例結合的其他實施例中,可由應用材料公司取得的CDO前驅物以化學式1表示: 化學式1
其中,在化學式1中,R1 與R2 獨立地選自由C1 -C20 烷基團所構成的群組,R3 選自由C1 -C20 烷基團及氫(H)所構成的群組,及R4 選自由C1 -C20 烷基團與C1 -C20 烷氧基團所構成的群組。在化學式1的一實例中, R1 選自由所構成的群組,R2 選自由所構成的群組,R3 選自由與H所構成的群組,及R4 選自由、及所構成的群組。CDO前驅物與載氣在RF功率存在下被離子化。RF功率以一功率位準與第一頻率施加至CDO前驅物以活化CDO前驅物,使得CDO層沉積在基板201上。在操作303期間,處理腔室114a中的壓力為約4托至約35托,而處理腔室114a中的溫度與基板201的溫度在約攝氏350度(°C)與400 °C之間。處理腔室114a中的約350 °C與400 °C之間的溫度增加CDO層的模數與硬度。CDO前驅物之交連的Si-O-Si與Si-CH2 -Si鍵結在約350 °C與400 °C之間的溫度不會衰減,因而在沉積膜中維持交連,從而增加CDO層的模數與硬度。在可與本文所述的其他實施例結合的一實施例中,CDO層具有大於25 GPa的模數、大於4.5 GPa的硬度、及小於4的介電常數。
選定化合物1-6與化學式1及前述物的組合的CDO前驅物,使得CDO層的硬度大於4.5 GPa與CDO層的介電常數小於4。不侷限於理論,經由在操作303期間的CDO前驅物的–OR基團(諸如–OCH2 CH3 與–OCH3 )的凝聚或經由化合物1-6與化學式1及前述物的組合的CDO前驅物之內建Si-O-Si鍵結,Si-O-Si鍵結併入CDO層中。Si-O鍵結相較於Si-C鍵結具有較大的鍵結強度,因而併入提供用於較高機械強度的CDO層的Si-O-Si鍵結造成硬度大於4.5 GPa。再者,除了在CDO層中微孔隙的形成之外,CDO前驅物的終端Si-CH3 基團併入更多終端碳與併入更多非極性Si-C鍵結於CDO層中。經由在CDO層中併入終端碳與非極性Si-C鍵結及由終端Si-CH3 基團形成的CDO層中之微孔隙,減少CDO層的介電常數。此外,CDO前驅物中的內建Si-CH2 -Si鍵結併入更多網絡碳,以控制碳的百分比(提供介電常數)及CDO層的機械強度。因此,選定用於CDO前驅物之化合物1-6與化學式1及前述物的組合,以控制沉積CDO層中Si-O鍵結對於Si-C鍵結的比率,使得CDO層的硬度大於4.5 GPa與CDO層的介電常數小於4。
當含氧氣體與含氫氣體中至少一者提供至處理容積204時,回應於施加RF功率,產生氧與氫離子/自由基的至少一者。氧與氫離子/自由基與鬆弛結合的CHx和SiCH2 Si基團及終端SiCH3 基團相反應。然而,鬆弛結合的CHx被激烈地移除及與鬆弛結合的CHx和SiCH2 Si基團及終端SiCH3 基團相反應的副產物從處理腔室排出。由於CHx類型碳與某些終端SiCH3 類型碳的移除,鬆弛結合的CHx與SiCH2 Si的移除將微孔隙導入CDO層中。微孔隙減少CDO層的介電常數而不增加CDO層的模數與硬度。
在處理期間,RF功率的功率位準為約200瓦特(W)至約2000 W。RF功率的第一頻率是約13.56百萬赫(MHz)至約40 MHz。在一實例中,第一頻率電漿促進Si-O-Si結構與終端Si-CH3 基團的線性主鏈的保留,以維持CDO層的硬度與介電常數。以不小於27 MHz的第一頻率,有著較小離子能量及衝擊,所以保護CDO前驅物的主鏈免於在氣相中碎裂,使得保持Si-O鍵結對於Si-C鍵結的比率。一旦前驅物的SiOC長鏈聚合物沉積在基板上,RF功率會以不大於350千赫(kHz)的第二頻率施加,以從CDO層移除過量碳來增加介電常數,藉由誘使終端Si-CH3 基團的碎裂,使得CDO層的介電常數在約3與約4之間。
總結而言,本文說明藉由PECVD處理形成低 k CDO層的方法。完成的CDO層具有小於4的介電常數與大於4.5 GPa的硬度。在一實施例中,介電常數在約3.10與約3.20之間,而硬度在約4.8 GPa與5.4 GPa之間。因此,完成的低k CDO層具有高機械強度以耐受在後續CMP處理期間的高剪應力,否則此高剪應力會導致破裂與裝置損壞。用於CDO前驅物的化合物具有交連的Si-O-Si鍵結以增加低k CDO層的模數與硬度並耐受腔室中大於350 °C的溫度。在PECVD期間的腔室中之大於350 °C的腔室中溫度增加CDO層的模數與硬度。因此,CDO層具有小於4的介電常數與大於4.5 GPa的硬度。小於4的介電常數與大於4.5 GPa的硬度消除執行碳摻雜與UV固化的需求。碳摻雜減少CDO層的介電常數與硬度,而UV固化增加CDO層的介電常數與硬度。消除碳摻雜與UV固化增加了產量、減少成本、及減少消費者擁有成本。
儘管前述關於本發明的實例,但在不背離本發明的基本範疇可構思出本發明的其他與進一步實例,且本發明的範疇由之後的申請專利範圍所界定。
100:系統 102:前開式晶圓傳送盒(FOUP) 104:機械手 106:容置區 108a、108b、108c:PECVD系統 112:第二機械手 114a、114b、114c、114d、114e、114f:處理腔室 201:基板 202:腔室主體 204:處理容積 206:基板支撐件 207:頂表面 208:桿 210:加熱元件 212:開口 214:噴頭 216:氣源 218:流量控制器 220:真空泵 222:RF功率源 224:RF饋給 226:處理距離 300:方法 301、302、303:操作
藉由參照實施例,某些實施例繪示在隨附圖式中,可獲得簡短總結於上的本發明之更明確的說明,使得本發明的上述特徵可被詳細地理解。然而,將注意到隨附圖式僅繪示示例實施例且因而不被當作限制本發明範疇,由於本發明可容許其他等效實施例。
圖1是根據一實施例的系統的圖解視圖。
圖2是根據一實施例的電漿輔助化學氣相沉積系統的圖解剖面視圖。
圖3是根據一實施例的藉由電漿輔助化學氣相沉積處理形成低k矽CDO層的方法的流程圖。
為了易於理解,儘可能已使用相同的元件符號指代圖式中共通的相同元件。料想一實施例的元件與特徵可有利地結合到其他實施例而不需要進一步說明。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
108a:PECVD系統
114a、114b:處理腔室
201:基板
202:腔室主體
204:處理容積
206:基板支撐件
207:頂表面
208:桿
210:加熱元件
212:開口
214:噴頭
216:氣源
218:流量控制器
220:真空泵
222:RF功率源
224:RF饋給
226:處理距離

Claims (19)

  1. 一種形成一碳摻雜氧化矽(CDO)層的方法,包含以下步驟:以一載氣流率提供一載氣和以一前驅物流率提供一CDO前驅物至一處理腔室,該CDO前驅物選自由以下物種所構成的群組:
    Figure 108130726-A0305-02-0017-1
    Figure 108130726-A0305-02-0017-2
    、及前述物的混合物;以一功率位準與一頻率施加一射頻(RF)功率至該CDO前驅物;以及沉積一CDO層在該處理腔室內的一基板上。
  2. 如請求項1所述之方法,進一步包含以下步驟:以一含氧氣體流率提供一含氧氣體與以一含氫氣體流率提供一含氫氣體中的至少一者至該處理腔室。
  3. 如請求項2所述之方法,其中該含氧氣體流率是約0每分鐘標準立方公分(sccm)至約100sccm。
  4. 如請求項3所述之方法,其中該含氧氣體是氧氣(O2)。
  5. 如請求項2所述之方法,其中該含氫氣體流率是約0sccm至約2000sccm。
  6. 如請求項5所述之方法,其中該含氫氣體是氫氣(H2)。
  7. 如請求項1所述之方法,進一步包含以下步驟:將該基板移送至該處理腔室中的一基板支撐件;及將該基板支撐件提舉一昇高處理位置至一處理位置。
  8. 如請求項7所述之方法,其中該處理位置是離該處理腔室的一噴頭之從約0.3吋至約1.2吋的一處理距離。
  9. 如請求項1所述之方法,其中該載氣流率是約300每分鐘標準立方公分(sccm)至約5000sccm。
  10. 如請求項9所述之方法,其中該載氣是氦(He)。
  11. 如請求項1所述之方法,其中該前驅物流率是約150每分鐘毫克(mgm)至約1500mgm。
  12. 如請求項1所述之方法,其中該功率位準是約200瓦特(W)至約2000W。
  13. 如請求項1所述之方法,其中該頻率是約 13.56百萬赫(MHz)至約40MHz。
  14. 一種形成一碳摻雜氧化矽(CDO)層的方法,包含以下步驟:以一載氣流率提供一載氣和以一前驅物流率提供一CDO前驅物至一處理腔室,該CDO前驅物以化學式1表示:
    Figure 108130726-A0305-02-0019-3
    其中,在化學式1中,R1與R2是獨立地選自由-CH3與-CH2CH3所構成的群組,R3是選自由-CH3及氫(H)所 構成的群組,及R4是選自由-CH=(CH2)nCH3、及
    Figure 108130726-A0305-02-0019-4
    所構成的群組;以一功率位準與一頻率將一射頻(RF)功率施加至該CDO前驅物;以及將一CDO層沉積在該處理腔室內的一基板上。
  15. 如請求項14所述之方法,進一步包含以下步驟:將該基板移送至該處理腔室中的一基板支撐件;及將該基板支撐件提舉一昇高處理位置至一處理位置。
  16. 如請求項15所述之方法,其中該處理位置 是離該處理腔室的一噴頭之從約0.3吋至約1.2吋的一處理距離。
  17. 如請求項14所述之方法,其中該前驅物流率是約150每分鐘毫克(mgm)至約1500mgm。
  18. 如請求項14所述之方法,其中該功率位準是約200瓦特(W)至約2000W。
  19. 如請求項14所述之方法,其中該頻率是約13.56百萬赫(MHz)至約40MHz。
TW108130726A 2018-08-29 2019-08-28 非uv高硬度低介電常數膜沉積 TWI831824B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862724317P 2018-08-29 2018-08-29
US62/724,317 2018-08-29

Publications (2)

Publication Number Publication Date
TW202022154A TW202022154A (zh) 2020-06-16
TWI831824B true TWI831824B (zh) 2024-02-11

Family

ID=69639133

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130726A TWI831824B (zh) 2018-08-29 2019-08-28 非uv高硬度低介電常數膜沉積

Country Status (7)

Country Link
US (1) US20200075321A1 (zh)
JP (1) JP7465256B2 (zh)
KR (1) KR20210039489A (zh)
CN (1) CN112513321A (zh)
SG (1) SG11202100058RA (zh)
TW (1) TWI831824B (zh)
WO (1) WO2020046980A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11967498B2 (en) * 2020-06-29 2024-04-23 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
EP4211291A1 (en) * 2020-10-20 2023-07-19 Versum Materials US, LLC Alkoxydisiloxanes and dense organosilica films made therefrom
CN113106422B (zh) * 2021-04-09 2022-03-22 北京北方华创微电子装备有限公司 等离子体增强原子层沉积设备及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
TWI297738B (en) * 2001-10-05 2008-06-11 Intel Corp Carbon doped oxide deposition

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291713A (ja) * 2000-04-07 2001-10-19 Canon Sales Co Inc 成膜方法及び半導体装置
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
JP2005294333A (ja) 2004-03-31 2005-10-20 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US8084356B2 (en) * 2007-09-29 2011-12-27 Lam Research Corporation Methods of low-K dielectric and metal process integration
JP5316743B2 (ja) * 2007-11-01 2013-10-16 Jsr株式会社 ケイ素含有膜形成用組成物およびケイ素含有絶縁膜の形成方法
KR20100126327A (ko) 2008-03-26 2010-12-01 제이에스알 가부시끼가이샤 화학 기상 성장법용 재료, 규소 함유 절연막 및 그의 제조 방법
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
CN103238206A (zh) 2010-12-20 2013-08-07 应用材料公司 原位低介电常数加盖以改良整合损坏抗性
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI297738B (en) * 2001-10-05 2008-06-11 Intel Corp Carbon doped oxide deposition
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films

Also Published As

Publication number Publication date
TW202022154A (zh) 2020-06-16
WO2020046980A1 (en) 2020-03-05
JP2021535599A (ja) 2021-12-16
CN112513321A (zh) 2021-03-16
JP7465256B2 (ja) 2024-04-10
SG11202100058RA (en) 2021-03-30
US20200075321A1 (en) 2020-03-05
KR20210039489A (ko) 2021-04-09

Similar Documents

Publication Publication Date Title
US7718553B2 (en) Method for forming insulation film having high density
US6991959B2 (en) Method of manufacturing silicon carbide film
US8187951B1 (en) CVD flowable gap fill
JP2021184475A (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
US8580697B1 (en) CVD flowable gap fill
TWI541376B (zh) 共形的氮碳化矽及氮化矽薄膜之低溫電漿輔助化學氣相沉積
CN110828346B (zh) 具有气体分布及单独泵送的批量固化腔室
US7163896B1 (en) Biased H2 etch process in deposition-etch-deposition gap fill
TWI831824B (zh) 非uv高硬度低介電常數膜沉積
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
KR20150037662A (ko) 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
KR20130130035A (ko) Hdp-cvd에 의한 폴리실리콘 필름
WO2014116376A1 (en) Low shrinkage dielectric films
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
KR20090060768A (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US7344996B1 (en) Helium-based etch process in deposition-etch-deposition gap fill
TW200926291A (en) Impurity control in HDP-CVD dep/etch/dep processes
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US11600486B2 (en) Systems and methods for depositing low-κdielectric films
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
WO2017074606A1 (en) Low temp single precursor arc hard mask for multilayer patterning application
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
US11621162B2 (en) Systems and methods for forming UV-cured low-κ dielectric films
US20230416909A1 (en) Method for formation of conformal ald sio2 films