CN112513321A - 非uv高硬度低介电常数膜沉积 - Google Patents

非uv高硬度低介电常数膜沉积 Download PDF

Info

Publication number
CN112513321A
CN112513321A CN201980048633.0A CN201980048633A CN112513321A CN 112513321 A CN112513321 A CN 112513321A CN 201980048633 A CN201980048633 A CN 201980048633A CN 112513321 A CN112513321 A CN 112513321A
Authority
CN
China
Prior art keywords
cdo
precursor
flow rate
group
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980048633.0A
Other languages
English (en)
Inventor
S·慕克吉
B·谢
K·M·赵
K·S·伊姆
D·帕德希
A·加格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112513321A publication Critical patent/CN112513321A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文所述的实施例提供通过等离子体增强化学气相沉积(PECVD)工艺来形成具有高硬度的低k碳掺杂氧化硅(CDO)层的方法。此方法包括以载气流率提供载气和以前驱物流率将CDO前驱物提供到工艺腔室。以一功率水平和一频率将射频(RF)功率施加至CDO前驱物。CDO层沉积在工艺腔室内的基板上。

Description

非UV高硬度低介电常数膜沉积
技术领域
本发明的实施例总体上涉及制造半导体装置。更具体地,本发明的实施例涉及通过等离子体增强化学气相沉积工艺来制造具有高硬度的低k碳掺杂氧化硅(CDO)层的双重镶嵌(damascene)结构。
背景技术
在半导体制造中,可形成各种特征。此类特征包括双重镶嵌结构,所述双重镶嵌结构具有介电层及堆叠在介电层的顶上的导电金属层,介电层为诸如低介电常数材料,也称为低k层,而导电金属层为诸如导电铜层。也可形成通孔和/或沟槽。通孔和/或沟槽被蚀刻进入低k层中,而导电金属层随后填充进入通孔和/或沟槽并被平坦化,诸如通过化学机械平坦化工艺(CMP),使得导电金属层平坦化。
用于双重镶嵌结构的低k层的一个示例为通过等离子体增强化学气相沉积(PECVD)工艺来沉积的碳掺杂氧化硅(CDO)层。通过PECVD工艺来沉积CDO层,使得CDO层具有低介电常数,诸如低于3k。然而,常规的低k CDO层具有不佳的机械强度。常规的低k CDO层在后续CMP工艺期间暴露至高剪应力,高剪应力会导致破裂和装置损坏。由于杨氏模数和硬度相对于介电常数的反比关系,此沉积的低k CDO层具有不佳的机械强度。
因此,本领域需要通过PECVD工艺来形成具有高硬度的低k CDO层的方法。
发明内容
在一个实施例中,提供一种形成碳掺杂氧化硅(CDO)层的方法。此方法包括以载气流率提供载气并以前驱物流率提供CDO前驱物至工艺腔室。此CDO前驱物选自由以下项所构成的群组:
Figure BDA0002907336640000021
Figure BDA0002907336640000022
和它们的混合物。
以一功率水平和一频率将射频(RF)功率施加至CDO前驱物。此CDO层沉积在工艺腔室内的基板上。
在另一实施例中,提供一种形成CDO层的方法。此方法包括以载气流率提供载气并以前驱物流率提供CDO前驱物至工艺腔室,此CDO前驱物由方程式1表示:
Figure BDA0002907336640000023
在方程式1中,R1和R2独立地选自由C1-C20烷基团所构成的群组,R3选自由C1-C20烷基团及氢(H)所构成的群组,且R4选自由C1-C20烷基团和C1-C20烷氧基团所构成的群组。以一功率水平和一频率将RF功率施加至CDO前驱物。此CDO层沉积在工艺腔室内的基板上。
在又另一实施例中,提供一种形成CDO层的方法。此方法包括以载气流率提供载气并以前驱物流率提供CDO前驱物至工艺腔室,此CDO前驱物由方程式1表示:
Figure BDA0002907336640000031
在方程式1中,R1选自由-CH3和-CH2CH3所构成的群组,R2选自由-CH3和-CH2CH3所构成的群组,R3选自由-CH3和H所构成的群组,并且R4选自由-(CH2)nCH3、O(CH2)nCH3、-CH=(CH2)nCH3、及
Figure BDA0002907336640000032
所构成的群组。以一功率水平和一频率将RF功率施加至CDO前驱物。此CDO层沉积在工艺腔室内的基板上。
附图说明
通过参照实施例,某些实施例示出于附图中,可获得简短总结于上的本发明的更具体的说明,使得本发明的上述特征可被详细地理解。然而,将注意到附图仅示出示例实施例且因而不被当作限制本发明的范围,因为本发明可允许其他等效实施例。
图1是根据一实施例的系统的示意性视图。
图2是根据一实施例的等离子体增强化学气相沉积系统的示意性剖视图。
图3是根据一实施例的通过等离子体增强化学气相沉积工艺形成低k硅CDO层的方法的流程图。
为了易于理解,已经尽可能使用相同的参考标号来指代附图中共有的相同元件。料想到,一个实施例的元件和特征可以有利地结合到其他实施例而无需进一步说明。
具体实施方式
本文所述的实施例提供通过等离子体增强化学气相沉积(PECVD)工艺来形成具有高硬度的低k碳掺杂氧化硅(CDO)层的方法。此方法包括以载气流率提供载气并以前驱物流率提供CDO前驱物至工艺腔室。以一功率水平和第一频率将射频(RF)功率施加至CDO前驱物。CDO层沉积在工艺腔室内的基板上。
图1是用于通过PECVD工艺来形成低k硅CDO层的方法的系统100的示意性视图。一个示例处理系统200是
Figure BDA0002907336640000041
平台,可由加州圣克拉拉的应用材料公司取得。将理解到下方描述的系统是示例平台,而包括来自其他制造者的平台的其他平台可被使用或修改以完成本发明的方面。
如图1所示,一对前开式标准舱盒(FOUP)102供应基板,所述基板通过机械手臂104接收并在放置进入双PECVD系统108a-108c的工艺腔室114a-114f中的一者之前放置进入容置区106。第二机械臂112可用于将基板从容置区106传送至PECVD系统108a-108c。PECVD系统108a-108c用于通过PECVD工艺形成低k SiOC层。
图2是用于通过PECVD工艺来形成低k CDO层的方法的PECVD系统108a的示意性剖视图。系统100的一个示例是由位于加州圣克拉拉的应用材料公司制造的
Figure BDA0002907336640000042
系统。将理解到下方描述的系统是示例系统而包括来自其他制造者的系统的其他系统可被使用或修改以完成本发明的方面。
如图2所示,系统108a包括工艺腔室114a、114b,其中工艺腔室114a、114b共享资源。例如,工艺腔室114a、114b共享诸如真空泵220和气源216的资源。工艺腔室114a(例如,第一工艺腔室)和工艺腔室114b(例如,第二工艺腔室)被类似地设置。工艺腔室114a具有包括处理容积204的腔室主体202,处理容积204包括设置在其中的基板支撑件206以支撑基板201。基板支撑件206包括加热元件210和将基板201保持在基板支撑件206的顶表面207上的机构(未示出),诸如静电夹盘、真空夹盘、基板保持夹等等。基板支撑件206耦接至处理容积204并可移动地设置在处理容积204中,并通过杆208连接至升降系统(未示出),所述升降系统在升高处理位置与降低处理位置之间移动基板支撑件206,以促进穿过开口212将基板201移送至系统180a和从系统180a移送基板201。
工艺腔室114a包括流量控制器218,诸如质量流量控制(MFC)设备,设置在气源216与腔室主体202之间以控制由气源216至喷头214的工艺气体的流率,喷头214用于分布工艺气体遍布处理容积204。喷头214通过RF馈送224连接至RF功率源222,用于由工艺气体在处理容积204中产生等离子体。RF功率源222将RF能量提供至喷头214以促进喷头214与基板支撑件206之间的等离子体产生。杆208经设置以移动基板支撑件206至在顶表面207与喷头214之间的工艺距离226处的升高处理位置。真空泵220耦接至腔室主体202,用以控制处理容积204内的压力。工艺腔室114a类似于工艺腔室114b。
图3是通过PECVD工艺来形成低k CDO层的方法300的流程图。为了促进解释,将参照图2来说明图3。然而,将注意到可以结合方法300来使用不同于图2的工艺腔室114a的工艺腔室。
在操作301,基板201移送穿过开口212进入腔室。基板201设置在下降位置中的基板支撑件206的顶表面207上,所述下降位置促进穿过开口212将基板201移送至工艺腔室114a和从工艺腔室114a移送基板201。在操作302,基板支撑件206被提举至在顶表面207与喷头214之间的工艺距离226处的升高处理位置。通过工艺距离226可控制工艺腔室114a中的处理气体的滞留时间。在可与本文所述的其他实施例结合的一个实施例中,工艺距离226是约0.3英寸(in)至约1.2英寸。减少工艺距离226增加了等离子体密度以增加沉积的CDO层的硬度并减少介电常数。增加工艺距离226减少了等离子体密度以减少CDO层的硬度并增加介电常数。
在操作303,执行PECVD工艺。PECVD工艺在基板101上形成CDO层。在可与本文所述的其他实施例结合的一个实施例中,在操作203期间,以载气流率提供载气并以前驱物流率提供CDO前驱物至工艺腔室114a的处理容积。在可与本文所述的其他实施例结合的另一实施例中,除了载气和CDO前驱物之外,将在含氧气体流率下的含氧气体和在含氢气体流率下的含氢气体中的至少一者提供至处理容积204。设置在气源116与腔室主体202之间的流量控制器118控制载气流率、前驱物流率、及含氧气体流率和含氢气体流率中的至少一者。喷头114分布载气、CDO前驱物气体、及含氧气体和含氢气体中至少一者遍布处理容积204。在可与本文所述的其他实施例结合的一个实施例中,载气包括氦(He)或氩(Ar)。在可与本文所述的其他实施例结合的另一实施例中,含氧气体包括氧气(O2)或二氧化碳(CO2)。在可与本文所述的其他实施例结合的又另一实施例中,含氢气体包括氢气(H2)。前驱物流率是约每分钟150毫克(mgm)至约2000mgm,载气流率是约每分钟100标准立方公分(sccm)至约5000sccm,且含氧气体流率是约0sccm至约1000sccm和含氢气体流率是约0sccm至2000sccm。
在可与本文所述的其他实施例结合的某些实施例中,CDO前驱物包括可由位于加州圣克拉拉的应用材料公司取得的下列化合物中的至少一者:
Figure BDA0002907336640000061
Figure BDA0002907336640000071
其中Et是具有化学式C2H5的乙基团,且Me是具有化学式CH3的甲基团。
在可与本文所述的其他实施例结合的其他实施例中,可由应用材料公司取得的CDO前驱物由化学式1表示:
Figure BDA0002907336640000072
其中,在化学式1中,R1与R2独立地选自由C1-C20烷基团所构成的群组,R3选自由C1-C20烷基团和氢(H)所构成的群组,且R4选自由C1-C20烷基团和C1-C20烷氧基团所构成的群组。在化学式1的一个示例中,R1选自由-CH3与-CH2CH3所构成的群组,R2选自由-CH3和-CH2CH3所构成的群组,R3选自由-CH3和H所构成的群组,并且R4选自由-(CH2)nCH3O(CH2)nCH3、-CH=(CH2)nCH3、和
Figure BDA0002907336640000081
所构成的群组。CDO前驱物和载气在RF功率存在下被离子化。RF功率以一功率水平和第一频率施加至CDO前驱物以活化CDO前驱物,使得CDO层沉积在基板201上。在操作303期间,工艺腔室114a中的压力为约4托至约35托,而工艺腔室114a中的温度与基板201的温度在约摄氏350度(℃)与400℃之间。工艺腔室114a中的约350℃与400℃之间的温度增加CDO层的模数与硬度。CDO前驱物的交联的Si-O-Si和Si-CH2-Si键结在约350℃与400℃之间的温度下不会衰减,并且因此在沉积膜中维持交联,从而增加CDO层的模数与硬度。在可与本文所述的其他实施例结合的一个实施例中,CDO层具有大于25GPa的模数、大于4.5GPa的硬度、及小于4的介电常数。
选定化合物1-6和化学式1的CDO前驱物以及它们的组合,使得CDO层的硬度大于4.5GPa且CDO层的介电常数小于4。不局限于理论,经由在操作303期间的CDO前驱物的–OR基团(诸如–OCH2CH3和–OCH3)的凝聚或经由化合物1-6和化学式1的CDO前驱物以及它们的组合的内建Si-O-Si键结,Si-O-Si键结被并入CDO层中。Si-O键结相较于Si-C键结具有较大的键结强度,因而Si-O键结并入CDO层中提供较高机械强度,从而造成硬度大于4.5GPa。此外,除了在CDO层中的微孔隙的形成之外,CDO前驱物的终端Si-CH3基团并入更多终端碳且并入更多非极性Si-C键结于CDO层中。经由在CDO层中并入终端碳和非极性Si-C键结及由终端Si-CH3基团形成的CDO层中的微孔隙,减少CDO层的介电常数。另外,CDO前驱物中的内建Si-CH2-Si键结并入更多网络碳,以控制碳的百分比(提供介电常数)及CDO层的机械强度。因此,选定用于CDO前驱物的化合物1-6和化学式1及它们的组合,以控制所沉积的CDO层中Si-O键结相对于Si-C键结的比率,以使得CDO层的硬度大于4.5GPa且CDO层的介电常数小于4。
当含氧气体和含氢气体中至少一者被提供至处理容积204时,响应于施加RF功率,产生氧和氢离子/自由基中的至少一者。氧和氢离子/自由基与松弛结合的CHx和SiCH2Si基团及终端SiCH3基团相反应。然而,松弛结合的CHx被激烈地移除并且与松弛结合的CHx和SiCH2Si基团及终端SiCH3基团相反应的副产物从处理腔室排出。由于CHx类型碳和某些终端SiCH3类型碳的移除,松弛结合的CHx和SiCH2Si的移除将微孔隙导入CDO层中。微孔隙减少CDO层的介电常数而不增加CDO层的模数与硬度。
在处理期间,RF功率的功率水平为约200瓦特(W)至约2000W。RF功率的第一频率是约13.56兆赫兹(MHz)至约40MHz。在一个示例中,第一频率等离子体促进Si-O-Si结构和终端Si-CH3基团的线性主链的保留,以维持CDO层的硬度与介电常数。以不小于27MHz的第一频率,有着较小离子能量及冲击,所以保护CDO前驱物的主链免于在气相中碎裂,使得保持Si-O键结相对于Si-C键结的比率。一旦前驱物的SiOC长链聚合物沉积在基板上,则可以以不大于350千赫兹(kHz)的第二频率施加RF功率,通过诱使终端Si-CH3基团的碎裂,从CDO层移除过量碳来增加介电常数,使得CDO层的介电常数在约3与约4之间。
总结而言,本文说明通过PECVD工艺形成低k CDO层的方法。所得到的CDO层具有小于4的介电常数和大于4.5GPa的硬度。在一个实施例中,介电常数在约3.10与约3.20之间,并且硬度在约4.8GPa与5.4GPa之间。因此,所得到的低k CDO层具有高机械强度以耐受在后续CMP工艺期间的高剪应力,否则此高剪应力会导致破裂和装置损坏。用于CDO前驱物的化合物具有交联的Si-O-Si键结以增加低k CDO层的模数与硬度并耐受腔室中大于350℃的温度。在PECVD期间的腔室中的大于350℃的腔室中温度增加CDO层的模数与硬度。因此,CDO层具有小于4的介电常数与大于4.5GPa的硬度。小于4的介电常数与大于4.5GPa的硬度消除执行碳掺杂和UV固化的要求。碳掺杂减少CDO层的介电常数与硬度,而UV固化增加CDO层的介电常数与硬度。消除碳掺杂和UV固化增加了产量,减少成本,并且减少消费者拥有成本。
尽管前述针对的是本发明的示例,但在不背离本发明的基本范围的情况下,可构思出本发明的其他和进一步的示例,并且本发明的范围由所附权利要求所界定。

Claims (15)

1.一种形成碳掺杂氧化硅(CDO)层的方法,包括:
以载气流率提供载气并以前驱物流率提供CDO前驱物至工艺腔室,所述CDO前驱物选自由以下项所构成的群组:
Figure FDA0002907336630000011
Figure FDA0002907336630000012
以及它们的混合物;
以一功率水平和一频率将射频(RF)功率施加至所述CDO前驱物;以及
将CDO层沉积在所述工艺腔室内的基板上。
2.如权利要求1所述的方法,进一步包括:将在含氧气体流率下的含氧气体和在含氢气体流率下的含氢气体中的至少一者提供至所述工艺腔室。
3.如权利要求2所述的方法,其中所述含氧气体流率是约每分钟0标准立方公分(sccm)至约100sccm。
4.如权利要求3所述的方法,其中所述含氧气体是氧气(O2)。
5.如权利要求2所述的方法,其中所述含氢气体流率是约0sccm至约2000sccm。
6.如权利要求5所述的方法,其中所述含氢气体是氢气(H2)。
7.如权利要求1所述的方法,进一步包括:
将所述基板移送至所述工艺腔室的基板支撑件;以及
将所述基板支撑件提举升高处理位置至工艺位置。
8.如权利要求7所述的方法,其中所述工艺位置是离所述工艺腔室的喷头的从约0.3英寸至约1.2英寸的工艺距离。
9.一种形成碳掺杂氧化硅(CDO)层的方法,包括:
以载气流率提供载气并以前驱物流率提供CDO前驱物至工艺腔室,所述CDO前驱物由化学式1表示:
Figure FDA0002907336630000021
其中,在化学式1中,R1和R2独立地选自由C1-C20烷基团所构成的群组,R3选自由C1-C20烷基团和氢(H)所构成的群组,并且R4选自由C1-C20烷基团和C1-C20烷氧基团所构成的群组;
以一功率水平和一频率将射频(RF)功率施加至所述CDO前驱物;以及
将CDO层沉积在所述工艺腔室内的基板上。
10.如权利要求9所述的方法,其中所述载气流率是约每分钟300标准立方公分(sccm)至约5000sccm。
11.如权利要求10所述的方法,其中所述载气是氦(He)。
12.如权利要求9所述的方法,其中所述前驱物流率是约每分钟150毫克(mgm)至约1500mgm。
13.如权利要求9所述的方法,其中所述功率水平是约200瓦特(W)至约1100W。
14.如权利要求9所述的方法,其中所述频率是约13.56兆赫兹(MHz)至约40MHz。
15.一种形成碳掺杂氧化硅(CDO)层的方法,包括:
以载气流率提供载气并以前驱物流率提供CDO前驱物至工艺腔室,所述CDO前驱物由化学式1表示:
Figure FDA0002907336630000031
其中,在化学式1中,R1选自由-CH3和-CH2CH3所构成的群组,R2选自由-CH3和-CH2CH3所构成的群组,R3选自由-CH3和H所构成的群组,并且R4选自由-(CH2)nCH3、O(CH2)nCH3、-CH=(CH2)nCH3
Figure FDA0002907336630000032
所构成的群组;
以一功率水平和一频率将射频(RF)功率施加至所述CDO前驱物;以及
将CDO层沉积在所述工艺腔室内的基板上。
CN201980048633.0A 2018-08-29 2019-08-27 非uv高硬度低介电常数膜沉积 Pending CN112513321A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862724317P 2018-08-29 2018-08-29
US62/724,317 2018-08-29
PCT/US2019/048394 WO2020046980A1 (en) 2018-08-29 2019-08-27 Non-uv high hardness low k film deposition

Publications (1)

Publication Number Publication Date
CN112513321A true CN112513321A (zh) 2021-03-16

Family

ID=69639133

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980048633.0A Pending CN112513321A (zh) 2018-08-29 2019-08-27 非uv高硬度低介电常数膜沉积

Country Status (7)

Country Link
US (1) US20200075321A1 (zh)
JP (1) JP7465256B2 (zh)
KR (1) KR20210039489A (zh)
CN (1) CN112513321A (zh)
SG (1) SG11202100058RA (zh)
TW (1) TWI831824B (zh)
WO (1) WO2020046980A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113106422A (zh) * 2021-04-09 2021-07-13 北京北方华创微电子装备有限公司 等离子体增强原子层沉积设备及方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11967498B2 (en) 2020-06-29 2024-04-23 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
JP2023546911A (ja) * 2020-10-20 2023-11-08 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー アルコキシジシロキサン、及びそれから製造される緻密なオルガノシリカ膜

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010041458A1 (en) * 2000-04-07 2001-11-15 Canon Sales Co., Inc. Film forming method, semiconductor device manufacturing method, and semiconductor device
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US20040043555A1 (en) * 2001-10-05 2004-03-04 Ebrahim Andideh Carbon doped oxide deposition
US20060160374A1 (en) * 2005-01-18 2006-07-20 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US20090239390A1 (en) * 2003-03-31 2009-09-24 Novellus Systems, Inc. Methods for producing low stress porous and cdo low-k dielectric materials using precursors with organic functional groups
KR20100061566A (ko) * 2007-09-29 2010-06-07 램 리써치 코포레이션 로우-k 유전체 및 금속 프로세스 통합의 방법

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005294333A (ja) 2004-03-31 2005-10-20 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置
JP5316743B2 (ja) * 2007-11-01 2013-10-16 Jsr株式会社 ケイ素含有膜形成用組成物およびケイ素含有絶縁膜の形成方法
JPWO2009119583A1 (ja) 2008-03-26 2011-07-28 Jsr株式会社 化学気相成長法用材料ならびにケイ素含有絶縁膜およびその製造方法
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
WO2012087493A2 (en) 2010-12-20 2012-06-28 Applied Materials, Inc. In-situ low-k capping to improve integration damage resistance
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010041458A1 (en) * 2000-04-07 2001-11-15 Canon Sales Co., Inc. Film forming method, semiconductor device manufacturing method, and semiconductor device
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US20040043555A1 (en) * 2001-10-05 2004-03-04 Ebrahim Andideh Carbon doped oxide deposition
CN1723295A (zh) * 2001-10-05 2006-01-18 英特尔公司 制造掺碳氧化物膜的方法
US20090239390A1 (en) * 2003-03-31 2009-09-24 Novellus Systems, Inc. Methods for producing low stress porous and cdo low-k dielectric materials using precursors with organic functional groups
US7341761B1 (en) * 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US20060160374A1 (en) * 2005-01-18 2006-07-20 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
KR20100061566A (ko) * 2007-09-29 2010-06-07 램 리써치 코포레이션 로우-k 유전체 및 금속 프로세스 통합의 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113106422A (zh) * 2021-04-09 2021-07-13 北京北方华创微电子装备有限公司 等离子体增强原子层沉积设备及方法

Also Published As

Publication number Publication date
SG11202100058RA (en) 2021-03-30
KR20210039489A (ko) 2021-04-09
JP2021535599A (ja) 2021-12-16
US20200075321A1 (en) 2020-03-05
JP7465256B2 (ja) 2024-04-10
TW202022154A (zh) 2020-06-16
WO2020046980A1 (en) 2020-03-05
TWI831824B (zh) 2024-02-11

Similar Documents

Publication Publication Date Title
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
TWI541376B (zh) 共形的氮碳化矽及氮化矽薄膜之低溫電漿輔助化學氣相沉積
JP4842251B2 (ja) 下にあるバリア層への多孔性低誘電率膜の接着を促進する手法
KR20220030237A (ko) 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
US7718553B2 (en) Method for forming insulation film having high density
KR101295604B1 (ko) 고품질 저온 질화규소층 형성 방법 및 장치
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US20040018750A1 (en) Method for deposition of nitrogen doped silicon carbide films
WO2013049223A2 (en) Insensitive dry removal process for semiconductor integration
KR20090060768A (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
KR20150022677A (ko) 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
KR20120094490A (ko) 비­탄소 유동성 cvd 필름의 경화
KR20020075412A (ko) 화학 증착된 막을 전자 비임으로 변형하여 저 유전 상수의재료를 형성하는 방법 및 이 방법에 의해 형성되는마이크로일렉트로닉 소자
TW201437416A (zh) 低收縮介電薄膜
JP2009170872A (ja) 優勢エッチング抵抗性を具備する低k誘電バリアを得る方法
JP2015521375A (ja) 流動性膜のための改善された緻密化
KR20120043073A (ko) 비-탄소 유동성 cvd 프로세스들을 사용하는 실리콘 산화물의 형성
KR20120102155A (ko) 산화물 라이너를 이용하는 유동성 유전체
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
CN112513321A (zh) 非uv高硬度低介电常数膜沉积
KR20160055227A (ko) 다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들
TW201442148A (zh) 經控制之氣隙的形成
KR102141670B1 (ko) 저온 경화 모듈러스 강화
CN105899711A (zh) 在无氧化剂情况下的含硅和氧的膜的沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20210316