JP2009170872A - 優勢エッチング抵抗性を具備する低k誘電バリアを得る方法 - Google Patents

優勢エッチング抵抗性を具備する低k誘電バリアを得る方法 Download PDF

Info

Publication number
JP2009170872A
JP2009170872A JP2008262106A JP2008262106A JP2009170872A JP 2009170872 A JP2009170872 A JP 2009170872A JP 2008262106 A JP2008262106 A JP 2008262106A JP 2008262106 A JP2008262106 A JP 2008262106A JP 2009170872 A JP2009170872 A JP 2009170872A
Authority
JP
Japan
Prior art keywords
carbon
precursor
dielectric
barrier film
dielectric barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008262106A
Other languages
English (en)
Inventor
Huiwen Xu
シュー フイウェン
Yijun John Liu
(ジョン) リュー イージュン
Li-Qun Xia
シア リークン
Derek R Witty
アール. ウィッティー デレック
Hichem M'saad
マサッド イシェム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009170872A publication Critical patent/JP2009170872A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

【課題】低い誘電率、向上したエッチング抵抗性、優れたバリア特性を設けた誘電バリアを形成する方法を提供する。
【解決手段】半導体基板を処理する方法を提供し、この方法は、ケイ素−炭素結合および炭素−炭素結合を備える前駆物質を処理チャンバへ流すステップと、半導体基板上に炭素−炭素結合を有する誘電バリア膜を形成するために、処理チャンバ内において前駆物質の低密度プラズマを生成するステップであって、この前駆物質中の炭素−炭素結合の少なくとも一部は低密度プラズマ中に保存されかつ誘電膜内に組み込まれるステップと、を備える。
【選択図】図2

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的に、集積回路の製造に関する。より具体的には、本発明の実施形態は、半導体デバイスの製造において使用される誘電バリア膜を形成する方法に関する。
関連技術の説明
[0002]集積回路は、そのようなデバイスが数十年前に導入されて以来、サイズが劇的に縮小した。これ以降、一般的に集積回路は「2年で半サイズ」、即ち、2年毎にチップ上のデバイスの数が2倍になるというルール(しばしばムーアの法則と呼ばれる)に従ってきた。現在、通常、製作設備では形状サイズが0.1μmのデバイスを製造しているが、またすぐに、これよりも形状サイズがさらに小さいデバイスを製造することになるだろう。
[0003]集積回路上のデバイスのサイズをさらに縮小するには、隣り合った金属配線間の静電結合を減少させなければならないため、デバイス形状が連続して減少していくことで誘電率(k)が低い膜への必要性が生じた。具体的には、誘電率が約3.0よりも低い絶縁体が望ましい。誘電率が低い絶縁体の例には、スピンオングラス、多孔性膜、炭素ドープ酸化ケイ素、ポリテトラフルオロエチレン(PTFE)が含まれ、これらは全て市販されている。
[0004]しかし、低k誘電材料は、銅のような伝導材料の中間層拡散の影響を受け易いので、短絡の形成やデバイス欠陥が生じる可能性がある。金属と副産物の中間層拡散を防止するために、誘電バリア/ライナ材料を、しばしば金属構造とこれを包囲する低k誘電材料との間に配置する。しかし、例えば窒化ケイ素のような伝統的な誘電バリア材料は多くの場合、誘電率が7以上と高い。こうした高k誘電材料と、これを包囲する低k誘電材料を組み合わせることで、誘電スタックの誘電率が望ましい値よりも高くなってしまう。
[0005]例えばメチルg化合物の追加または酸素ドーピングを行って誘電率を減少させることにより、バリア層に多孔性が導入されてきた。しかし、バリア層に多孔性を導入する従来のアプローチでは、エッチング選択性とバリア特性において損失が生じる。
[0006]そのため、バリアパフォーマンスとエッチング抵抗性を犠牲にすることなく、低k誘電バリア層を生成する方法が必要である。
[0007]本発明は、概して、低い誘電率、向上したエッチング抵抗性、優れたバリアパフォーマンスを具備する誘電バリアを形成する方法を提供する。
[0008]一実施形態は、半導体基板の処理方法を提供し、この方法は、ケイ素−炭素結合および炭素−炭素結合を備える前駆物質を処理チャンバへ流すステップと、半導体基板上に炭素−炭素結合を有する誘電バリア膜を形成するために、処理チャンバ内において前駆物質の低密度プラズマを生成するステップであって、前駆物質中の炭素−炭素結合の少なくとも一部は低密度プラズマ中に保存されかつ誘電膜内に組み込まれるステップと、を備える。
[0009]別の実施形態は、半導体基板の処理方法を提供し、この方法は、第1誘電層内に、内部に誘電材料を保持するように構成されているトレンチを形成するステップと、トレンチを正角誘電バリア膜でライニングするステップと、トレンチを正角誘電バリア膜上の金属バリア膜でライニングするステップと、トレンチを充填するために、金属バリア膜上に伝導材料を堆積させるステップと、第1誘電層を露出させるために、伝導材料を平坦化するステップと、伝導材料および第1誘電層の上に多孔性誘電バリア層を堆積させるステップであって、多孔性誘電バリア膜は炭素−炭素結合とケイ素−炭素結合を備えており、多孔性誘電バリア層は第1誘電層よりも実質的に高い湿式エッチング抵抗性を有するステップと、第1誘電層を多孔性誘電バリア層を介して湿式エッチング溶液と接触させることにより、トレンチ間に空隙を形成するステップであって、この正角誘電バリア膜は、湿式エッチング溶液に対するバリアおよびエッチング停止部として機能するステップと、を備える。
[0010]上で挙げた本発明の特徴を詳細に理解できるように、上で簡単に要約し、一部が添付の図面に図示されている本発明のより具体的な説明を、実施形態を参照することで行う。しかしながら、添付の図面は本発明の典型的な実施形態のみを図示したものであり、また、本発明はこれ以外の同等に有効な実施形態を許可するものであるため、添付の図面は本発明の範囲の規制として考慮されるものではない。
[0018]理解し易いように、複数の図面に共通する同一の要素の指定には可能な限り同一の参照符号を使用している。一実施形態で開示される要素は、特に詳述することなく、別の実施形態でも有利に利用される。
詳細な説明
[0019]本発明の実施形態は、一般的に、低い誘電率、改善されたエッチング抵抗性、優れたバリア性能を具備するバリアを形成する方法を提供する。本発明の実施形態は、ケイ素−炭素結合や、炭素−炭素単結合(C−C)、炭素−炭素二重結合(C=C)、炭素−炭素三重結合(C≡C)のような炭素−炭素結合、またはこれらの組み合わせを備える炭化ケイ素ベースのバリア層を形成するステップを備えている。本発明のバリア膜の誘電率は、偏光を低減させる炭素−炭素結合が存在することにより減少する。一般的に、本発明のバリア膜は、4.0以下の誘電率を有する。同時に、炭素濃度の上昇によりバリア膜のエッチング抵抗性が増加する。密度が維持されおよび炭素濃度が維持されることで、例えば銅拡散に対するバリアのようなバリア性能が増加する。
[0020]本発明のバリアは、プラズマ増強化学気相堆積法(PECVD)を使用して生成される。PECVDチャンバ内に、ケイ素−炭素結合および炭素−炭素結合を提供する1つ以上の化合物を備える前駆物質を流すことができる。前駆物質に無線周波数(RF)出力を印加すると、PECVDチャンバ内に低密度プラズマが生成される。RF電力は、前駆物質中の炭素−炭素結合の少なくとも一部が保持され、PECVDチャンバ内に位置決めした基板内に組み込まれるように制御される。低プラズマ密度の適用は、多孔性が望ましい場合にこれを生成するためにも使用される。
バリア生成用のチャンバ
[0021]本発明の実施形態は、例えばPRODUCER(登録商標)SE CVDシステムまたは、DXZ(登録商標)CVDシステムのようなPECVDチャンバの中で実施され、双方とも、カリフォルニア州サンタクララにあるApplied Materials,Inc.により市販されている。
[0022]図1は、本発明の実施形態に従って使用するように構成された例証的なPECVDシステム100の側断面図を概略的に図示している。
[0023]PECVDシステム100は、一般的に、チャンバ蓋104を支持するチャンバ本体102を備えており、チャンバ蓋104はチャンバ本体102に蝶番によって取り付けられている。チャンバ本体102は、処理領域120を画成する側壁112および底部壁116を備えている。チャンバ蓋104は、反応ガスと洗浄ガスを処理領域120内に搬送するために全体に配置されている1つ以上のガス分配システム108を備えていてもよい。処理領域120から排気を行うため、また、処理領域120内の圧力を制御するために、円周ポンプチャネル125が、側壁112内に形成され、ポンプシステム164に結合して構成されている。2つの通路122、124が底部壁116内に形成されている。処理中の基板を支持および加熱するための加熱ペデスタル128の心棒126は通路124を通過する。基板持ち上げピン161を作動させるように構成されたロッド130は通路124を通過する。
[0024]加熱ペデスタル128は、心棒126に結合した駆動システム103によって駆動される処理領域120の中に可動的に配置されている。加熱ペデスタル128は、例えば抵抗素子のような加熱素子を備え、上に位置決めされた基板を所望の処理温度にまで加熱することができる。あるいは、加熱ペデスタル128を、ランプアセンブリのような外部加熱素子によって加熱してもよい。加熱機台128を処理領域120内で昇降させるために、駆動システム103は直線アクチュエータ、またはモータと減速ギアアセンブリを含んでいてもよい。
[0025]好ましくはセラミック製などであるチャンバライナ127が処理領域120内に配置され、側壁112を腐食性の処理環境から保護している。チャンバライナ127は、側壁112内に形成された棚部129で支持されている。チャンバライナ127上には複数の排出ポート131が形成されていてもよい。この複数の排出ポート131は、処理領域120をポンプチャネル125に接続するように構成されている。
[0026]反応ガスと洗浄ガスを搬送するように構成されたガス分配システム108がチャンバ蓋104全体に配置されており、処理領域120内にこれらのガスを搬送する。ガス分配システム108は、ガスをシャワーヘッドアセンブリ142内に搬送するガス流入通路140を含んでいる。シャワーヘッドアセンブリ142は、面板146までの中間に配置された遮断板144を有する環状ベース板148から構成される。シャワーヘッドアセンブリ142に結合しているRF(ラジオ無線)源165がシャワーヘッドアセンブリ142に対してバイアス電位を提供することで、シャワーヘッドアセンブリ142の面板146と加熱ペデスタル128間でのプラズマ生成を促進させる。一般的に、RF源165は、例えば13.56MHz RF生成器のような高周波無線周波数(HFRF)電源と、例えば300kHz RF生成器のような低周波無線周波数(LFRF)電源と、を備えている。LFRF電源は、低周波生成と固定整合素子(fixed match elements)の両方を提供する。HFRF電源は、固定整合と共に使用されて、負荷に搬送された出力を規制し、前進および反射する電力に関連した影響を排除するように設計されている。
[0027]ガス分配システム108のベース板148内には冷却チャネル147が形成され、動作中にベース板148を冷却する。冷却入口145は水などのような冷却流体を冷却チャネル147内に搬送する。冷却流体は冷却剤出口149を通って冷却チャネル147から出る。
[0028]チャンバ蓋104はさらに、ガスを1つ以上のガス源172および遠隔プラズマ源162から、チャンバ蓋104の頂部に位置決めされたガス入口マニホルド167へ搬送するための整合通路を備えている。
[0029]遠隔プラズマ源162は、一般的に、前駆物質源163、キャリアガス源168、電源169に接続している。アルゴン、窒素、ヘリウム、水素、酸素などのようなキャリアガスを遠隔プラズマ源162および処理領域120へ流すことで、活性化された化学種の輸送の補助、および/または洗浄処理中における援助、または開始の手助け、および/または処理領域120内でのプラズマの安定化を行うことができる。
[0030]1つ以上の処理ガスを、ガス入口マニホルド167を介して処理領域120へ搬送することができる。一般的に、PECVDシステムは、1つ以上の前駆物質搬送システムを備えている。また、PECVDシステム100は、キャリアガスおよび/または前駆物質ガスを提供するように構成された1つ以上の液体搬送ガス源150および、1つ以上のガス源172を備えていてもよい。
[0031]PECVDシステム100はさらに、動作パラメータを調整するように構成されたシステム制御装置171を備えている。1つの実施形態では、システム制御装置171を、1つ以上の液体搬送ガス源150と、1つ以上のガス源172と、RF源165と、を調整するように構成できる。
[0032]PECVDシステム100は、使用する処理法に応じて、基板上に様々な膜を堆積させるように構成することができる。同様のPECVDシステムのより詳細な記述は、本明細書に参照により組み込まれる米国特許第5、855、681号、第6、495、233号、第6、364、954号に見られる。
バリア生成処理
[0033]図2は、本発明の一実施形態による処理順序200を図示したフローチャートである。
[0034]ステップ210では、一般的に洗浄およびパージが済んだ処理チャンバ内に基板を位置決めした後に、処理チャンバ内に前駆物質が流される。前駆物質は、ケイ素−炭素結合や、炭素−炭素単結合(C/C)結合、炭素−炭素二重結合(C=C)、炭素−炭素三重結合(C≡C)を含む炭素−炭素結合、あるいはこれらの組み合わせを提供する1つ以上の化合物を備えている。
[0035]ステップ220では、RF電力のような電磁力を適用することで、処理チャンバ内に前駆物質の低密度プラズマを生成させる。RF電力は、弱い衝撃を生じることで、前駆物質中の化学種が反応し、炭素−炭素結合の少なくとも一部を保持できるように構成されている。この結果、処理中の基板上に、炭素−炭素結合を含有するバリア膜が形成される。一実施形態では、RF源の出力レベルを、プラズマの生成および/またはチャンバ圧の制御を行うように制御することで、低密度プラズマを調整できる。一実施形態では、出力レベルおよび/またはチャンバ圧を、バリア膜中のケイ素−炭素結合に対する炭素−炭素結合の比率を制御することによって調整できる。一実施形態では、本発明のバリア膜中のケイ素−炭素結合に対する炭素−炭素結合の比率は、約10〜15%であってもよい。
[0036]前駆物質中の炭素−炭素結合の源は、炭化水素を含有する炭素−炭素結合、Si−C化合物を含有する炭素−炭素結合、またはこれらの組み合わせを備えていてもよい。
[0037]炭素水素化物を含有する炭素−炭素結合は、炭素−炭素単結合、炭素−炭素二重結合、炭素−炭素三重結合を備えるあらゆる炭化水素化物、例えばエチレン(C)やプロピン(C)であってもよい。
[0038]炭素−炭素結合およびケイ素−炭素結合を含有する化合物は、炭素−炭素単結合、炭素−炭素二重結合、または炭素−炭素三重結合を備えるケイ素炭素化合物であってもよい。
[0039]例証的な炭素−炭素結合およびケイ素−炭素結合を含有する化合物は、次の形状のSi−C化合物を含有する炭素−炭素結合であってもよく、
Figure 2009170872
(1)
式中、R、R、R、R、R、R、R、R、R、R10のそれぞれは、H、CH、C、あるいは任意のアルキル基のうちの独立した1つである。
[0040]Si−C化合物を含有する例証的な炭素−炭素結合は、次の形式のSi−C化合物を含有する炭素−炭素二重結合(C=C)であってもよく、
Figure 2009170872
(2)
Figure 2009170872
(3)
式中、R、R、R、R、R、R、R、Rのそれぞれは、H、CH、または任意のアルキル基のうちの独立した1つである。
[0041]一実施形態では、炭素−炭素結合の源はケイ素の源でもある。別の実施形態では、前駆物質は、例えば(1)、(2)、(3)の形式の炭素−炭素結合源に追加されたトリメタルシラン(TMS)のようなケイ素源を追加的に備えていてもよい。
[0042]適切なケイ素源は、1つ以上の無酸素有機ケイ素化合物を備えていてもよい。例えば、以下の化合物のうち1つ以上、あるいはフッ素処理されたこれらの炭化水素誘導体である。
メチルシラン CH−SiH
ジメチルシラン (CH−SiH
トリメチルシラン(TMS) (CH−SiH
エチルシラン CH−CH−SiH
ジシラノメタン SiH−CH−SiH
ビス(メチルシラノ)メタン CH−SiH−CH−SiH−CH
1、2ジシラノエタン SiH−CH−CH−SiH
1、2ビス(メチルシラノ)エタン CH−SiH−CH−CH−SiH−CH
2、2ジシラノプロパン SiH−C(CH−SiH
1、3、5トリシラノ2、4、6トリメチレン
−(−SiH−CH−)−(環状)
ジエチルシラン (CSiH
プロピルシラン CSiH
ビニルメチルシラン (CH=CH)(CH)SiH
1、1、2、2テトラメチルジシラン HSi(CH−Si(CH
ヘキサメチルジシラン (CHSi−Si(CH
1、1、2、2、3、3ヘキサメチルトリシラン
H(CHSi−Si(CH−SiH(CH
1、1、2、3、3ペンタメチルトリシラン
H(CHSi−SiH(CH)−SiH(CH
ビス(メチルシラノ)エタン CH−SiH−(CH−SiH−CH
ビス(メチルシラノ)プロパン CH−SiH−(CH−SiH−CH
ビス(ジメチルシラノ)エタン (CH−SiH−(CH−SiH−(CH
ビス(ジメチルシラノ)プロパン (CH−SiH−(CH−SiH−(CH
[0043]さらなるケイ素源を、本明細書に参照により組み込まれる米国特許第6、790、788号、「Method of Improving Stability in Low−k Barrier Layers」に見ることができる。
[0044]図3は、本発明の別の実施形態による処理順序240を図示したフローチャートである。処理順序240は、炭素−炭素結合およびケイ素の源に加え窒素源を備えている前駆物質を使用する点を除いて、図2の処理順序200と類似している。一実施形態では、窒素源は、特に前駆物質中に炭素−炭素二重結合および/または炭素−炭素三重結合を使用する場合に膜安定性を高めるように構成されている。C=Cおよび/またはC≡C結合を含有する前駆物質を使用する場合には、基板上に形成したC=Cおよび/またはC≡C結合をバリア膜中に組み込むことができる。C=Cおよび/またはC≡C結合が存在することで、バリア膜が酸化の影響を受け易くなり、バリア膜のエッチング抵抗性が低下する。制御された量の窒素を導入することで、C=Cおよび/またはC≡C結合をバリア膜から除去することができる。バリア膜注にSi−N結合を生成することができる。制御された窒素ドープによって、誘電率を上昇させずに安定性が増すことが観察されている。
[0045]ステップ242では、一般的に洗浄およびパージされた処理チャンバ内に基板を位置決めした後に、処理チャンバ内に前駆物質が流される。前駆物質はケイ素源、窒素源、または、炭素−炭素単結合(C−C)、炭素−炭素二重結合(C=C)、炭素−炭素三重結合(C≡C)を含む炭素−炭素結合、またはこれらの組み合わせを備えている。
[0046]ステップ244では、処理チャンバ内にRF電力が印加され、前駆物質の低密度プラズマが生成される。RF電力は、前駆物質中の化学種の反応と、炭素−炭素結合の少なくとも一部の保持とを行うことが可能な弱い衝撃を生じさせるように構成されている。この結果、処理中の基板上に炭素−炭素結合を含有する膜が形成される。
[0047]適切な窒素源は、酸素を備えていない窒素含有ガスまたは化合物、例えば窒素ガス(N)、アンモニア(NH)、あるいはこれらの組み合わせであってもよい。
[0048]一実施形態では、ステップ210、ステップ242で使用される前駆物質から酸素が最小化または除去されることで、バリア膜中のSi−O結合が最小化または除去される。
[0049]従来のバリア膜と比較すると、本発明のバリア膜は、一般的に誘電率が例えば4.0以下と低く、エッチング抵抗性が向上しており、銅に対する拡散抵抗性が増している。本発明のバリア膜は、あらゆる従来のバリア層として、例えば、金属構造間にあり、低k誘電材料を包囲する誘電バリアとして使用することができる。
[0050]一実施形態では、バリア膜を堆積させるために使用されるプラズマの密度を調整することで、本発明のバリア膜を多孔質化することができる。多孔性が増すことで、本発明のバリア膜の、高い炭素含有量に起因する高エッチング抵抗性が維持される。さらに、バリア膜中の高い炭素濃度が存在することによりバリア膜が疎水性になる。一実施形態では、本発明のバリア膜を多孔性にし、湿式エッチング溶液を使用してバリア膜の下のエッチング材料中に薄膜として使用することができる。
[0051]以下の実施例は、本発明の一実施形態によるバリア膜の堆積を例証する。
実施例1
[0052]トリメチルシラン(TMS、(CHSiH)およびエチレン(C)の組み合わせを備える前駆物質を使用して、炭化ケイ素を備える多孔性誘電層を堆積させるためのPECVD堆積処理である。キャリアガス、例えばヘリウム、アルゴン、窒素、またはこれらの組み合わせが、前駆物質と共に処理チャンバに導入される。
[0053]TMSとエチレンの比率は、この混合物の炭素の原子濃度が15%よりも大きくなるように設定する。一実施形態では、エチレンとTMSの比率は約0.5:1から約8:1の間である。別の実施形態では、エチレンとTMSの比率は約1:1から約4:1の間である。処理パラメータは以下のとおりである:
流量(前駆物質とキャリアガスを含む):約5〜10、000sccm。この場合、キャリアガスの流量は約5〜10、000sccmであってもよい。
間隔:約200〜2000ミリ
温度:約100〜550℃、または約200〜350℃
チャンバ圧:1雰囲気に対して約10ミリトール
RF電力:約15〜3、000W。一実施形態では、RF電力は約500W未満であってもよい。
実施例2
[0054]トリメチルシラン(TMS、(CHSiH)、エチレン(C)、アンモニア(NH)の組み合わせを備える前駆物質を使用して、炭化ケイ素を備える多孔性誘電層を堆積させるためのPECVD堆積処理である。一実施形態では、エチレンとTMSの比率は約3:1〜5:1である。アンモニアとTMSの比率は約1:10〜10:1であってもよい。別の実施形態では、アンモニアとTMSの比率は約1:4〜3:1であってもよい。処理パラメータは以下のとおりである:
流量(前駆物質とキャリアガスを含む):約5〜10、000sccm。この場合、キャリアガスの流量は約5〜10、000sccmであってもよい。
間隔:約200〜2000ミリ
温度:約100〜550℃、または約200〜350℃
チャンバ圧:1雰囲気に対して約10ミリトール
RF電力:約15〜3、000W。一実施形態では、RF電力は約500W未満であってもよい。
実施例3
[0055]トリメチルシラン(TMS、(CH)SiH)およびエチレン(C)の組み合わせを備える前駆物質を使用して多孔性の誘電バリアを堆積させるためのPECVD堆積処理である。TMSとエチレンの比率を含む処理条件は、炭素の原子濃度が15%よりも大きくなるように設定される。一実施形態では、エチレンとTMSの比率は約1:1〜8:1であり、TMS/エチレン前駆物質とキャリアガスの流量は約5〜10、000sccmであり、温度は約350℃である。これらの条件の場合、チャンバ圧は約10ミリトール〜1気圧、プラズマ生成の無線周波数(RF)出力は約15〜3、000W、基板と、処理中の基板に前駆物質を提供するように構成されたシャワーヘッドとの間の間隔は約200〜2000ミリである。
バリアの利点
[0056]本発明の誘電バリア膜には従来のバリア膜にはない幾つかの利点、例えば、高エッチング抵抗、低誘電率、低い紫外線吸収、高拡散バリア、疎水性がある。この膜の利点は、伝統的なバリア膜として使用する際に優れたバリアとなるだけでなく、新規の適用をも可能にする。
[0057]図4Aは、実施例1と類似の方法を使用して形成された誘電バリアの誘電率を示す表である。図4Aに示すように、エチレンとTMSの比率が増すと(C=C濃度が増加すると)、膜密度はほぼ同値のままで誘電率が低下する。これにより、前駆物質中の炭素−炭素結合の濃度を増加させることにより、誘電率の低い膜を達成できる。
[0058]図4Bは、例1と類似の方法を使用して形成した誘電バリアのエッチング抵抗を示す表である。図4Bに示すように、エチレンとTMSの比率が増加すると(C=C濃度が増加すると)、膜密度はほぼ同値のままでエッチング抵抗が増加する。このため、前駆物質中の炭素−炭素結合の濃度を高めることにより、エッチング抵抗の強い膜を達成できる。
[0059]図4Cは、例2と類似の方法で形成された誘電バリアの銅拡散バリアプロフィールを示す表である。図4Cは、本発明の実施形態による、炭化窒化ケイ素(silicon carbide nitride)(SiCN)を備える誘電膜中の銅の拡散プロフィールを示す。銅の場合、拡散バリア深度は、一般的に銅濃度が4桁減少する距離として画成される。図4Cに示すように、バリア膜の銅拡散深度は約100Åである。
[0060]本発明の誘電バリア膜は、紫外線(UV)エネルギの吸収比率が低いため、UVに露出した際のストレスが、従来のSiCN膜のような従来型のバリア膜と比べて小さい。誘電バリア膜の形成はUV硬化を必要としないが、誘電バリア膜は、例えば後続の中間層誘電体の硬化といった連続した複数の処理の最中にUVエネルギに晒される傾向にある。紫外線吸収が低いことで構造上の変化が少なくなるため、基板内のストレスも減少する。
バリアの適用
[0061]上述したように、本発明のバリア膜は、伝統的なバリア膜として使用する場合に向上したバリアが得られるだけでなく、新規の適用をも可能にする。図5は、本発明の一実施形態による、誘電バリアにわたって空隙が形成された基板スタック300を概略的に図示している。
[0052]基板スタック300は、トランジスタのようなデバイスが内部に形成される基板301を備えている。内部にデバイスを形成した後に、基板301上に接触層302を形成することができる。典型的に、接触層302は内部に伝導素子303が形成された誘電層である。伝導素子303は、基板301内に形成されたデバイスと電気的に通信するように構成されている。典型的に、交互に配置された、伝導材料と誘電体からなるトレンチ層とビア層を含むマルチレベルインターコネクト構造は、基板301内のデバイスに回路要素を提供するために接触層302上に形成されている。一般的に、トレンチ層とは、内部に誘電線が形成された誘電層のことを指す。ビア層は誘電体の層であり、1つのトレンチ層から別のトレンチ層までの電気通路を提供する小さい金属ビアを有する。
[0063]図5に示すように、接触層302の全体にかけてエッチング停止層304が堆積されており、さらに、このエッチング停止層304の上には、例えば二酸化ケイ素層のような第1誘電層305が堆積されている。エッチング停止層304は、次のエッチングステップ中に接触層304を保護するように構成されている。エッチング停止層304は炭化ケイ素層であってもよい。
[0064]トレンチ306は、当業者に公知である任意の従来の方法を使用して、例えば、フォトレジスタを使用したパターニングを行い、この後にエッチングを行うなどして、第1誘電層306とエッチング停止層304の内部に形成される。
[0065]次に、トレンチ306の側壁を含む基板の頂面全体にかけて正角誘電バリア膜307を堆積させる。正角誘電バリア膜307は、後続の処理中に湿式エッチング化学反応によりトレンチ306内に続いて形成される銅線のような金属構造を保護するためのバリア層として機能するように構成されている。正角誘電膜307はまた、金属構造の周囲に空隙が形成された後に、トレンチ306内に形成されている金属構造に対して機械的な支持を提供する。一実施形態では、正角誘電バリア膜307は誘電材料、例えば窒化ホウ素(BN)、窒化ケイ素(SiN)、炭化ケイ素(SiC)、炭化窒化ケイ素(silicon carbide nitride)(SiCN)、窒化ケイ素ホウ素(silicon boron nitride)(SiBN)、またはこれらの組み合わせを備えている。
[0066]正角誘電バリア膜307は、約5.0よりも低いk値を設け、プラズマ化学気相堆積(PECVD)処理によって形成された窒化ホウ素(BN)層である。正角誘電バリア膜307の厚さは約10〜200Åであってもよい。窒化ホウ素層を堆積させるステップは、ホウ素含有前駆物質からホウ素含有膜を形成する工程と、このホウ素含有幕を窒素含有前駆物質で処理する工程とを備えていてもよい。ホウ素含有膜の形成は、プラズマの有無に関係なく実行できる。ホウ素を含有する前駆物質は、ジボラン(B)、ボラジン(B)、またはアルキル基で置換したボラジンの誘導体であってもよい。ホウ素含有膜の処理は、プラズマ処理、紫外線(UV)硬化処理、熱アニール処理、またはこれらの組み合わせからなるグループから選択することができる。窒素含有前駆物質は窒素ガス(N)、アンモニア(NH)、ヒドラジン(N)であってもよい。
[0067]正角誘電バリア膜307の上に金属バリア層308が形成される。この金属バリア層308は、後にトレンチ306内に配置される金属配線と、この金属配線付近にある構造との間における拡散を防止するように構成されている。金属バリア層308は、タンタル(Ta)および/または窒化タンタル(TaN)を備えていてもよい。
[0058]次に、トレンチ306は、銅などの、1つ以上の伝導性材料を備える導線309で充填される。乾式エッチングステップを実行して、トレンチ306の底部壁の全体または一部から金属バリア層308および正角誘電バリア膜307を除去することで、導線309が接触層302内の伝導素子303と直接接触できるようにする。導線309を堆積するステップは、伝導シード層を形成する工程と、伝導シード層上に金属を堆積させる工程を備えていてもよい。導線309は銅(Cu)、アルミニウム(Al)、あるいは望ましい電気伝導性を設けた任意の適切な材料を備えていてもよい。
[0069]導線309、金属バリア層308、正角誘電バリア膜307に対して化学機械研磨(CMP)処理を実行し、誘電層305を露出させる。
[0070]導線309上には自己整列したキャップ層310が形成されている。この自己整列したキャップ層310は、導線309の上面にかけて化学種が拡散することを防止するバリアとなるように構成されている。導線309は銅を備えており、自己整列したキャップ層310はコバルト(Co)、タングステン(W)、またはリン(P)を備えていてもよい。自己整列したキャップ層310はまた、銅と酸素の両方の拡散を防止することができる。さらに、キャップ層310は無電解堆積を使用して形成することができる。
[0071]導線309と正角誘電バリア膜307の上に多孔性の誘電バリア層311が堆積される。多孔性誘電バリア層311はk<4.0の低k誘電体であってもよい。多孔性誘電バリア層311は、本発明の実施形態による方法を使用して堆積させることが可能である。一実施形態では、多孔性誘電バリア層311中のSi−O結合を減少させ、あるいは除去することにより、低い湿式エッチング速度を達成できる。多孔性誘電バリア層311はまた、炭化ケイ素結合(Si−O)無しで、炭化ケイ素(SiC)、炭化窒化ケイ素(silicon carbide nitride)(SiCN)、またはこれらの組み合わせを備えていてもよい。一実施形態では、多孔性誘電バリア層311の厚さは約10〜500Åであってもよい。
[0072]多孔性誘電バリア層311は透過性であるので、希釈したフッ化水素(DHF)溶液のようなエッチング溶液が、下に在る第1誘電層305のような除去可能な層内に浸透して、空隙を形成することができる。多孔性誘電バリア層311は一般的に湿式エッチング速度が遅いため、エッチング溶液と接触することによってこれの構造に影響が及ぶことはない。一実施形態では、多孔性誘電バリア層311はまた、導線309中の銅のような金属のための拡散バリア層としても機能する。一実施形態では、多孔性誘電バリア層311は疎水性であるため、湿式エッチング処理からの残留物および汚染を最小化できる。一実施形態では、多孔性誘電バリア層311の疎水性は、該層311中の炭素含有量を制御することで得られる。
[0073]空隙が形成されるべき範囲を露出させるためにパターンを生成することができる。多孔性誘電バリア層311上にフォトレジスト層312を堆積させることができる。次に、フォトレジスト層312内にパターンを作成して、多孔性誘電層311の各部分を穴313を介して露出される。パターンは、導線309間の距離が特定の範囲内にある範囲の中の空隙を規制するために使用される。空隙は、緊密に詰められた導線309間の誘電体のk値を低下させるために最も有効である。導線309間の距離が比較的長い場合には、この大きな間隔により、隣合った導線309間の静電結合が小さいため、空隙を使用してk値を下げる必要はない。これに加え、例えばピッチの大きな導線309やビア層内のビアのような、遠く離れた金属構造間に空隙を形成することで、完全な機械構造を達成できる。そのため、このステップでは、パターンは特定範囲内の空隙を規制するために形成される。一実施形態では、空隙は、約5〜200nmの間隔で離間している隣合った導線309どうしの間に形成することができる。
[0074]空隙を形成するために湿式エッチング処理を実行する。穴313によって露出した多孔性誘電バリア層311を介して、第1誘電層305の部分がDHF溶液のようなエッチング溶液と接触し、完全または部分的にエッチングされて空隙314が形成される。一実施形態では、DHF溶液は6容量の水と1容量のフッ化水素を備えている。これ以外の湿式エッチング化学物質、例えばバッファードフッ酸(BHF、NHF+HF+HO)を使用して、多孔性誘電バリア層311を介し第1誘電層305をエッチングすることも可能である。矢印315、矢印316に示すように、エッチング溶液は多孔性誘電バリア層311を通って第1誘電層305に達し、多孔性誘電バリア層311を介してエッチング製品が除去される。
[0075]空隙314の形成後に、洗浄処理を実行して、フォトレジスト層312と全ての残留物を除去する。次の金属層への準備として、多孔性誘電層311上にかけて中間層誘電体を堆積させる。
[0076]本発明の多孔性誘電バリア膜は湿式エッチング化学物質に対して透過性を有し、さらに、その下にある除去可能な誘電層中に湿式エッチング溶液を浸入させるための薄膜として使用され、空隙を形成する。空隙を形成する目的で、本発明の多孔性誘電バリア膜は、熱分解のような従来の空隙形成方法に伴う、いくつかの欠点を克服する。具体的には、形成されたSiO2のような誘電体を除去して空隙を形成するために、DHFやBHFのような湿式化学物質が使用される。堆積可能な材料が全て除去された後に、任意の処分可能な材料が残ることにより、後続の処理ステップにおいて信頼性に関連した問題が発生する。本発明で使用される湿式エッチング方法は選択的であり、フォトリソグラフィステップおよびパターニングステップを介して選択された範囲にのみ使用することができる。そのため、空隙の範囲割合および場所を、所望の誘電値並びに必要な機械強度を満たすように設計することが可能である。例えば、2つの隣合った金属配線の間のピッチ長が10〜200nmである高密度の金属範囲内に空隙を形成することができる。
[0077]多孔性誘電膜を使用した空隙形成の詳細な記述は、2007年10月9日に提出の米国特許出願第11/869、409号、「Method for Forming an Air Gap in Multilevel Interconnect Structure」に見つけることができる。上記出願は本明細書に参照により組み込まれる。
[0078]前述の説明は本発明の実施形態に向けられたものであるが、これの基本的な範囲から逸脱しない限り、本発明のこれ以外またさらなる実施形態を考案することが可能であり、本発明の範囲は特許請求の範囲によって決定される。
本発明の実施形態に従って誘電膜を堆積させるように構成された例証的な処理チャンバの側断面図を概略的に図示する。 本発明の一実施形態による処理順序を図示したフローチャートである。 本発明の別の実施形態を図示した処理順序を図示したフローチャートである。 本発明の一実施形態による例証的な方法を使用して形成した誘電バリア率を示す表である。 本発明の一実施形態による例証的な方法を使用して形成した誘電バリアのエッチング抵抗性を示す表である。 本発明の一実施形態による例証的な方法を使用して形成した誘電バリアの銅拡散バリア特性を示す表である。 本発明の一実施形態による誘電バリアを介して形成された空隙を含んでいる基板スタックを概略的に図示する。
参照符号の説明
100…プラズマ化学気相堆積法(PECVD)システム、102…チャンバ本体、103…駆動システム、104…チャンバ蓋、108…ガス分配システム、112…側壁、116…底部壁、120…処理領域、122、124…通路、125…ポンプチャネル、126…心棒、127…チャンバライナ、128…加熱ペデスタル、129…棚部、130…ロッド、131…排出ポート、140…ガス流入通路、142…シャワーヘッドアセンブリ、144…遮断板、145…冷却剤入口、146…面板、147…冷却チャネル、148…基板、149…冷却剤出口、150…液体搬送ガス源、161…持ち上げピン、162…遠隔プラズマ源、163…前駆物質源、164…ポンプシステム、165…無線周波数(RF)源、167…ガス入口マニホルド、168…キャリアガス源、169…電源、171…システム制御装置、172…ガス源、200…処理順序、210、220…ステップ、240…処理順序、242、244…ステップ、300…基板スタック、301…基板、302…接触層、303…伝導素子、304…エッチング停止層、305…誘電層、306…トレンチ、307…正角誘電バリア膜、308…金属バリア膜、309…伝導ライン、310…キャップ層、311…多孔性誘電バリア層、312…フォトレジスト層、313…穴、314…空隙、315、316…矢印

Claims (15)

  1. 半導体基板を処理する方法であって、
    ケイ素−炭素結合および炭素−炭素結合を備える前駆物質を処理チャンバへ流すステップと、
    前記半導体基板上に炭素−炭素結合を有する誘電バリア膜を形成するために、前記処理チャンバ内において前記前駆物質の低密度プラズマを生成するステップであって、前記前駆物質中の前記炭素−炭素結合の少なくとも一部が前記低密度プラズマ中に保存されかつ前記誘電膜内に組み込まれるステップと、
    を備える方法。
  2. 前記前駆物質が、炭素−炭素単結合(C−C)源、炭素−炭素二重結合(C=C)源、炭素−炭素三重結合(C≡C)源、またはこれらの組み合わせを備えている、請求項1に記載の方法。
  3. 前記低密度プラズマがRF電力を500W未満に設定することにより生成される、請求項2に記載の方法。
  4. 前記前駆物質が、有機ケイ素化合物と炭化水素化合物の混合物を備えている、請求項2に記載の方法。
  5. 前記前駆物質が、次の構造方程式を有する化合物を含有する炭素−炭素結合を備えており、
    Figure 2009170872

    式中、R、R、R、R、R、R、R、R、R、R10はそれぞれH、CH、C、または任意のアルキル基のうちの独立した1つである、請求項4に記載の方法。
  6. 前記炭素/炭素を含有するケイ素炭素化合物が次の構造方程式、
    Figure 2009170872

    または、
    Figure 2009170872

    を有し、式中、R、R、R、R、R、R、R、RはそれぞれH、CH、または任意のアルキル基のうちの独立した1つである、請求項4に記載の方法。
  7. 前記前駆物質が、トリメチルシランおよび炭化水素を含有する炭素−炭素結合を備えている、請求項4に記載の方法。
  8. 前記前駆物質がさらに、窒素ガス(N)、アンモニア(NH)、またはこれらの組み合わせを備えている、請求項2に記載の方法。
  9. 半導体基板を処理する方法であって、
    第1誘電層内に、内部に誘電材料を保持するように構成されているトレンチを形成するステップと、
    前記トレンチを正角誘電バリア膜でライニングするステップと、
    前記トレンチを前記正角誘電バリア膜上の金属バリア膜でライニングするステップと、
    前記トレンチを充填するために、前記金属バリア膜上に伝導材料を堆積させるステップと、
    前記第1誘電層を露出させるために、前記伝導材料を平坦化するステップと、
    前記伝導材料および前記第1誘電層の上に多孔性誘電バリア層を堆積させるステップであって、前記多孔性誘電バリア膜が炭素−炭素結合とケイ素−炭素結合を備えており、前記多孔性誘電バリア層が第1誘電層よりも実質的に高い湿式エッチング抵抗性を有するステップと、
    前記第1誘電層を多孔性誘電バリア層を介して湿式エッチング溶液と接触させることにより、前記トレンチ間に空隙を形成するステップであって、前記正角誘電バリア膜が、前記湿式エッチング溶液に対するバリアおよびエッチング停止部として機能するステップと、
    を備える方法。
  10. 前記多孔性誘電バリア層が炭化ケイ素、炭化窒化ケイ素、またはこれらの組み合わせを備えている、請求項9に記載の方法。
  11. 前記多孔性誘電層が、
    ケイ素−炭素結合と炭素−炭素結合を備える前駆物質を処理チャンバに流すステップと、
    前記処理チャンバ内で前記前駆物質の低密度プラズマを生成して、炭素−炭素結合を有する前記多孔性誘電バリア膜を前記半導体基板上に形成するステップであって、前記前駆物質中の前記炭素−炭素結合の少なくとも一部が前記低密度プラズマ内に保存されかつ前記多孔性誘電バリア膜内に組み込まれるステップと、
    を備える請求項10に記載の方法。
  12. 前記前駆物質が炭素−炭素単結合(C−C)源、炭素−炭素二重結合(C=C)源、炭素−炭素三重結合(C≡C)源、またはこれらの組み合わせを備える、請求項11に記載の方法。
  13. 前記前駆物質がエチレンおよびトリメチルシランを約8:1の比率で備えている、請求項12に記載の方法。
  14. 前記前駆物質がエチレン、トリメチルシラン、アンモニアを備えている、請求項13に記載の方法。
  15. 前記多孔性誘電バリア層がSi−O結合を含有していない、請求項10に記載の方法。
JP2008262106A 2007-10-09 2008-10-08 優勢エッチング抵抗性を具備する低k誘電バリアを得る方法 Pending JP2009170872A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/869,416 US7964442B2 (en) 2007-10-09 2007-10-09 Methods to obtain low k dielectric barrier with superior etch resistivity

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012280516A Division JP2013102174A (ja) 2007-10-09 2012-12-25 優勢エッチング抵抗性を具備する低k誘電バリアを得る方法

Publications (1)

Publication Number Publication Date
JP2009170872A true JP2009170872A (ja) 2009-07-30

Family

ID=40523641

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008262106A Pending JP2009170872A (ja) 2007-10-09 2008-10-08 優勢エッチング抵抗性を具備する低k誘電バリアを得る方法
JP2012280516A Pending JP2013102174A (ja) 2007-10-09 2012-12-25 優勢エッチング抵抗性を具備する低k誘電バリアを得る方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012280516A Pending JP2013102174A (ja) 2007-10-09 2012-12-25 優勢エッチング抵抗性を具備する低k誘電バリアを得る方法

Country Status (5)

Country Link
US (1) US7964442B2 (ja)
JP (2) JP2009170872A (ja)
KR (1) KR101183641B1 (ja)
CN (1) CN101419915B (ja)
TW (1) TWI394215B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012074651A (ja) * 2010-09-30 2012-04-12 Renesas Electronics Corp 半導体装置、及び、その製造方法
JP2013545889A (ja) * 2010-10-05 2013-12-26 シルコテック・コーポレーション 耐摩耗性コーティング、物品および方法
JP2014027285A (ja) * 2012-07-30 2014-02-06 Air Products And Chemicals Inc 酸素不含ケイ素系膜及びその形成方法

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2910178B1 (fr) * 2006-12-15 2009-05-15 St Microelectronics Sa Procede de realisation d'un element dielectrique poreux et element dielectrique correspondant
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG183291A1 (en) 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
US8993435B2 (en) * 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
CN102420178A (zh) * 2011-07-01 2012-04-18 上海华力微电子有限公司 一种避免光阻中毒的碳化硅薄膜新工艺
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
CN102891101B (zh) * 2011-07-18 2015-05-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102610555A (zh) * 2011-09-09 2012-07-25 上海华力微电子有限公司 一种避免光阻变性的无氮碳化硅薄膜工艺
KR102090210B1 (ko) * 2011-12-20 2020-03-17 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6602263B2 (ja) * 2016-05-30 2019-11-06 株式会社東芝 半導体装置、半導体装置の製造方法、インバータ回路、駆動装置、車両、及び、昇降機
US9748175B1 (en) * 2016-11-18 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure in semiconductor structure and method for forming the same
KR20230170998A (ko) * 2018-07-24 2023-12-19 램 리써치 코포레이션 실리콘-함유 전구체 및 탄소-함유 전구체를 사용한 탄화 실리콘 막들의 리모트 플라즈마 기반 증착
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
EP3654372B1 (en) * 2018-11-13 2021-04-21 IMEC vzw Method of forming an integrated circuit with airgaps and corresponding integrated circuit
CN111621735B (zh) * 2020-06-30 2023-03-17 中国航发动力股份有限公司 一种dd5单晶表面金属涂层阻扩散层制备方法
KR20220026627A (ko) * 2020-08-25 2022-03-07 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법
US20240087881A1 (en) * 2022-08-26 2024-03-14 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172066A (ja) * 1995-10-23 1997-06-30 Dow Corning Corp 風橋の形成方法
JP2002190522A (ja) * 2000-07-28 2002-07-05 Applied Materials Inc 誘電体フィルムの堆積方法
JP2004342688A (ja) * 2003-05-13 2004-12-02 Mitsui Chemicals Inc 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
JP2005203568A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
JP2005524983A (ja) * 2002-05-08 2005-08-18 アプライド マテリアルズ インコーポレイテッド 電子ビームによって低誘電率膜を硬化する方法
WO2006022856A2 (en) * 2004-08-20 2006-03-02 International Business Machines Corporation DUV LASER ANNEALING AND STABILIZATION OF SiCOH FILMS
JP2007048974A (ja) * 2005-08-10 2007-02-22 Toshiba Corp 半導体装置およびその製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670945A (en) * 1995-07-06 1997-09-23 Applonie; Alan R. Self-monitoring hand-sanitizing station
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP2005183766A (ja) * 2003-12-22 2005-07-07 Hitachi Ltd 半導体装置及びその製造方法
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7326444B1 (en) * 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172066A (ja) * 1995-10-23 1997-06-30 Dow Corning Corp 風橋の形成方法
JP2002190522A (ja) * 2000-07-28 2002-07-05 Applied Materials Inc 誘電体フィルムの堆積方法
JP2005524983A (ja) * 2002-05-08 2005-08-18 アプライド マテリアルズ インコーポレイテッド 電子ビームによって低誘電率膜を硬化する方法
JP2004342688A (ja) * 2003-05-13 2004-12-02 Mitsui Chemicals Inc 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
JP2005203568A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
WO2006022856A2 (en) * 2004-08-20 2006-03-02 International Business Machines Corporation DUV LASER ANNEALING AND STABILIZATION OF SiCOH FILMS
JP2007048974A (ja) * 2005-08-10 2007-02-22 Toshiba Corp 半導体装置およびその製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012074651A (ja) * 2010-09-30 2012-04-12 Renesas Electronics Corp 半導体装置、及び、その製造方法
JP2013545889A (ja) * 2010-10-05 2013-12-26 シルコテック・コーポレーション 耐摩耗性コーティング、物品および方法
KR101790206B1 (ko) 2010-10-05 2017-10-25 실코텍 코포레이션 내마모성 코팅, 물건 및 방법
JP2014027285A (ja) * 2012-07-30 2014-02-06 Air Products And Chemicals Inc 酸素不含ケイ素系膜及びその形成方法
JP2015146461A (ja) * 2012-07-30 2015-08-13 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸素不含ケイ素系膜及びその形成方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films

Also Published As

Publication number Publication date
KR101183641B1 (ko) 2012-09-17
US7964442B2 (en) 2011-06-21
CN101419915A (zh) 2009-04-29
CN101419915B (zh) 2012-12-05
KR20090036533A (ko) 2009-04-14
US20090093132A1 (en) 2009-04-09
TW200931522A (en) 2009-07-16
TWI394215B (zh) 2013-04-21
JP2013102174A (ja) 2013-05-23

Similar Documents

Publication Publication Date Title
US7964442B2 (en) Methods to obtain low k dielectric barrier with superior etch resistivity
US10297442B2 (en) Remote plasma based deposition of graded or multi-layered silicon carbide film
JP5500810B2 (ja) 多層配線構造に空隙を形成する方法
US6410462B1 (en) Method of making low-K carbon doped silicon oxide
US6991959B2 (en) Method of manufacturing silicon carbide film
JP4566651B2 (ja) 低比誘電率膜を形成する方法
JP4812838B2 (ja) 多孔質絶縁膜の形成方法
CN100550318C (zh) 最小化湿法蚀刻底切度并提供极低k值(k<2.5)电介质封孔的方法
TWI517298B (zh) 經控制之氣隙的形成
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
JP2004047996A (ja) 窒素がドープされたシリコンカーバイド膜の蒸着方法
JP2011228717A (ja) 低k誘電体と導電材料との接着改善
CN105401131A (zh) 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物
JP2005033203A (ja) シリコンカーバイド膜の形成方法
JP7465256B2 (ja) 非uv高硬度低kの膜堆積
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
JP2006339506A (ja) 成膜方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111007

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120607

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120626

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120924

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121001

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121023

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121026

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121122

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121128

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130604