KR20090036533A - 에칭 저항성을 지닌 낮은 k 유전체 장벽을 수득하는 방법 - Google Patents

에칭 저항성을 지닌 낮은 k 유전체 장벽을 수득하는 방법 Download PDF

Info

Publication number
KR20090036533A
KR20090036533A KR1020080099233A KR20080099233A KR20090036533A KR 20090036533 A KR20090036533 A KR 20090036533A KR 1020080099233 A KR1020080099233 A KR 1020080099233A KR 20080099233 A KR20080099233 A KR 20080099233A KR 20090036533 A KR20090036533 A KR 20090036533A
Authority
KR
South Korea
Prior art keywords
carbon
precursor
dielectric barrier
barrier film
layer
Prior art date
Application number
KR1020080099233A
Other languages
English (en)
Other versions
KR101183641B1 (ko
Inventor
후이웬 쑤
이준 리우 (존)
리-쿤 씨아
드렉 알. 위티
히켐 엠'사드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090036533A publication Critical patent/KR20090036533A/ko
Application granted granted Critical
Publication of KR101183641B1 publication Critical patent/KR101183641B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

전반적으로 본 발명은 감소된 유전 상수, 개선된 에칭 저항성 및 양호한 장벽 특성을 지닌 유전체 장벽을 형성하기 위한 방법을 제공한다. 한 가지 구체예는 규소-탄소 결합 및 탄소-탄소 결합을 포함하는 전구체를 처리 챔버로 유동시키는 단계, 및 처리 챔버에서 전구체의 저밀도 플라즈마를 발생시켜서 탄소-탄소 결합을 지닌 유전체 장벽 필름을 반도체 기판상에 형성시키는 단계로서 전구체중의 탄소-탄소 결합의 일부 또는 전부가 저밀도 플라즈마에서 보존되어 유전체 장벽 필름내로 혼입되는 단계를 포함하여 반도체 기판을 처리하는 방법을 제공한다.

Description

에칭 저항성을 지닌 낮은 K 유전체 장벽을 수득하는 방법 {METHODS TO OBTAIN LOW K DIELECTRIC BARRIER WITH SUPERIOR ETCH RESISTIVITY}
본 발명의 구체예는 전반적으로 집적 회로의 제조에 관한 것이다. 더욱 상세하게는, 본 발명의 구체예는 반도체 장치를 제조하는 데에 사용되는 유전제 장벽 필름을 형성시키는 방법에 관한 것이다.
집적 회로 기하구조는 수 십년 전에 반도체 장치가 최초로 도입된 이래로 크기면에서 현저하게 감소되어 왔다. 그 이래로, 집적 회로는 일반적으로 2년마다 칩상의 장치 수가 두 배가 됨을 의미하는 2년/절반-크기 규칙 (종종 무어의 법칙이라 일컬어짐)을 따라왔다. 오늘날의 제조 설비는 0.1㎛ 피쳐 크기를 지닌 장치를 정례적으로 생산하고 있으며, 장래의 설비는 보다 더 작은 피쳐 크기를 지닌 장치를 곧 생산할 것이다.
장치 기하구조가 계속 감소됨에 따라 낮은 유전상수(k)값을 지닌 필름에 대한 요구가 발생해 왔는데, 이는 집적 회로상의 장치의 크기를 추가로 감소시키기 위해 인접한 금속 라인 사이의 용량성 커플링이 감소되어야 하기 때문이다. 특히, 약 3.0 이하의 유전 상수를 지닌 절연체가 바람직하다. 낮은 유전상수를 지닌 절 연체의 예로는 스핀-온 글래스(spin-on glass), 다공성 필름, 탄소 도핑된 규소 옥사이드 및 폴리테트라플루오로에틸렌(PTFE)이 있으며, 이들은 모두 시판되고 있다.
그러나, 낮은 k 유전체 물질은 구리와 같은 도전성 물질의 층간 확산에 종종 민감한데, 이는 단락 회로의 형성 및 장치 기능장애를 초래할 수 있다. 유전체 장벽/라이너(liner) 물질은 금속 및 부산물의 층간 확산을 방지하기 위해 금속 구조물 및 주위의 낮은 k 유전체 물질 사이에 종종 배치된다. 그러나, 통상적인 유전체 장벽 물질, 예를 들어 규소 니트라이드는 7 또는 그를 초과하는 높은 유전 상수를 종종 지닌다. 이러한 높은 k 유전체 물질을 주위의 낮은 k 유전체 물질과 조합하면 요망되는 유전 상수 보다 높은 값을 지닌 유전체 스택(stack)이 초래된다.
유전 상수를 감소시키기 위해 예를 들어 메틸 g 화합물을 첨가하거나 산소 도핑함으로써 다공성이 장벽층에 도입되었다. 그러나, 다공성을 장벽층에 도입시키기 위한 통상적인 방법은 일반적으로 에칭 선택성 및 장벽 특성의 손실을 초래한다.
따라서, 장벽 성능 및 에칭 저항성을 희생시키지 않으며 낮은 k 유전체 장벽층을 생성시키는 방법이 필요한 실정이다.
전반적으로 본 발명은 감소된 유전 상수, 개선된 에칭 저항성 및 양호한 장벽 특성을 지닌 유전체 장벽을 형성하기 위한 방법을 제공한다.
한 가지 구체예는 규소-탄소 결합 및 탄소-탄소 결합을 포함하는 전구체를 처리 챔버로 유동시키는 단계, 및 처리 챔버에서 전구체의 저밀도 플라즈마를 생성시켜서 탄소-탄소 결합을 지닌 유전체 장벽 필름을 반도체 기판상에 형성시키는 단계로서 전구체중의 탄소-탄소 결합의 일부 또는 전부가 저밀도 플라즈마에서 보존되어 유전체 장벽 필름내로 혼입되는 단계를 포함하여 반도체 기판을 처리하는 방법을 제공한다.
또 다른 구체예는 도전성 물질을 내부에 보유하도록 구성된 트렌치(trench)를 제 1 유전체층에 형성하는 단계, 트렌치를 등각(conformal) 유전체 장벽 필름으로 라이닝(lining)하는 단계, 트렌치의 등각 유전체 장벽 필름상에 금속 장벽 필름으로 라이닝하는 단계, 도전성 물질을 금속 장벽 필름상에 증착시켜서 트렌치를 채우는 단계, 도전성 물질을 평탄화시켜서 제 1 유전체층을 노출시키는 단계, 다공성 유전체 장벽층을 도전성 물질과 제 1 유전체층에 걸쳐 증착시키는 단계로서 다공성 유전체 장벽 층이 탄소-탄소 결합 및 규소-탄소 결합을 포함하며 다공성 유전체 장벽층이 제 1 유전체층 보다 실질적으로 높은 습식 에칭 저항성을 지니는 단계, 및 제 1 유전체층을 다공성 유전체 장벽층을 통해 습식 에칭 용액과 접촉시킴으로써 트렌치 사이에 에어 갭(air gap)을 형성시키는 단계로서 등각 유전체 장벽 필름이 습식 에칭 용액에 대한 장벽 및 에칭 스톱(etching stop)으로서 작용하는 단계를 포함하여 반도체 기판을 처리하는 방법을 제공한다.
본 발명의 상기 언급된 특징이 상세히 이해될 수 있도록 하기 위해, 상기 약술되어 있는 본 발명의 더욱 상세한 설명이 구체예를 참조로 하여 이루어질 수 있는데, 이중 일부는 첨부된 도면에 예시된다. 그러나, 첨부된 도면은 본 발명의 전형적인 구체예만을 예시한 것이어서 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것을 주목해야 하는데, 이는 본 발명이 그 밖의 동일하게 효과적인 구체예를 포함할 수 있기 때문이다.
이해를 돕기 위해, 도면에 공통적인 동일한 엘리먼트를 나타내기 위해 가능한 경우 동일한 참조 번호를 사용하였다. 한 가지 구체예에 기술된 엘리먼트는 특별한 언급없이도 다른 구체예에 대하여 유리하게 사용될 수 있다는 것이 고려된다.
전반적으로 본 발명의 구체예는 감소된 유전 상수, 개선된 에칭 저항성 및 양호한 장벽 특성을 지닌 장벽을 형성하기 위한 방법을 제공한다. 본 발명의 구체예는 규소-탄소 및 탄소-탄소 결합, 예를 들어 단일 탄소-탄소 결합 (C-C), 이중 탄소-탄소 결합 (C=C), 삼중 탄소-탄소 결합 (C≡C) 또는 이들의 조합물을 포함하는 규소 카바이드 기반 장벽층을 형성하는 것을 포함한다. 본 발명의 장벽 필름의 유전 상수는 분극을 감소시키는 탄소-탄소 결합의 존재에 의해 감소된다. 본 발명의 장벽 필름은 일반적으로 4.0 또는 그 미만의 유전 상수를 지닌다. 동시에, 장벽 필름의 에칭 저항성은 증가된 탄소 농도로 인해 증가한다. 장벽 성능, 예를 들어 구리 확산에 대한 장벽은 증가하는데, 이는 밀도가 유지되고 탄소 농도가 유지되기 때문이다.
본 발명의 장벽은 플라즈마 화학 기상 증착 (PECVD)을 이용하여 생성시킬 수 있다. 규소-탄소 결합 및 탄소-탄소 결합을 제공하는 하나 이상의 화합물을 포함하는 전구체를 PECVD 챔버내로 유동시킬 수 있다. 무선주파수(RF) 전력을 전구체에 인가하여 PECVD 챔버에서 저밀도 플라즈마를 발생시킨다. 전구체중의 탄소-탄소 결합의 일부 또는 전부가 보존되어 PECVD 챔버내에 위치한 기판내로 혼입되도록 RF 전력을 제어한다. 낮은 플라즈마 밀도의 인가를 또한 사용하여 다공성이 요망되는 경우 다공성을 생성시킨다.
장벽을 생성시키기 위한 챔버
본 발명의 구체예는 PECVD 챔버에서 수행될 수 있는데, 이러한 챔버의 예로는 둘 모두 어플라이드 머티어리얼스, 인코포레이티드 (Applied Materials, Inc., Santa Clara, California)로부터 구입할 수 있는 PRODUCER® SE CVD 시스템 또는 DXZ® CVD 시스템이 있다.
도 1은 본 발명의 구체예에 따라 사용되도록 구성된 예시적 PECVD 시스템 (100)의 측단면도를 개략적으로 도시한 도면이다.
PECVD 시스템 (100)은 힌지에 의해 챔버 바디 (102)에 부착될 수 있는 챔버 리드(lid) (104)를 지지하는 챔버 바디 (102)를 일반적으로 포함한다. 챔버 바디 (102)는 처리 영역 (120)을 형성하는 측부벽 (112) 및 하부벽 (116)을 포함한다. 반응물 및 세정 가스를 처리 영역 (120)내로 전달하기 위해 챔버 리드 (104)는 이를 통해 배치된 하나 이상의 가스 분배 시스템 (108)을 포함할 수 있다. 측벽 (112)에 형성되어 펌핑 시스템 (164)에 커플링된 원주 펌핑 채널 (125)은 처리 영역 (120)으로부터 가스를 배출시키고 처리 영역 (120)내의 압력을 제어하도록 구성된다. 두 개의 통로 (122 및 124)가 하부벽 (116)에 형성된다. 처리 중인 기판을 지지하고 가열하기 위한 히터 페디스털(heater pedestal) (128)의 스템(stem) (126)은 통로(122)를 통과한다. 기판 리프트 핀(lift pin) (161)을 작동시키도록 구성된 막대(rod) (130)는 통로 (124)를 통과한다.
히터 페디스털 (128)은 스템 (126)에 커플링된 구동 시스템 (103)에 의해 구동되는 처리 영역 (120)에 이동가능하게 배치된다. 히터 페디스털 (128)은 그 위에 위치하는 기판을 요망되는 처리 온도로 가열하기 위해 가열 엘리먼트, 예를 들어 저항 엘리먼트를 포함할 수 있다. 또한, 히터 페디스털 (128)은 램프 어셈블리와 같은 외부 가열 엘리먼트에 의해 가열될 수 있다. 구동 시스템 (103)은 처리 영역 (120)내에서 히터 페디스털 (128)을 하강시키거나 상승시키기 위해 선형 작동장치 또는 모터 및 감속 기어 어셈블리를 포함할 수 있다.
챔버 라이너 (127), 바람직하게는 세라믹 등으로 제조된 챔버 라이너 (127)가 부식성 처리 환경으로부터 측벽 (112)을 보호하기 위해 처리 영역 (120)에 배치된다. 챔버 라이너 (127)는 측벽 (112)에 형성된 선반(ledge) (129)에 의해 지지될 수 있다. 다수의 배출 포트 (131)는 챔버 라이너 (127)상에 형성될 수 있다. 다수의 배출 포트 (131)는 처리 영역 (120)을 펌핑 채널 (125)에 연결시키도록 구성된다.
반응물 및 세정 가스를 전달하도록 구성된 가스 분배 시스템 (108)은 가스를 처리 영역 (120)내로 전달하도록 챔버 리드 (104)를 통해 배치된다. 가스 분배 시스템 (108)은 가스를 샤워 헤드(shower head) 어셈블리 (142)내로 전달하는 가스 유입 통로 (140)를 포함한다. 샤워 헤드 어셈블리 (142)는 면판(faceplate) (146)에 대해 중간에 배치된 차단판(blocker plate) (144)를 지닌 환형 기부판(base plate) (148)으로 이루어 진다. 샤워 헤드 어셈블리 (142)에 커플링된 RF (무선 주파수)원 (165)은 샤워 헤드 어셈블리 (142)의 면판 (146)과 히터 페디스털 (128) 사이에 플라즈마가 발생되는 것을 촉진시키도록 샤워 헤드 어셈블리 (142)에 바이어스 전위(bias potential)를 제공한다. RF원 (165)은 일반적으로 고주파 무선주파수 (high frequency radio frequency, HFRF) 전원, 예를 들어 13.56MHz RF 발생기, 및 저주파 무선주파수 (low frequency radio frequency, LFRF) 전원, 예를 들어 300kHz RF 발생기를 포함한다. LFRF 전원은 저주파 발생 및 고정 매치(fixed match) 엘리먼트 둘 모두를 제공한다. HFRF 전원은 고정 매치와 함께 사용되도록 설계되며, 로드(load)에 전달되는 전력을 조절하여, 순방향 및 반사 전력에 대한 우려를 제거한다.
냉각 채널 (147)은 가스 분배 시스템 (108)의 기부판 (148)에 형성되어 작동 동안 기부판 (148)을 냉각시킨다. 냉각 유입구 (145)는 냉각 유체, 예를 들어 물 등을 냉각 채널 (147)내로 전달한다. 냉각 유체는 냉각제 유출구 (149)를 통해 냉각 채널 (147)로부터 배출된다.
챔버 리드 (104)는 가스를 하나 이상의 가스원 (172) 및 원격 플라즈마원 (162)으로부터 챔버 리드 (104)의 상부에 위치한 가스 유입 매니포울드(manifold) (167)로 전달하기 위해 매칭(matching) 통로를 추가로 포함한다.
원격 플라즈마원 (162)은 일반적으로 전구체원 (163), 운반 가스원 (168) 및 전원 (169)에 연결된다. 활성화된 종의 수송을 보조하고/하거나 세정 공정에서 도움을 주거나 처리 영역 (120)에서 플라즈마를 개시하고/하거나 안정화시키는 것을 돕기 위해 운반 가스, 예를 들어 아르곤, 질소, 헬륨, 수소 또는 산소 등이 원격 플라즈마원 (162) 및 처리 영역 (120)으로 유동될 수 있다.
하나 이상의 처리 가스가 가스 유입 매니포울드 (167)를 통해 처리 영역 (120)에 전달될 수 있다. PECVD 시스템은 일반적으로 하나 이상의 전구체 전달 시스템을 포함한다. PECVD 시스템 (100)은 하나 이상의 액체 전달 가스원 (150) 및 운반 가스 및/또는 전구체 가스를 제공하도록 구성된 하나 이상의 가스원 (172)을 포함할 수 있다.
PECVD 시스템 (100)은 작동 파라미터를 조정하도록 구성된 시스템 제어기 (171)를 추가로 포함한다. 한 가지 구체예에서, 시스템 제어기 (171)는 하나 이상의 액체 전달 가스원 (150), 하나 이상의 가스원 (172) 및 RF원 (165)을 조정하도록 구성될 수 있다.
PECVD 시스템 (100)은 사용되는 공정 레시피(recipe)에 따라 기판상에 다양한 필름을 증착시키도록 구성될 수 있다. 유사한 PECVD 시스템의 더욱 상세한 설명에 관해서는 본원에 참조로 포함된 미국 특허 제 5,855,681호, 제 6,495,233호 및 제 6,364,954호를 참조할 수 있다.
장벽을 생성시키기 위한 공정
도 2는 본 발명의 한 가지 구체예에 따른 공정 순서 (200)를 예시하는 흐름도이다.
단계 (210)에서, 전구체가 처리 챔버내로 유동되는데, 이는 일반적으로 세정되고 퍼징(purging)된 처리 챔버에 기판을 위치시킨 후에 이루어진다. 전구체는 규소-탄소 결합 및 단일 탄소-탄소 결합 (C-C), 이중 탄소-탄소 결합 (C=C), 삼중 탄소-탄소 결합 (C≡C) 또는 이들의 조합물을 포함하는 탄소-탄소 결합을 제공하는 하나 이상의 화합물을 포함한다.
단계 (220)에서, 전자기 전력, 예를 들어 RF 전력이 인가되어 처리 챔버에서 전구체의 저밀도 플라즈마가 발생된다. RF 전력은 전구체중의 종(species)의 반응을 가능하게 하고 탄소-탄소 결합의 일부 또는 전부를 보존하는 낮은 충격(low bombardment)을 야기하도록 구성된다. 결과적으로, 탄소-탄소 결합 함유 장벽 필름이 처리중인 기판상에 형성된다. 한 가지 구체예에서, 저밀도 플라즈마는 플라즈마를 발생시키고/거나 챔버 압력을 제어하기 위해 RF원의 전력 수준을 제어함으로써 동조될 수 있다. 한 가지 구체예에서, 전력 수준 및/또는 챔버 압력은 장벽 필름중의 탄소-탄소 결합 대 규소-탄소 결합의 비를 제어하기 위해 동조될 수 있다. 한 가지 구체예에서, 본 발명의 장벽 필름중의 탄소-탄소 결합 대 규소-탄소 결합의 비는 약 10% 내지 약 15%일 수 있다.
전구체중의 탄소-탄소 결합원은 탄소-탄소 결합 함유 탄화수소, 탄소-탄소 결합 함유 Si-C 화합물 또는 이들의 조합물을 포함할 수 있다.
탄소-탄소 결합 함유 탄소 히드라이드는 단일, 이중 또는 삼중 탄소-탄소 결 합을 포함하는 임의의 탄소 히드라이드, 예를 들어 에틸렌 (C2H4) 또는 프로핀 (C3H4)일 수 있다.
탄소-탄소 결합 및 규소-탄소 결합 함유 화합물은 단일, 이중 또는 삼중 탄소-탄소 결합을 포함하는 임의의 규소 탄소 화합물일 수 있다.
예시적인 탄소-탄소 결합 및 규소-탄소 결합 함유 화합물은 하기 구조식 형태의 단일 탄소-탄소 결합 함유 Si-C 화합물일 수 있다:
Figure 112008070577716-PAT00001
상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, R9 및 R10은 각각 독립적으로 H, CH3, C2H5 또는 임의의 알킬기 중 하나이다.
예시적인 탄소-탄소 결합 함유 Si-C 화합물은 또한 하기 구조식 형태의 이중 탄소-탄소 결합 (C=C) 함유 Si-C 화합물일 수 있다:
Figure 112008070577716-PAT00002
상기 식에서, R1, R2, R3, R4, R5, R6, R7 및 R8은 각각 독립적으로 H, CH3 또는 임의의 알킬기이다.
한 가지 구체예에서, 탄소-탄소 결합원은 또한 규소원이다. 또 다른 구체예에서, 전구체는 구조식 (1), (2) 또는 (3) 형태의 탄소-탄소 결합원에 부가된 추가의 규소원, 예를 들어 트리메틸-실란 (TMS)을 포함할 수 있다.
적절한 규소원은 하나 이상의 산소 비함유 유기규소 화합물을 포함할 수 있는데, 이의 예로는 하기 화합물 중 하나 이상 및 이들의 플루오르화 탄화수소 유도체가 있다:
메틸실란, CH3-SiH3
디메틸실란, (CH3)2-SiH2
트리메틸실란 (TMS) (CH3)3-SiH
에틸실란, CH3-CH2-SiH3
디실라노메탄, SiH3-CH2-SiH3
비스(메틸실라노)메탄, CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄, SiH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄, CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판, SiH3-C(CH3)2-SiH3
1,3,5-트리실라노-2,4,6-트리메틸렌, -(-SiH2-CH2-)3- (시클릭)
디에틸실란 (C2H5)2SiH2
프로필실란 C3H7SiH3
비닐메틸실란 (CH2=CH)(CH3)SiH2
1,1,2,2-테트라메틸디실란 HSi(CH3)2-Si(CH3)2H
헥사메틸디실란 (CH3)3Si-Si(CH3)3
1,1,2,2,3,3-헥사메틸트리실란 H(CH3)2Si-Si(CH3)2-SiH(CH3)2
1,1,2,3,3-펜타메틸트리실란 H(CH3)2Si-SiH(CH3)-SiH(CH3)2
비스(메틸실라노)에탄 CH3-SiH2-(CH2)2-SiH2-CH3
비스(메틸실라노)프로판 CH3-SiH2-(CH2)3-SiH2-CH3
비스(디메틸실라노)에탄 (CH3)2-SiH-(CH2)2-SiH-(CH3)2
비스(디메틸실라노)프로판 (CH3)2-SiH-(CH2)3-SiH-(CH3)2
추가의 규소원에 관해서는 본원에 참조로 포함된 미국 특허 제 6,790,788호 (발명의 명칭: Method of Improving Stability in Low k Barrier Layers)를 참조할 수 있다.
도 3은 본 발명의 또 다른 구체예에 따른 공정 순서 (240)를 예시하는 흐름도이다. 공정 순서 (240)는 탄소-탄소 결합 및 규소의 공급원에 부가하여 질소원을 포함하는 전구체를 사용하는 것을 제외하고 도 2의 공정 순서 (200)와 유사하다. 한 가지 구체예에서, 질소원은 특히 이중 및/또는 삼중 탄소-탄소 결합이 전구체에서 사용되는 경우 필름 적합성을 증가시키도록 구성된다. C=C 및/또는 C≡C 결합 함유 전구체가 사용되는 경우, 일부 C=C 및/또는 C≡C 결합이 기판상에 형성된 장벽 필름에 혼입될 수 있다. C=C 및/또는 C≡C 결합의 존재는 장벽 필름이 산화에 민감해지게 하고, 장벽 필름의 에칭 저항성을 감소시킨다. 제어된 양의 질소를 도입시킴으로써, C=C 및/또는 C≡C 결합이 장벽 필름으로부터 제거될 수 있다. Si-N 결합이 장벽 필름에서 생성될 수 있다. 제어된 질소 도핑은 유전 상수를 증가시키지 않으며 안정성을 증가시키는 것으로 관찰되었다.
단계 (242)에서, 전구체가 처리 챔버내로 유동되는데, 이는 일반적으로 세정되고 퍼징된 처리 챔버에 기판을 위치시킨 후에 이루어진다. 전구체는 규소원, 질 소원, 단일 탄소-탄소 결합 (C-C), 이중 탄소-탄소 결합 (C=C), 삼중 탄소-탄소 결합 (C≡C) 또는 이들의 조합물을 포함하는 탄소-탄소 결합원을 포함한다.
단계 (244)에서, RF 전력이 인가되어 처리 챔버에서 전구체의 저밀도 플라즈마가 발생된다. RF 전력은 전구체중의 종의 반응을 가능하게 하고 탄소-탄소 결합의 일부 또는 전부를 보존하는 낮은 충격을 야기하도록 구성된다. 결과적으로, 탄소-탄소 결합 함유 필름이 처리중인 기판상에 형성된다.
적절한 질소원은 질소 함유 가스 또는 산소를 포함하지 않는 화합물, 예를 들어 질소 가스 (N2), 암모니아 (NH3) 또는 이들의 조합물일 수 있다.
한 가지 구체예에서, 산소는 Si-O 결합이 장벽 필름에서 최소화되거나 제거되도록 단계 (210) 및 단계 (242)에서 사용되는 전구체로부터 최소화되거나 제거된다.
통상적인 장벽 필름과 비교하여, 본 발명의 장벽 필름은 일반적으로 감소된 유전 상수, 예를 들어 4.0 또는 그 미만, 개선된 에칭 저항성 및 구리에 대한 증가된 확산 저항을 지닌다. 본 발명의 장벽 필름은 임의의 통상적인 장벽층, 예를 들어 금속 구조물 및 주위의 낮은 k 유전체 물질 사이의 유전체 장벽으로서 사용될 수 있다.
한 가지 구체예에서, 장벽 필름을 증착시키기 위해 사용되는 플라즈마 밀도를 조정함으로써 본 발명의 장벽 필름에 다공성이 생성될 수 있다. 다공성이 증가함에 따라, 본 발명의 장벽 필름은 높은 탄소 함량으로 인해 높은 에칭 저항성을 유지한다. 추가로, 장벽 필름중의 높은 탄소 농도의 존재는 장벽 필름이 소수성이 되게 한다. 한 가지 구체예에서, 본 발명의 장벽 필름은 다공성을 지니도록 제조될 수 있고, 습식 에칭 용액을 사용하여 장벽 필름 아래에 있는 재료를 에칭하는 경우에 막으로서 사용될 수 있다.
실시예
하기 실시예는 본 발명의 한 가지 구체예에 따라 장벽 필름을 증착시키는 것을 예시한다.
실시예 1
트리메틸실란 (TMS, (CH3)3SiH) 및 에틸렌 (C2H4)의 조합물을 포함하는 전구체를 사용하여 규소 카바이드를 포함하는 다공성 유전체층을 증착시키기 위한 PECVD 증착 공정. 운반 가스, 예를 들어 헬륨, 아르곤, 질소 또는 이들의 조합물을 전구체와 함께 처리 챔버로 도입시킨다.
TMS 대 에틸렌의 비는 혼합물의 탄소 원자 비율이 15% 이상이 되도록 설정한다. 한 가지 구체예에서, 에틸렌 대 TMS의 비는 약 0.5:1 내지 약 8:1 이다. 또 다른 구체예에서, 에틸렌 대 TMS의 비는 약 1:1 내지 약 4:1 이다. 처리 파라미터는 다음과 같다:
유량 (전구체 및 운반 가스를 포함함): 약 5 sccm 내지 약 10,000 sccm (여기서, 운반 가스의 유량은 약 5 sccm 내지 약 10,000 sccm일 수 있다).
간격: 약 200 mil 내지 약 2000 mil;
온도: 약 100℃ 내지 약 550℃, 또는 약 200℃ 내지 약 350℃;
챔버 압력: 약 10m Torr 내지 1기압;
RF 전력: 약 15 W 내지 약 3,000 W. 한 가지 구체예에서, RF 전력은 약 500W 이하일 수 있다.
실시예 2
트리메틸실란 (TMS, (CH3)3SiH), 에틸렌 (C2H4) 및 암모니아 (NH3)의 조합물을 포함하는 전구체를 사용하여 규소 카바이드를 포함하는 다공성 유전체층을 증착시키기 위한 PECVD 증착 공정. 한 가지 구체예에서, 에틸렌 대 TMS의 비는 약 3:1 내지 약 5:1 이다. 암모니아 대 TMS의 비는 약 1:10 내지 약 10:1 일 수 있다. 또 다른 구체예에서, 암모니아 대 TMS의 비는 약 1:4 내지 약 3:1일 수 있다. 처리 파라미터는 다음과 같다:
유량 (전구체 및 운반 가스를 포함함): 약 5 sccm 내지 약 10,000 sccm (여기서, 운반 가스의 유량은 약 5 sccm 내지 약 10,000 sccm일 수 있다).
간격: 약 200 mil 내지 약 2000 mil;
온도: 약 100℃ 내지 약 550℃, 또는 약 200℃ 내지 약 350℃;
챔버 압력: 약 10m Torr 내지 1기압;
RF 전력: 약 15 W 내지 약 3,000 W. 한 가지 구체예에서, RF 전력은 약 500W 이하일 수 있다.
실시예 3
트리메틸실란 (TMS, (CH3)3SiH) 및 에틸렌 (C2H4)의 조합물을 포함하는 전구체를 사용하여 다공성 유전체 장벽을 증착시키기 위한 PECVD 증착 공정. TMS 대 에틸렌의 비를 포함하는 공정 조건은 탄소 원자 비율이 15% 이상이 되도록 설정한다. 한 가지 구체예에서, 에틸렌 대 TMS의 비는 약 1:1 내지 약 8:1 이고, TMS/에틸렌 전구체 및 운반 가스의 유량은 약 5 sccm 내지 약 10,000 sccm이고, 온도는 약 350℃이다. 이러한 조건과 관련하여, 챔버 압력은 약 10mTorr 내지 약 1기압이고, 플라즈마 발생을 위한 무선 주파수 (RF) 전력은 약 15 W 내지 약 3,000 W이고, 전구체를 처리중인 기판에 제공하도록 구성된 기판과 샤워 헤드 사이의 간격은 약 200 mil 내지 약 2000 mil이다.
장벽의 이점
본 발명의 유전체 장벽 필름은 통상적인 장벽 필름에 비해 수 가지 이점을 지니는데, 이의 예로는 높은 에칭 저항성, 낮은 유전 상수, 낮은 자외선 흡수, 높은 확산 장벽 및 소수성이 있다. 본 발명의 필름의 이점은 통상적인 장벽 필름으로서 사용되는 경우 보다 우수한 장벽이 되게 할 뿐만 아니라 신규한 적용을 가능하게 한다.
도 4a는 실시예 1과 유사한 레시피를 사용하여 형성된 유전체 장벽의 유전 상수를 도시하는 도표이다. 도 4a에 도시된 바와 같이, 에틸렌 대 TMS의 비가 증가하는 경우 (C=C 농도가 증가함), 필름 밀도는 실질적으로 동일하게 유지되고 유 전 상수가 감소한다. 따라서, 전구체중의 탄소-탄소 결합의 농도를 증가시킴으로써 저유전상수를 지닌 필름이 수득될 수 있다.
도 4b는 실시예 1과 유사한 레시피를 사용하여 형성된 유전체 장벽의 에칭 저항성을 도시하는 도표이다. 도 4b에 도시된 바와 같이, 에틸렌 대 TMS의 비가 증가하는 경우 (C=C 농도가 증가함), 필름 밀도는 실질적으로 동일하게 유지되고 에칭 저항성이 증가한다. 따라서, 전구체중의 탄소-탄소 결합의 농도를 증가시킴으로써 보다 강력한 에칭 저항성을 지닌 필름이 수득될 수 있다.
도 4c는 실시예 2와 유사한 레시피를 사용하여 형성된 유전체 장벽의 구리 확산 장벽 특성을 도시하는 도표이다. 도 4c는 본 발명의 구체예에 따른 규소 카바이드 니트라이드 (SiCN)를 포함하는 유전체 필름에서의 구리의 확산 프로파일을 도시한다. 구리의 경우, 확산 장벽 깊이는 일반적으로 구리 농도가 10000배 만큼 감소하는 거리로서 규정된다. 도 4c에 도시된 바와 같이, 장벽 필름에 대한 구리 확산 깊이는 약 100Å이다.
본 발명의 유전체 장벽 필름은 또한 자외선 (UV) 에너지에 대한 낮은 흡수비를 지녀서, UV에 노출된 경우 통상적인 SiCN 필름과 같은 통상적인 장벽 필름과 비교하여 보다 적은 스트레스를 초래한다. 유전체 장벽 필름의 형성이 UV 경화를 필요로 하지 않는다고 하더라도, 유전체 장벽 필름은 후속되는 층간 유전체의 경화와 같은 후속 공정에서 UV 에너지에 노출될 것으로 여겨진다. 낮은 UV 흡수는 보다 작은 구조 변화를 초래하여, 기판에서 보다 적은 스트레스를 초래한다.
장벽의 적용
상기 논의된 바와 같이, 본 발명의 장벽 필름은 통상적인 장벽 필름으로서 사용되는 경우 개선된 장벽이 되게 할 뿐만 아니라 신규한 적용을 가능하게 한다. 도 5는 본 발명의 한 가지 구체예에 따른 유전체 장벽을 통해 형성된 에어 갭을 포함하는 기판 스택 (300)을 개략적으로 도시한 도면이다.
기판 스택 (300)은 트랜지스터와 같은 장치가 형성되어 있는 기판 (301)을 포함한다. 장치가 기판 내부에 형성된 후에 접촉층 (302)을 기판 (301)상에 형성시킬 수 있다. 접촉층 (302)은 전형적으로 도전성 엘리먼트 (303)를 내부에 지닌 유전체층이다. 도전성 엘리먼트 (303)는 기판 (301)에 형성된 장치와 전기적으로 소통하도록 구성한다. 전형적으로 트렌치층 및 도전성 물질과 유전체의 비아(via) 층을 교번적으로 포함하는 멀티레벨 상호연결 구조물을 접촉층(302)상에 형성시켜서 기판(301)에서 장치에 대한 회로를 제공한다. 트렌치층은 일반적으로 도전성 라인이 내부에 형성된 유전체층을 의미한다. 비아층은 하나의 트렌치층으로부터 또 다른 트렌치층으로의 전기적 경로를 제공하는 작은 금속 바이어스를 지닌 유전체층이다.
도 5에 도시된 바와 같이, 에칭 스톱층 (304)을 접촉층 (302) 전반에 걸쳐 증착시키고, 제 1 유전체층 (305), 예를 들어 규소 디옥사이드층을 에칭 스톱층 (304)상에 증착시킨다. 에칭 스톱층 (304)은 후속 에칭 단계 동안 접촉층 (304)을 보호하도록 구성한다. 에칭 스톱층 (304)은 규소 카바이드층일 수 있다.
트렌치 (306)는 포토레지스트를 사용하는 패터닝에 이은 에칭과 같은 당업자에게 공지된 임의의 통상적인 방법을 이용하여 제 1 유전체층 (305) 및 에칭 스톱 층 (304)에 형성시킨다.
그 후, 등각 유전체 장벽 필름 (307)을 트렌치 (306)의 측벽을 포함하는 기판의 전체 상부 표면에 걸쳐 증착시킨다. 등각 유전체 장벽 필름 (307)은 후속 공정 동안 습식 에칭 화학으로부터 트렌치 (306)에 후속하여 형성된 구리 라인과 같은 금속 구조물을 보호하기 위해 장벽층으로서 작용하도록 구성한다. 또한, 등각 유전체 필름 (307)은 에어 갭이 주변에 형성된 후에 트렌치 (306)에 형성된 금속 구조물에 대한 기계적 지지부를 또한 제공한다. 한 가지 구체예에서, 등각 유전체 장벽 필름 (307)은 유전체 물질, 예를 들어 붕소 니트라이드 (BN), 규소 니트라이드 (SiN), 규소 카바이드 (SiC), 규소 카빈 니트라이드 (SiCN), 규소 붕소 니트라이드 (SiBN) 또는 이들의 조합물을 포함한다.
등각 유전체 장벽 필름 (307)은 플라즈마 화학 기상 증착 (PECVD) 공정에 의해 형성된 k값이 약 5.0 이하인 붕소 니트라이드 (BN)층이다. 등각 유전체 장벽 필름 (307)은 약 10Å 내지 약 200Å의 두께를 지닐 수 이다. 붕소 니트라이드층을 증착시키는 것은 붕소 함유 전구체로부터 붕소 함유 필름을 형성하고, 붕소 함유 필름을 질소 함유 전구체로 처리하는 것을 포함할 수 있다. 붕소 함유 필름을 형성하는 것은 플라즈마의 존재 또는 부재하에서 수행할 수 있다. 붕소 함유 전구체는 디보란 (B2H6), 보라진 (B3N3H6) 또는 보라진의 알킬 치환된 유도체일 수 있다. 붕소 함유 필름을 처리하는 것은 플라즈마 공정, 자외선 (UV) 경화 공정, 열 어닐링 공정 및 이들의 조합공정으로 구성된 군으로부터 선택될 수 있다. 질소 함유 전구체는 질소 가스 (N2), 암모니아 (NH3) 또는 히드라진 (N2H4)일 수 있다.
금속 장벽층 (308)은 등각 유전체 장벽 필름 (307)의 위쪽에 형성시킨다. 금속 장벽층 (308)은 트렌치 (306)에 후속 증착된 금속 라인 및 인접한 구조물 사이의 확산을 방지하도록 구성한다. 금속 장벽층 (308)은 탄탈 (Ta) 및/또는 탄탈 니트라이드 (TaN)를 포함할 수 있다.
그 후, 트렌치 (306)를 하나 이상의 도전성 물질, 예를 들어 구리를 포함하는 도전성 라인 (309)으로 채운다. 트렌치 (306)의 하부벽의 전부 또는 일부로부터 금속 장벽층 (308) 및 등각 유전체 장벽 필름 (307)을 제거하여 도전성 라인 (309)이 접촉층 (302)중의 도전성 엘리먼트 (303)와 직접 접촉할 수 있게 하기 위해 건식 에칭 단계를 수행할 수 있다. 도전성 라인 (309)을 증착시키는 것은 도전성 시드층(seed layer)을 형성하고, 금속을 도전성 시드층상에 증착시키는 것을 포함할 수 있다. 도전성 라인 (309)은 구리 (Cu), 알루미늄 (Al) 또는 바람직한 전기 전도도를 지닌 임의의 적절한 물질을 포함할 수 있다.
도전성 라인 (309), 금속 장벽층 (308) 및 등각 유전체 장벽 필름 (307)에 대해 화학 기계적 연마 (CMP) 공정을 수행하여 유전체층 (305)을 노출시킨다.
자체 정렬된 캡핑층(capping layer) (310)을 도전성 라인 (309)상에 형성시킨다. 자체 정렬된 캡핑층 (310)은 도전성 라인 (309)의 상부 표면을 가로지르는 종의 확산을 방지하기 위한 장벽이 되도록 구성한다. 구리를 포함하는 도전성 라인 (309)의 경우, 자체 정렬된 캡핑층 (310)은 코발트 (Co), 텅스텐 (W) 또는 인 (P)을 포함할 수 있다. 자체 정렬된 캡핑층 (310)은 구리 및 산소 둘 모두의 확산을 방지할 수 있다. 자체 정렬된 캡핑층 (310)은 무전해 증착법을 이용하여 형성시킬 수 있다.
다공성 유전체 장벽층 (311)은 도전성 라인 (309) 및 등각 유전체 장벽 필름 (307)상에 증착시킨다. 다공성 유전체 장벽층 (311)은 k가 4.0 미만인 낮은 k 유전체일 수 있다. 다공성 유전체 장벽층 (311)은 본 발명의 구체예에 따른 방법을 이용하여 증착시킬 수 있다. 한 가지 구체예에서, 낮은 습식 에칭율은 다공성 유전체 장벽층 (311)에서 Si-O 결합을 감소시키거나 제거함으로써 달성할 수 있다. 다공성 유전체 장벽층 (311)은 규소-탄소 결합 (Si-O) 없이 규소 카바이드 (SiC), 규소 카바이드 니트라이드 (SiCN) 또는 이들의 조합물을 포함할 수 있다. 한 가지 구체예에서, 다공성 유전체 장벽층 (311)은 약 10Å 내지 약 500Å의 두께를 지닐 수 있다.
다공성 유전체 장벽층 (311)은 투과성이어서, 희석된 수소 플루오라드 (DHF) 용액과 같은 에칭 용액이 제 1 유전체층 (305)과 같은 제거가능한 층내로 아래쪽으로 침투하여 에어 갭을 형성할 수 있게 한다. 다공성 유전체 장벽층 (311)은 일반적으로 낮은 습식 에칭율을 지녀서 에칭 용액과의 접촉이 이의 구조에 영향을 주지 못하게 된다. 한 가지 구체예에서, 다공성 유전체 장벽층 (311)은 도전성 라인 (309)에서 구리와 같은 금속에 대한 확산 장벽층으로서 또한 작용한다. 한 가지 구체예에서, 다공성 유전체 장벽층 (311)은 소수성이어서, 습식 에칭 공정으로부터의 잔류물 및 오염을 최소화시킨다. 한 가지 구체예에서, 다공성 유전체 장벽층 (311)의 소수성은 다공성 유전체 장벽층 (311)중의 탄소 함량을 제어함으로써 획득할 수 있다.
에어 갭을 형성시키려는 영역을 노출시키도록 패턴을 생성시킬 수 있다. 포토레지스트층 (312)을 다공성 유전체 장벽층 (311)상에 증착시킬 수 있다. 그 후, 포토레지스트층 (312)에서 패턴을 생성시켜서 구멍 (313)을 통해 다공성 유전체층 (311)의 일부를 노출시킬 수 있다. 패턴을 사용하여 도전성 라인 (309) 사이의 거리가 특정 범위내에 존재하는 영역에서 에어 갭을 제한시킨다. 에어 갭은 빽빽히 들어찬 도전성 라인 (309) 사이의 유전체의 k값을 감소시키는 데에 가장 효과적이다. 도전성 라인 (309) 사이의 거리가 비교적 긴 경우, 에어 갭을 사용하여 k값을 감소시키는 것은 불필요한데, 이는 긴 간격으로 인해 인접한 도전성 라인 (309) 사이의 용량성 커플링이 작기 때문이다. 추가로, 멀리 떨어진 금속 구조물, 예를 들어 피치(pitch)가 긴 도전성 라인 (309) 사이에 에어 갭을 형성시키거나 비아층에서 바이어스를 형성시키는 것은 기계적 구조의 무결성에 영향을 미칠 수 있다. 따라서, 에어 갭을 특정 범위로 제한하기 위해 이러한 단계에서 패턴을 형성시킨다. 한 가지 구체예에서, 에어 갭은 도전성 라인 (309) 사이의 거리가 약 5nm 내지 약 200nm인 이웃하는 도전성 라인 (309) 사이에 형성시킬 수 있다.
습식 에칭 공정을 수행하여 에어 갭을 형성시킨다. 제 1 유전체층 (305)의 일부는 구멍 (313)에 의해 노출되는 다공성 유전체 장벽층 (311)을 통해 DHF 용액과 같은 에칭 용액과 접촉하고, 완전히 또는 부분적으로 에칭되어 에어 갭 (314)을 형성한다. 한 가지 구체예에서, DHF 용액은 6부의 물 및 1부의 수소 플루오라이드 를 포함한다. 그 밖의 습식 에칭 화학물질, 예를 들어 완충 수소 플루오라이드 (BHF, NH4F +HF + H2O)를 또한 사용하여 다공성 유전체 장벽층 (311)을 통해 제 1 유전체층 (305)을 에칭시킨다. 에칭 용액은 다공성 장벽층 (311)을 통해 제 1 유전체층 (305)에 도달하며, 에칭 생성물은 화살표 (315 및 316)로 나타난 바와 같이 다공성 유전체 장벽층 (311)을 통해 제거된다.
에어 갭 (314)의 형성 후, 세정 공정을 수행하여 포토레지스트층 (312) 및 임의의 잔류물을 제거할 수 있다. 층간 유전체를 다공성 유전체 장벽층 (311)에 걸쳐 증착시켜서 다음 금속층에 대해 준비한다.
본 발명의 다공성 유전체 장벽 필름은 습식 에칭 화학물질에 대해 투과성이며, 막으로서 사용되어 습식 에칭 용액이 제거가능한 유전체층내로 아래쪽으로 침투하여 에어 갭을 형성시킬 수 있다. 에어 갭 형성을 위해, 본 발명의 다공성 유전체 장벽 필름은 열 분해법과 같은 통상적인 에어 갭 형성 방법의 수 가지 단점을 극복한다. 특히, DHF 및 BHF와 같은 습식 에칭 화학물질을 사용하여 SiO2와 같은 형성된 유전체를 제거함으로써 에어 갭을 형성시킨다. 모든 제거될 수 있는 물질은 제거될 것이며, 구조물내의 임의의 잔류하는 제거될 수 있는 물질은 후속 공정 단계에서 신뢰성 문제를 야기할 수 있다. 본 발명에서 사용되는 습식 에칭 방법은 선택적일 수 있고, 사진석판술 및 패터닝 단계를 통해 선택된 영역에만 적용된다. 따라서, 에어 갭의 영역 비율 및 위치는 요망되는 유전체 값 뿐만 아니라 필요한 기계적 강도를 충족시키도록 설계될 수 있다. 예를 들어, 에어 갭은 2개의 인접한 금속 라인 사이의 피치 길이가 10nm 내지 200nm인 조밀한 금속 영역에 형성될 수 있다.
다공성 유전체 필름을 사용하는 에어 갭 형성에 관한 상세한 설명은 2007년 10월 9일에 출원되고 발명의 명칭이 "Method for Forming an Air Gap in Multilevel Interconnect Structure"인 미국 특허 출원 일련 번호 11/869,409를 참조할 수 있는데, 이러한 미국 특허 출원은 본원에 참조로 포함되어 있다.
전술한 설명은 본 발명의 구체예에 관한 것이지만, 본 발명의 그 밖의 추가의 구체예가 본 발명의 기본 범위를 벗어나지 않고 고안될 수 있으며, 본 발명의 범위는 첨부된 청구의 범위에 의해 결정된다.
도 1은 본 발명의 구체예에 따라 유전체 필름을 증착시키도록 구성된 예시적 처리 챔버의 측단면도를 개략적으로 도시한 도면이다.
도 2는 본 발명의 한 가지 구체예에 따른 처리 순서를 예시하는 흐름도이다.
도 3은 본 발명의 또 다른 구체예에 따른 처리 순서를 예시하는 흐름도이다.
도 4a는 본 발명의 한 가지 구체예에 따른 예시적 레시피(recipe)를 이용하여 형성된 유전체 장벽의 유전 상수를 도시하는 도표이다.
도 4b는 본 발명의 한 가지 구체예에 따른 예시적 레시피를 이용하여 형성된 유전체 장벽의 에칭 저항성을 도시하는 도표이다.
도 4c는 본 발명의 한 가지 구체예에 따른 예시적 레시피를 이용하여 형성된 유전체 장벽의 구리 확산 장벽 특성을 도시하는 도표이다.
도 5는 본 발명의 한 가지 구체예에 따른 유전체 장벽을 통해 형성된 에어 갭(air gap)을 포함하는 기판 스택을 개략적으로 도시한 도면이다.

Claims (15)

  1. 규소-탄소 결합 및 탄소-탄소 결합을 포함하는 전구체를 처리 챔버로 유동시키는 단계; 및
    처리 챔버에서 전구체의 저밀도 플라즈마를 발생시켜서 탄소-탄소 결합을 지닌 유전체 장벽 필름을 반도체 기판상에 형성시키는 단계로서 전구체중의 탄소-탄소 결합의 일부 또는 전부가 저밀도 플라즈마에서 보존되어 유전체 장벽 필름내로 혼입되는 단계를 포함하여 반도체 기판을 처리하는 방법.
  2. 제 1항에 있어서, 전구체가 단일 탄소-탄소 결합 (C-C)원, 이중 탄소-탄소 결합 (C=C)원, 삼중 탄소-탄소 결합 (C≡C)원 또는 이들의 조합물을 포함하는 것인 방법.
  3. 제 2항에 있어서, 저밀도 플라즈마가 RF 전력을 500W 이하로 설정함으로써 생성되는 것인 방법.
  4. 제 2항에 있어서, 전구체가 유기규소 화합물 및 탄화수소 화합물의 혼합물을 포함하는 것인 방법.
  5. 제 4항에 있어서, 전구체가 하기 구조식을 지닌 탄소-탄소 결합 함유 화합물 을 포함하는 것인 방법:
    Figure 112008070577716-PAT00003
    상기 식에서, R1, R2, R3, R4, R5, R6, R7, R8, R9 및 R10은 각각 독립적으로 H, CH3, C2H5 또는 임의의 알킬기 중 하나이다.
  6. 제 4항에 있어서, 탄소-탄소 함유 규소 탄소 화합물이 하기 구조식을 지니는 것인 방법:
    Figure 112008070577716-PAT00004
    상기 식에서, R1, R2, R3, R4, R5, R6, R7 및 R8은 각각 독립적으로 H, CH3 또는 임의의 알킬기이다.
  7. 제 4항에 있어서, 전구체가 트리메틸실란 및 탄소-탄소 결합을 함유하는 탄화수소를 포함하는 것인 방법.
  8. 제 2항에 있어서, 전구체가 질소 가스 (N2), 암모니아 (NH3) 또는 이들의 조합물을 추가로 포함하는 것인 방법.
  9. 도전성 물질을 내부에 보유하도록 구성된 트렌치(trench)를 제 1 유전체층에 형성하는 단계;
    트렌치를 등각(conformal) 유전체 장벽 필름으로 라이닝(lining)하는 단계;
    트렌치의 등각 유전체 장벽 필름상에 금속 장벽 필름으로 라이닝하는 단계;
    도전성 물질을 금속 장벽 필름상에 증착시켜서 트렌치를 채우는 단계;
    도전성 물질을 평탄화시켜서 제 1 유전체층을 노출시키는 단계;
    다공성 유전체 장벽층을 도전성 물질과 제 1 유전체층에 걸쳐 증착시키는 단계로서 다공성 유전체 장벽 층이 탄소-탄소 결합 및 규소-탄소 결합을 포함하며 다공성 유전체 장벽층이 제 1 유전체층 보다 실질적으로 높은 습식 에칭 저항성을 지니는 단계; 및
    제 1 유전체층을 다공성 유전체 장벽층을 통해 습식 에칭 용액과 접촉시킴으로써 트렌치 사이에 에어 갭(air gap)을 형성시키는 단계로서 등각 유전체 장벽 필 름이 습식 에칭 용액에 대한 장벽 및 에칭 스톱(etching stop)으로서 작용하는 단계를 포함하여 반도체 기판을 처리하는 방법.
  10. 제 9항에 있어서, 다공성 유전체 장벽층이 규소 카바이드, 규소 카바이드 니트라이드 또는 이들의 조합물을 포함하는 것인 방법.
  11. 제 10항에 있어서, 다공성 유전체층을 증착시키는 단계가,
    규소-탄소 결합 및 탄소-탄소 결합을 포함하는 전구체를 처리 챔버로 유동시키는 단계; 및
    처리 챔버에서 전구체의 저밀도 플라즈마를 발생시키고 탄소-탄소 결합을 지닌 다공성 유전체 장벽 필름을 반도체 기판상에 형성시키는 단계로서 전구체중의 탄소-탄소 결합의 일부 또는 전부가 저밀도 플라즈마에서 보존되어 다공성 유전체 장벽 필름내로 혼입되는 단계를 포함하는 것인 방법.
  12. 제 11항에 있어서, 전구체가 단일 탄소-탄소 결합 (C-C)원, 이중 탄소-탄소 결합 (C=C)원, 삼중 탄소-탄소 결합 (C≡C)원 또는 이들의 조합물을 포함하는 것인 방법.
  13. 제 12항에 있어서, 전구체가 에틸렌 및 트리메틸실란을 약 8:1의 비로 포함하는 것인 방법.
  14. 제 13항에 있어서, 전구체가 에틸렌, 트리메틸실란 및 암모니아를 포함하는 것인 방법.
  15. 제 10항에 있어서, 다공성 유전체 장벽층이 Si-O 결합을 함유하지 않는 것인 방법.
KR1020080099233A 2007-10-09 2008-10-09 에칭 저항성을 지닌 낮은 k 유전체 장벽을 수득하는 방법 KR101183641B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/869,416 2007-10-09
US11/869,416 US7964442B2 (en) 2007-10-09 2007-10-09 Methods to obtain low k dielectric barrier with superior etch resistivity

Publications (2)

Publication Number Publication Date
KR20090036533A true KR20090036533A (ko) 2009-04-14
KR101183641B1 KR101183641B1 (ko) 2012-09-17

Family

ID=40523641

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080099233A KR101183641B1 (ko) 2007-10-09 2008-10-09 에칭 저항성을 지닌 낮은 k 유전체 장벽을 수득하는 방법

Country Status (5)

Country Link
US (1) US7964442B2 (ko)
JP (2) JP2009170872A (ko)
KR (1) KR101183641B1 (ko)
CN (1) CN101419915B (ko)
TW (1) TWI394215B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160107314A (ko) * 2014-01-13 2016-09-13 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름
KR20180120812A (ko) * 2011-12-20 2018-11-06 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2910178B1 (fr) * 2006-12-15 2009-05-15 St Microelectronics Sa Procede de realisation d'un element dielectrique poreux et element dielectrique correspondant
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
WO2012047945A2 (en) 2010-10-05 2012-04-12 Silcotek Corp. Wear resistant coating, article, and method
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG183291A1 (en) 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
US8993435B2 (en) * 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
JP2012074651A (ja) * 2010-09-30 2012-04-12 Renesas Electronics Corp 半導体装置、及び、その製造方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
CN102420178A (zh) * 2011-07-01 2012-04-18 上海华力微电子有限公司 一种避免光阻中毒的碳化硅薄膜新工艺
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
CN102891101B (zh) * 2011-07-18 2015-05-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102610555A (zh) * 2011-09-09 2012-07-25 上海华力微电子有限公司 一种避免光阻变性的无氮碳化硅薄膜工艺
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6602263B2 (ja) * 2016-05-30 2019-11-06 株式会社東芝 半導体装置、半導体装置の製造方法、インバータ回路、駆動装置、車両、及び、昇降機
US9748175B1 (en) * 2016-11-18 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure in semiconductor structure and method for forming the same
WO2020023378A1 (en) * 2018-07-24 2020-01-30 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
EP3654372B1 (en) * 2018-11-13 2021-04-21 IMEC vzw Method of forming an integrated circuit with airgaps and corresponding integrated circuit
CN111621735B (zh) * 2020-06-30 2023-03-17 中国航发动力股份有限公司 一种dd5单晶表面金属涂层阻扩散层制备方法
KR20220026627A (ko) * 2020-08-25 2022-03-07 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법
US20240087881A1 (en) * 2022-08-26 2024-03-14 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670945A (en) * 1995-07-06 1997-09-23 Applonie; Alan R. Self-monitoring hand-sanitizing station
TW308719B (ko) * 1995-10-23 1997-06-21 Dow Corning
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP2004342688A (ja) * 2003-05-13 2004-12-02 Mitsui Chemicals Inc 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
JP2005183766A (ja) * 2003-12-22 2005-07-07 Hitachi Ltd 半導体装置及びその製造方法
JP2005203568A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7326444B1 (en) * 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4197694B2 (ja) * 2005-08-10 2008-12-17 株式会社東芝 半導体装置およびその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180120812A (ko) * 2011-12-20 2018-11-06 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
KR20190115123A (ko) * 2011-12-20 2019-10-10 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US11587827B2 (en) 2011-12-20 2023-02-21 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US11670545B2 (en) 2011-12-20 2023-06-06 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
KR20160107314A (ko) * 2014-01-13 2016-09-13 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 pecvd를 사용하는 fcvd 하드웨어에 의한 유동 가능한 탄소 필름

Also Published As

Publication number Publication date
TW200931522A (en) 2009-07-16
KR101183641B1 (ko) 2012-09-17
US7964442B2 (en) 2011-06-21
CN101419915A (zh) 2009-04-29
JP2009170872A (ja) 2009-07-30
CN101419915B (zh) 2012-12-05
US20090093132A1 (en) 2009-04-09
TWI394215B (zh) 2013-04-21
JP2013102174A (ja) 2013-05-23

Similar Documents

Publication Publication Date Title
KR101183641B1 (ko) 에칭 저항성을 지닌 낮은 k 유전체 장벽을 수득하는 방법
US10297442B2 (en) Remote plasma based deposition of graded or multi-layered silicon carbide film
JP5500810B2 (ja) 多層配線構造に空隙を形成する方法
US7229911B2 (en) Adhesion improvement for low k dielectrics to conductive materials
US8178443B2 (en) Hardmask materials
US20130230986A1 (en) Adhesion improvement for low k dielectrics to conductive materials
CN113707542A (zh) 使用远程等离子体处理使碳化硅膜致密化
US20120276752A1 (en) Hardmask materials
KR20050020612A (ko) 저유전율막을 형성하는 방법
TW201623669A (zh) 用於選擇性的超低介電常數封孔之可流動介電質
KR20140070630A (ko) 반도체 집적을 위한 둔감성 건조 제거 프로세스
CN102460679A (zh) 硼膜界面工程
CN100550318C (zh) 最小化湿法蚀刻底切度并提供极低k值(k<2.5)电介质封孔的方法
JP7465256B2 (ja) 非uv高硬度低kの膜堆積
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
US20230066543A1 (en) Fully self aligned via integration processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180903

Year of fee payment: 7