CN101419915A - 得到具有优良抗蚀刻性的低k电介质阻挡层的方法 - Google Patents

得到具有优良抗蚀刻性的低k电介质阻挡层的方法 Download PDF

Info

Publication number
CN101419915A
CN101419915A CNA2008101696768A CN200810169676A CN101419915A CN 101419915 A CN101419915 A CN 101419915A CN A2008101696768 A CNA2008101696768 A CN A2008101696768A CN 200810169676 A CN200810169676 A CN 200810169676A CN 101419915 A CN101419915 A CN 101419915A
Authority
CN
China
Prior art keywords
carbon
predecessor
dielectric barrier
barrier film
carbon bond
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008101696768A
Other languages
English (en)
Other versions
CN101419915B (zh
Inventor
许惠雯
刘宜君
夏立群
德里克·R·维迪
伊沙姆·迈’萨德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101419915A publication Critical patent/CN101419915A/zh
Application granted granted Critical
Publication of CN101419915B publication Critical patent/CN101419915B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明一般提供一种形成电介质阻挡层的方法,该电介质阻挡层具有降低的电介质常数、提高的抗蚀刻性和优良的阻挡性能。一个实施例提供一种处理半导体衬底的方法,该方法包括将前驱物供给处理室,其中前驱物包括硅-碳键和碳-碳键,在处理室中产生前驱物的低密度等离子体,以在半导体衬底上形成具有碳-碳键的电介质阻挡膜,其中前驱物中至少部分碳-碳键保持在低密度等离子体中并且混入电介质阻挡膜。

Description

得到具有优良抗蚀刻性的低k电介质阻挡层的方法
技术领域
本发明的实施例一般涉及集成电路的制造。尤其是,本发明的实施例涉及一种在制造半导体器件中用到的形成电介质阻挡膜的方法。
背景技术
自从几十年前集成电路器件首次出现以来,在尺寸上集成电路器件的形状显著减小。此后,集成电路一般追寻两年/一半大小(two year/half-size)的规律(一般称为Moore’s Law),这意味着芯片上器件的数量每两年翻一倍。现今的制造工厂日常在生产0.1μm特征大小的器件,而不久未来的工厂将生产具有更小特征的器件。
在器件形状上的连续缩小已经要求模具有低电介质常数(k)值,这是因为相邻金属线之间的电容连接必须缩小以进一步缩小集成电路上器件的尺寸。特别地,优选具有小于大约3.0电介质常数的绝缘层。具有低电介质常数的绝缘层包括所有市售的spin-on glass、多孔膜、碳掺杂二氧化硅和聚四氟乙烯(PTFE)。
然而,低k电介质材料通常易受导电材料层间扩散的影响,导电材料例如铜,导电材料会导致短路和器件损坏。电介质阻挡/衬底材料一般设置在金属结构和周围的低k电介质材料之间以防止金属和副产物的层间扩散。然而,传统的电介质阻挡材料,例如氮化硅,一般具有高达7或更高的电介质常数。这种高k电介质常数材料与周围的低k电介质材料组合导致比预期电介质常数更高的电介质堆叠。
例如通过添加甲基化合物或氧掺杂,空隙被引入到阻挡层,以降低电介质常数。然而,传统的阻挡层引入空隙的方法一般导致蚀刻选择性和阻挡性能的损失。
因此,需要一种产生不牺牲阻挡性能和抗蚀刻性的低k电介质阻挡层的方法。
发明内容
本发明一般提供一种形成电介质阻挡层的方法,该电介质阻挡层具有降低的电介质常数、提高的抗蚀刻性和优良的阻挡性能。
一个实施例提供一种处理半导体衬底的方法,该方法包括将前驱物供给处理室,其中前驱物包括硅-碳键和碳-碳键,在处理室中产生前驱物的低密度等离子体,以在半导体衬底上形成具有碳-碳键的电介质阻挡膜,其中前驱物中至少部分碳-碳键保持在低密度等离子体中并且混入电介质阻挡膜。
另一个实施例提供一种处理半导体衬底的方法,该方法包括在第一电介质层中形成沟槽,其中该沟槽在其中保留导电材料;用保形电介质阻挡膜涂覆沟槽;用金属阻挡膜在保形电介质阻挡膜上涂覆沟槽;在金属阻挡膜上沉积导电材料来填充沟槽;均夷导电材料以暴露第一电介质层;在导电材料和第一电介质层上沉积多孔电介质阻挡层,其中多孔电介质阻挡层包括碳-碳键和硅-碳键,并且多孔电介质阻挡层具有比第一电介质层更高的抗湿蚀刻性;以及通过多孔电介质阻挡层用湿蚀刻溶液沾染第一电介质层以在沟槽之间形成气隙,其中保形电介质阻挡膜作为抗湿蚀刻溶液的阻挡层和蚀刻终止层。
附图说明
结合实施例和所示的附图对上述简要描述的本发明做更加详细的描述,因此以这种方式本发明的上述特征可以被详细理解。然而,值得注意的是附图仅仅示出本发明的一般实施例,并不因此来限定其范围,本发明可以承认其他等价有效实施例。
图1示出根据本发明实施例的用于沉积电介质层的示例性处理室的截面侧视图。
图2是示出根据本发明一个实施例的处理顺序的流程图。
图3是示出根据本发明另一个实施例的处理顺序的流程图。
图4A是示出用根据本发明一个实施例的示例性方法形成的电介质阻挡层的电介质常数的图。
图4B是示出用根据本发明一个实施例的示例性方法形成的电介质阻挡层的蚀刻阻抗的图。
图4C是示出用根据本发明一个实施例的示例性方法形成的电介质阻挡层的铜扩散阻挡性能的图。
图5示出根据本发明一个实施例的包括通过电介质阻挡层形成的气隙的衬底堆叠体。
为了便于理解,尽可能用相同的附图标记表示相同的图中共有的元件。可以理解一个实施例公开的元件不需要特别重述可以用于其他实施例。
具体实施方式
本发明的室示例一般提供一种形成电介质阻挡层的方法,该电介质阻挡层具有降低的电介质常数、提高的抗蚀刻性和优良的阻挡性能。本发明的实施例包括形成基于碳化硅的阻挡层,该阻挡层包括硅-碳和碳-碳键,例如包括单碳-碳(C-C)键、双碳-碳键(C=C)、三碳-碳键(C≡C),或其组合。本发明的电介质阻挡膜的电介质常数由于碳-碳键的存在而降低,这是由于碳-碳键减小了极化。本发明的电介质阻挡膜一般具有小于或等于4.0的电介质常数。同时,阻挡膜的抗蚀刻性由于碳浓度的增加而增加。由于保持密度和碳浓度,提高了阻挡性能,例如抗铜扩散的阻挡性能。
本发明的阻挡层可以由等离子体增强气相沉积(PECVD)形成。将包括一种或多种化合物的前驱物流入PECVD室,包括一种或多种化合物的前驱物提供硅-碳键或碳-碳键。将射频(RF)功率供给前驱物以在PECVD室中产生低密度等离子体。对RF功率进行控制,使得前驱物中至少部分碳-碳键保持并且混入放在PECVD室的衬底中。当需要空隙时,还用低密度等离子体产生空隙。
产生阻挡层的室
本发明的实施例可以在PECVD室中进行,例如市售的加州Santa Clara市的应用材料公司(Applied Materials,Inc.)的 SE CVD系统或
Figure A200810169676D0007113358QIETU
 CVD系统。
图1示出根据本发明实施例的示例性PECVD系统100的截面侧视图。
PECVD系统100一般包括支撑室盖104的室体102,室盖104通过铰链连接室体102。室体102包括限定处理区域120的侧壁112和底壁116。室盖104包括一个或多个气体分配系统108,设置的气体分配系统108用于向处理区域120传送反应和清洁气体。在侧壁112上形成的并与泵系统164结合的周边泵通道125用于排出处理区域120的废气并控制处理区域120内的压力。在底壁116中形成有两个通道122和124。用于支撑和加热被处理的衬底的加热器底座128的轴126通过通道122。配置杆130来驱动衬底升降针161通过通道124。
加热器底座128通过与轴126相连的驱动系统103可移动地设置在处理区域120中。加热器底座128可以包括加热元件,例如电阻元件,来加热设置在其上的衬底至预定处理温度。可选择地,加热器底座128可以通过外加热元件例如灯组件来加热。驱动系统103可以包括线性驱动器,或马达和简化齿轮组件(reduction gearing assembly),以在处理区域120中降低或提高加热器底座128。
室衬套127,优选由陶瓷等制成,沉积在处理区域120中以保护侧壁112免受处理环境的腐蚀。室衬套127可以通过形成在侧壁112上的凸缘129支撑。在室衬套127上可以形成多个排气口131。设置多个排气口131以连接处理区域120至泵通道125。
通过室盖101设置气体分配系统108来将气体传送至处理区域120,设置的气体分配系统108用来分配反应和清洁气体。气体分配系统108包括气体入口通道140,该通道传送气体至喷头组件142中。喷头组件142包括具有设置在面板146中间的胎膜板(blocker plate)144的环形基板148。连接至喷头组件142的RF(射频)源165给喷头组件142提供偏置电势以在喷头组件142的面板146和加热器底座128之间产生等离子体。RF源165一般包括高频射频(HFRF)功率源,例如13.56MHz RF发生器,和低频射频(LFRF)功率源,例如300KHz RF发生器。LFRF功率源提供低频产生和固定的匹配元件。HFRF功率源与固定的匹配元件一道使用,并调整供给负载的功率,以消除正向和反馈功率的影响。
在气体分配系统108的基板148中形成冷却通道147以在运行期间冷却基板148。冷却入口145传送冷却流体,例如水等,至冷却通道147。冷却流体通过冷却出口149排出冷却通道147。
室盖104还包括匹配通道来传送来自一个或多个气体源172和远程等离子体源162的气体至设置在室盖104顶端的气体入口岐管167。
远程等离子体源162一般连接至前驱物源163、载气源172和电源169。载气,例如氩、氮、氦、氢或氧等,可以流入到远程等离子体源162和处理区域120中来辅助活化物质的传输和/或在清洁处理中起到促进作用,或帮助初始化和/或稳定处理区域120中的等离子体。
可以通过气体入口岐管167传送一个或多个处理气体至处理区域120。PECVD系统一般包括一个或多个前驱物传送系统。PECVD系统100还可以包括一个或多个液体传送气体源150和一个或多个气体源172来提供载气和/或前驱物气体。
PECVD系统100还包括系统控制器171来调整运行参数。在一个实施例中,可以设置系统控制器171以调整一个或多个液体传送气体源150、一个或多个气体源172和RF源165。
可以设置PECVD系统100以根据所用的处理方法在衬底上形成各种层。相似的PECVD系统的更加详细地描述可以在美国专利No.5,855,681、No.6,495,233和No.6,364954中找到,在此援引这些美国专利作为参考。
产生阻挡层的处理
图2是示出根据本发明一个实施例的处理顺序200的流程图。
在步骤210,一般在清洁的和净化的处理室种固定衬底之后,前驱物流入处理室。前驱物包括一种或多种化合物,前驱物化合物提供硅-碳键和包括单碳-碳(C-C)键、双碳-碳键(C=C)、三碳-碳键(C≡C),或其组合的碳-碳键。
在步骤220,施加电磁功率,例如RF功率,并且在处理室中产生前驱物的低密度等离子体。设置RF功率产生低的轰击以能够使前驱物中的物质反应并保留至少部分碳-碳键。结果是,含碳-碳键的阻挡膜形成在正被处理的衬底上。在一个实施例中,低密度等离子体可以通过控制产生等离子体的RF源的功率电平和/或控制室的压力来调整。在一个实施例中,可以调整功率电平和/或室的压力来控制阻挡膜中碳-碳键与硅-碳键的比例。在一个实施例中,本发明的阻挡膜中碳-碳键与硅-碳键的比例可以在大约10%到大约15%之间。
在前驱物中碳-碳键的源可以包括含碳-碳键的碳氢、含碳-碳键的Si-C化合物,或其组合物。
含碳-碳键的碳氢化合物可以是任何含有单、双或三碳-碳键的碳氢化合物,例如乙烯(C2H4)或丙炔(C3H4)。
含碳-碳键和硅-碳键的化合物可以是任何含有单、双或三碳-碳键的硅-碳化合物。
含碳-碳键和硅-碳键的化合物的实例可以是下式的含单碳-碳键化合物:
Figure A200810169676D00101
其中R1、R2、R3、R4、R5、R6、R7、R8、R9和R10是各自独立的H、CH3、C2H5或任何烷基中的一个。
含碳-碳键的Si-C化合物的实例还可以是下式的含双碳-碳键(C=C)的Si-C化合物:
Figure A200810169676D00102
Figure A200810169676D00103
其中R1、R2、R3、R4、R5、R6、R7、和R8是各自独立的H、CH3或任何烷基。
在一个实施例中,碳-碳键源还是硅源。在另一个实施例中,前驱物可以包括添加到(1)、(2)或(3)所示的碳-碳键源中的硅源,例如三甲基硅烷(TMS)。
适当的硅源可以包括一种或多种无氧有机硅化合物。例如,下列化合物中的一种或多种,
甲基硅烷                     CH3-SiH3
二甲基硅烷                   (CH3)2-SiH2
三甲基硅烷                   (CH3)3-SiH
乙烯基硅烷                   CH3-CH2-SiH3
乙基硅烷                     SiH3-CH2-SiH3
双(甲基硅烷基)甲烷(Bis(methylsilano)methane)CH3-SiH2-CH2-SiH2-CH3
1,2-乙硅烷基乙烷(1,2-disilanoethane)SiH3-CH2-CH2-SiH3
1,2-双(甲基硅烷基)乙烷(1,2-bis(methylsilano)ethane)CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-二硅烷基丙烷(2,2-disilanopropane)SiH3-C(CH3)2-SiH3
1,3,5-三桂烷基-2,4,6-三亚甲基(1,3,5-trisilano-2,4,6-trimethylene)-(-SiH2-CH2-)3-(cyclic)
二乙基硅烷                              (C2H5)2SiH2
丙基硅烷                                C3H7SiH3
乙烯基甲基硅烷                          (CH2=CH)(CH3)SiH2
1,1,2,2-四甲基二硅烷                 HSi(CH3)2-Si(CH3)2H
六甲基硅烷                              (CH3)3Si-Si(CH3)3
1,1,2,2,3,3-六甲基三硅烷H(CH3)2Si-Si(CH3)2-SiH(CH3)2
1,1,2,3,3-五甲基三硅烷H(CH3)2Si-SiH(CH3)-SiH(CH3)2
双(甲基硅烷基)乙烷                      CH3-SiH2-(CH2)2-SiH2-CH3
双(甲基硅烷基)丙烷                      CH3-SiH2-(CH2)3-SiH2-CH3
双(二甲基硅烷基)乙烷                    (CH3)2-SiH-(CH2)2-SiH-(CH3)2
双(二甲基硅烷基)丙烷                    (CH3)2-SiH-(CH2)3-SiH-(CH3)2以及氟化碳氢氧化物的衍生物。
可以在题为“Method ofimproving Stability in Low k Barrier Layers”的美国专利No.6,790,788中找到添加的硅源,在此援引该美国专利作为参考。
图3是示出根据本发明另一实施例的处理顺序240的流程图。除使用包含添加到碳-碳键和硅源的氮源的前驱物外,处理顺序240与处理顺序220相似。在一个实施例中,当在前驱物中使用双和/或三碳-碳键时,设置氮源显著增加层的稳定性。当使用含C=C和/或C≡C键前驱物时,某些C=C和/或C≡C键可以加入形成在衬底上的阻挡膜中。C=C和/或C≡C键的存在使阻挡膜易于氧化并且降低了阻挡膜的抗蚀刻性。通过引入受控数量的氮,可以从阻挡膜中除去C=C和/或C≡C键。在阻挡膜中产生Si-N键。已经注意到受控的氮掺杂增加了稳定性而不增加电介质常数。
在步骤242,一般在清洁的和净化的处理室种固定衬底之后,前驱物流入处理室。前驱物包括硅源、氮源、包括单碳-碳(C-C)键、双碳-碳键(C=C)、三碳-碳键(C≡C),或其组合的碳-碳键源。
在步骤244,施加RF功率,例如RF功率,并且在处理室中产生前驱物的低密度等离子体。设置RF功率产生低的轰击以能够使前驱物中的物质反应并保留至少部分碳-碳键。结果是,含碳-碳键的膜形成在正被处理的衬底上。
适当的氮源可以是不含氧的含氮气体或化合物,例如氮气(N2)、氨(NH3)或其组合。
在一个实施例中,从步骤210和步骤242中使用的前驱物中最小化或清除氧,使得Si-O键在阻挡膜中最小化或被清除。
与传统的阻挡膜相比,本发明的阻挡膜一般具有降低的电介质常数,例如小于或等于4.0,提高的抗蚀刻性,和增强的抗铜的抗扩散性。本发明的阻挡膜可以用作任何传统阻挡层,例如金属结构与周围低k电介质材料之间的电介质阻挡层。
在一个实施例中,在本发明的阻挡膜中通过调整使用的沉积阻挡膜的等离子体密度来产生空隙。随着空隙的增加,由于高碳含量的缘故,本发明的阻挡膜保持高抗蚀刻性。另外,在阻挡膜中的高碳浓度使得阻挡膜具有憎水性。在一个实施例中,本发明的阻挡膜可以制成多孔的,并且可以用作在使用湿蚀刻溶液蚀刻阻挡膜下面的材料时的膜。
例子
下面的实施例阐明根据本发明一个实施例的阻挡膜的沉积。
例1
用于沉积包含碳化硅的多孔电介质层的PECVD沉积处理使用包括三甲基硅烷(TMS,(CH3)3SiH)和乙烯(C2H4)组合物的前驱物。将载气、例如氦、氩、氮或其组合物与前驱物一起导入处理室。
设置TMS和乙烯的比例使得混合物中碳的原子比大于15%。在一个实施例中,乙烯和TMS的比例在大约0.5:1到大约8:1之间。在另一个实施例中,乙烯和TMS的比例在大约1:1到大约4:1之间。处理参数如下:
流速(包括前驱物和载气):在大约5sccm到大约10,000sccm之间,其中载气的流速可以在大约5sccm到大约10,000sccm之间。
间距:从大约200密耳(mils)到大约2000密耳;
温度:在大约100℃到大约550℃之间,或大约200℃到大约350℃之间;
室压力:在大约10mTorr到一个大气压之间;
RF功率:从大约15W到大约3,000W之间。在一个实施例中,RF功率可以在大约500W以下。
例2
用于沉积包含碳化硅的多孔电介质层的PECVD沉积处理使用包括三甲基硅烷(TMS,(CH3)3SiH)、乙烯(C2H4)和氨(NH3)的组合物的前驱物。在一个实施例中,乙烯和TMS的比例在大约3:1到大约5:1之间。氨和TMS的比例可以在大约1:10到大约10:1之间。在另一个实施例中,氨和TMS的比例可以在大约1:4到大约3:1之间。处理参数如下:
流速(包括前驱物和载气):在大约5sccm到大约10,000sccm之间,其中在载气的流速可以在大约5sccm到大约10,000sccm之间。
间距:从大约200毫米到大约2000毫米;
温度:在大约100℃到大约550℃之间,或大约200℃到大约350℃之间;
室压力:在大约10mTorr到一个大气压之间;
RF功率:从大约15W到大约3,000W之间。在一个实施例中,RF功率可以在大约500W以下。
例3
用于沉积包含碳化硅的多孔电介质层的PECVD沉积处理使用包括三甲基硅烷(TMS,(CH3)3SiH)和乙烯(C2H4)组合物的前驱物。设置处理条件,包括TMS和乙烯的比例,使得碳的原子比大于15%。在一个实施例中,乙烯和TMS的比例在大约1:1到大约8:1,TMS/以西前驱物和载气的流速在大约5sccm到大约10,000sccm之间,温度是大约350℃。对于这些条件,室压力是大约10mTorr到一个大气压之间,用于产生等离子体的射频(RF)功率在大约15W到大约3,000W之间,设置用于将前驱物供给被处理的衬底的衬底和喷头之间的间距,从大约200密耳到大约2000密耳。
阻挡层的优点
本发明的电介质层比传统阻挡膜具有几个优点,例如,高抗蚀刻性、低电介质常数、低紫外线吸收率、高扩散阻挡和憎水性。层的优点不仅当用作传统阻挡层时使其具有更好的阻挡性能,而且具有新的应用。
图4A是示出用与例1相似的方法形成的电介质阻挡层的电介质常数。如图4A所示,当乙烯和TMS的比例增加(C=C浓度增加)时,膜密度基本相同而电介质常数减小。因此,可以通过增加前驱物中碳-碳键的浓度获得具有更低电介质常数的膜。
图4B是示出用与例1相似的方法形成的电介质阻挡层的抗蚀刻性。如图4B所示,当乙烯和TMS的比例增加(C=C浓度增加)时,膜密度基本相同而抗蚀刻性增加。因此,可以通过增加前驱物中碳-碳键的浓度获得具有更强抗蚀刻性的膜。
图4C是示出用与例2相似的方法形成的电介质阻挡层的铜扩散阻挡性能。图4C示出根据本发明实施例的包括碳氮化硅(SiCN)的电介质层中铜的扩散剖面图。对于铜,扩散阻挡深度一般由铜浓度减小至四个数量级的距离来限定。如图4C所示,对于该阻挡膜,铜的扩散深度是大约
Figure A200810169676D0014113948QIETU
本发明的电介质阻挡膜还具有紫外线(UV)能量的低吸收率,因此与传统的阻挡膜例如传统的SiCN膜相比,当暴露在UV下时产生更小的应力。即使电介质阻挡膜的形成不需要UV固化,在随后的处理中电介质阻挡膜也可能暴露在UV下,例如随后的中间电介质层的固化。低UV吸收率导致更低的结构变化,因此在结构中具有更小的应力。
阻挡层的应用
如上所讨论,本发明的阻挡膜不仅当用作传统的阻挡膜时改善其阻挡性能,而且具有新的应用。图5示出根据本发明一个实施例的包括通过电介质阻挡层形成的气隙的衬底堆叠体300。
衬底堆叠体300包括衬底301,其中器件、例如晶体管形成在衬底301上。接触层302可以形成在形成器件后的基板301上。接触层302一般是具有形成在其上的导电元件303的电介质层。设置导电元件303以与形成在衬底301中的器件电连接。一般包括交替沟槽层和导电材料和电介质材料的通路层的多极结构形成在接触层302上,以为衬底301中器件提供电路。沟槽层一般指其中具有导电线的电介质层。通路层是具有小金属通路的电介质材料层,小金属通路提供从一个沟道层到另一个沟道层的电通路。
如图5所示,蚀刻终止层304沉积在整个接触层302上,第一电介质层305、例如二氧化硅层沉积在蚀刻终止层304上。设置蚀刻终止层304以在随后蚀刻步骤期间保护接触层304。蚀刻终止层304可以是碳化硅层。
沟槽306形成在第一电介质层305和蚀刻终止层304中,用本领域技术人员已知的任何传统方法形成沟道306,例如使用其后跟随蚀刻的使用光刻胶的图案化。
然后保形电介质阻挡膜307沉积在包括沟槽306侧壁的衬底的整个顶表面。设置保形电介质阻挡膜307作为阻挡层来保护金属结构,例如之后在随后的处理期间由湿蚀刻化学处理形成的铜线。另外,保形电介质膜307还对周围气隙形成之后沟槽306中形成的金属结构提供机械支撑。在一个实施例中,保形电介质阻挡膜307包括电介质材料,例如氮化硼(BN)、氮化硅(SiN)、碳化硅(SiC)、碳氮化硅(SiCN)、氮硼化硅(SiBN)、或其组合物。
保形电介质阻挡膜307是通过等离子体增强化学气相沉积(PECVD)处理形成的、具有k值小于大约5.0的氮化硼(BN)层。保形电介质阻挡膜307可以具有大约
Figure A200810169676D0015114008QIETU
到大约
Figure A200810169676D0015114017QIETU
的厚度。沉积氮化硼层可以包括从含硼前驱物形成含硼膜,和用含氮前驱物处理含硼膜。可以在存在或不存在等离子体的情况下形成含硼膜。含硼前驱物可以是二硼烷(B2H6)、环硼氮烷(B3N3H6)、或烷基取代的环硼氮烷的衍生物。处理含硼膜可以选自由等离子处理、紫外线(UV)固化处理、热退火处理或其组合形成的组中。含氮前驱物可以是氮气(N2)、氨(NH3)或肼(N2H4)。
金属阻挡层308形成在保形电介质阻挡膜307上。设置金属阻挡层308来防止之后沉积在沟槽306中的金属线与周围结构之间的扩散。金属阻挡层308可以包括钽(Ta)和/或氮化钽(TaC)。
然后用包括一种或多种金属材料,例如铜的导电线309填充沟槽306。可以进行干蚀刻步骤来从沟槽306的整个或部分底壁清除金属阻挡层308和保形电介质层307,使得导电线309可以与接触层302中的导电元件303直接接触。沉积导电线309可以包括形成导电种晶层和在导电种晶层上沉积金属。导电线309可以包括铜(Cu)、铝(Al)或具有期望的导电性的任何金属。
在导电线309、金属阻挡层308和保形电介质阻挡膜307上进行化学机械研磨(CMP)处理,以暴露电介质层305。
在导电线309上形成自调准封堵层310。设置自调准封堵层310作为防止导电线309上表面物质的扩散。对于含铜的导电线309,自调准封堵层310可以包括钴(Co)、钨(W)或磷(P)。自调准封堵层310可以防止铜和氧的扩散。自调准封堵层310可以由化学沉积形成。
多孔电介质阻挡层311沉积在导电线309和保形电介质阻挡膜307上。多孔电介质阻挡层311可以是k<4.0的低k电介质材料。多孔电介质阻挡层311可以用根据本发明实施例的方法沉积。在一个实施例中,可以通过减少或清除多孔电介质阻挡层311中的Si-O键获得低湿蚀刻率。多孔电介质层可以包括不含有硅-氧键(Si-O)的碳化硅(SiC)、碳氮化硅(SiCN)或其组合物。在一个实施例中,多孔电介质阻挡层311可以具有大约
Figure A200810169676D0016114049QIETU
到大约
Figure A200810169676D0016114052QIETU
的厚度。
多孔电介质阻挡层311允许蚀刻溶液透过,例如稀释的氢氟酸(DHF)溶液,来浸润可清除层,例如下面的第一电介质层305,以形成气隙。多孔电介质阻挡层311一般具有低的湿蚀刻率,使得与蚀刻溶液接触不影响结构。在一个实施例中,多孔电介质阻挡层311还作为导电线309中的金属,例如铜的扩散阻挡层。在一个实施例中,多孔电介质阻挡层311是憎水的,因此,使得来自湿蚀刻处理的残留和污染最小化。在一个实施例中,通过控制多孔电介质阻挡层311中碳的含量来得到多孔电介质阻挡层311的憎水性。
可以产生图案以暴露要形成气隙的区域。可在多孔电介质阻挡层311上沉积光刻胶层312。然后对光刻胶层312中通过孔313暴露的多孔电介质层311部分的图案进行显影。用图案限定导电线309之间的距离在特定范围的区域中的气隙。气隙对降低邻近堆叠导电线309之间的电介质材料的k值最有效。当导电线309之间的距离相对大时,由于邻近导电线309之间的大间距,邻近导电线309之间的电容连接小,因此不必用气隙降低k值。可选择地,在远离的金属结构之间形成气隙,例如通路层中具有大间距或通路的导电线309,可以有效集成机械结构。因此,在这个步骤中形成的气隙将气隙限定在特定范围。在一个实施例中,气隙可以形成在邻近的导电线309之间,其中导电线309之间的距离在大约5nm到大约200nm之间。
进行湿蚀刻处理形成气隙。第一电介质层305的部分通过由孔313暴露的多孔电介质阻挡层311接触蚀刻溶液,例如DHF,并且完全或部分地蚀刻掉形成气隙314。在一个实施例中,DHF溶液包括6份水和1份氟化氢。还可以用其它的湿蚀刻化学试剂,例如硼氢氟酸(BHF、NH4F、+HF、+H2O)来通过多孔电介质阻挡层311蚀刻第一电介质层305。蚀刻溶液通过多孔电介质阻挡层311达到第一电介质层305,如箭头315和316所示,通过多孔电介质阻挡层311清除蚀刻产物。
形成气隙314之后,可以进行清洁处理以清除光刻胶层和任何残余物。中间电介质层可以沉积在用于制备下一金属层的多孔电介质阻挡层311上。
本发明的多孔电介质阻挡膜允许蚀刻溶液透过,并用作允许湿蚀刻溶液浸润到下面的可清除电介质层来形成气隙的膜。为了形成气隙,本发明的多孔电介质阻挡膜克服传统气隙形成方法的几个缺点。特别是,用湿蚀刻化学处理、例如DHF和BHF除去形成的电介质材料、例如SiO2来形成气隙。在随后的处理步骤中,所有可置换材料将被清除,在结构中保留的可置换材料可能产生可靠性问题。本发明所有的湿蚀刻方法是可选择的并且通过光刻和图案化步骤仅施加到选定区域。例如,气隙可以形成在两相邻金属线间间距长度是10nm和200nm之间的密集金属区域中。
用多孔电介质层形成气隙的详细描述在2007年10月9日提交的、题为“Method for Forming an Air Gap in Multilevel Interconnect Structure”的美国专利No.11/869,409中可以找到,在此援引该美国专利作为参考。
当上述的是对本发明实施例的描述,本发明的其他和进一步的实施例不偏离本发明基本范围,通过附带的权利要求限定了本发明的范围。

Claims (20)

1、一种处理半导体衬底的方法,包括:
将前驱物流入处理室,其中所述前驱物包括硅-碳键和碳-碳键;以及
在所述处理室中产生所述前驱物的低密度等离子体,以在所述半导体衬底上形成具有碳-碳键的电介质阻挡膜,其中所述前驱物中至少部分碳-碳键保持在所述低密度等离子体中并且混入所述电介质阻挡膜。
2、根据权利要求1的方法,其中所述前驱物包括单碳-碳(C-C)键源、双碳-碳键(C=C)源、三碳-碳键(C≡C)源,或其组合。
3、根据权利要求2的方法,其中所述前驱物还包括氮。
4、根据权利要求3的方法,其中通过设置RF功率低于500W来产生所述低密度等离子体。
5、根据权利要求2的方法,其中所述前驱物包括有机硅化合物和碳氢化合物的混合物。
6、根据权利要求5的方法,其中所述前驱物包括具有下列结构式的碳-碳键的化合物:
Figure A200810169676C00021
其中R1、R2、R3、R4、R5、R6、R7、R8、R9和R10是各自独立的H、CH3、C2H5或任何烷基中的一个。
7、根据权利要求5的方法,其中所述前驱物包括具有下列结构式的碳-碳键的化合物:
Figure A200810169676C00022
Figure A200810169676C00031
其中R1、R2、R3、R4、R5、R6、R7、和R8是各自独立的H、CH3或任何烷基。
8、根据权利要求5的方法,其中所述前驱物除了包括所述有机硅碳化合物外,还包括硅源。
9、根据权利要求5的方法,其中所述前驱物包括三甲基硅烷和含有碳-碳键的碳氢化合物。
10、根据权利要求9的方法,其中所述碳氢化合物是乙烯、丙炔或其组合物。
11、根据权利要求5的方法,其中所述前驱物包括乙烯(C2H4)和三甲基硅烷。
12、根据权利要求11的方法,其中所述前驱物还包括氮气(N2)、氨(NH3)或其组合物。
13、一种处理半导体衬底的方法,包括
在第一电介质层中形成沟槽,其中所述沟槽在其中保留导电材料;
用保形电介质阻挡膜涂覆所述沟槽;
用金属阻挡膜在保形电介质阻挡膜上涂覆所述沟槽;
在所述金属阻挡膜上沉积导电材料来填充所述沟槽;
均夷所述导电材料以暴露所述第一电介质层;
在所述导电材料和第一电介质层上沉积多孔电介质阻挡层,其中所述多孔电介质阻挡层包括碳-碳键和硅-碳键,并且所述多孔电介质阻挡层具有比所述第一电介质层更高的抗湿蚀刻性;以及
通过多孔电介质阻挡层用湿蚀刻溶液沾染第一电介质层以在所述沟槽之间形成气隙,其中所述保形电介质阻挡膜作为抗湿蚀刻溶液的阻挡层和蚀刻终止层。
14、根据权利要求13的方法,其中所述多孔电介质阻挡层包括碳化硅、碳氮化硅或其组合物。
15、根据权利要求14的方法,其中沉积所述多孔电介质层包括:
将前驱物流入处理室,其中所述前驱物包括硅-碳键和碳-碳键;以及
在所述处理室中产生所述前驱物的低密度等离子体,以在所述半导体衬底上形成具有碳-碳键的所述多孔电介质阻挡膜,其中所述前驱物中至少部分碳-碳键保持在所述低密度等离子体中并且混入所述多孔电介质阻挡膜。
16、根据权利要求15的方法,其中所述前驱物包括单碳-碳(C-C)键源、双碳-碳键(C=C)源、三碳-碳键(C≡C)源或其组合。
17、根据权利要求16的方法,其中所述前驱物包括比例为大约8:1的乙烯和三甲基硅烷。
18、根据权利要求16的方法,其中所述前驱物包括乙烯、三甲基硅烷和氨。
19、根据权利要求14的方法,其中所述多孔电介质阻挡层不包括Si-O键。
20、根据权利要求19的方法,其中所述保形电介质阻挡膜包括氮化硼、氮化硅、碳化硅、碳氮化硅、氮化硅硼或其组合物。
CN2008101696768A 2007-10-09 2008-10-09 得到具有优良抗蚀刻性的低k电介质阻挡层的方法 Expired - Fee Related CN101419915B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/869,416 US7964442B2 (en) 2007-10-09 2007-10-09 Methods to obtain low k dielectric barrier with superior etch resistivity
US11/869,416 2007-10-09

Publications (2)

Publication Number Publication Date
CN101419915A true CN101419915A (zh) 2009-04-29
CN101419915B CN101419915B (zh) 2012-12-05

Family

ID=40523641

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101696768A Expired - Fee Related CN101419915B (zh) 2007-10-09 2008-10-09 得到具有优良抗蚀刻性的低k电介质阻挡层的方法

Country Status (5)

Country Link
US (1) US7964442B2 (zh)
JP (2) JP2009170872A (zh)
KR (1) KR101183641B1 (zh)
CN (1) CN101419915B (zh)
TW (1) TWI394215B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194739A (zh) * 2010-03-15 2011-09-21 台湾积体电路制造股份有限公司 内连线结构的形成方法
CN102420178A (zh) * 2011-07-01 2012-04-18 上海华力微电子有限公司 一种避免光阻中毒的碳化硅薄膜新工艺
CN102610555A (zh) * 2011-09-09 2012-07-25 上海华力微电子有限公司 一种避免光阻变性的无氮碳化硅薄膜工艺
CN102891101A (zh) * 2011-07-18 2013-01-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2910178B1 (fr) * 2006-12-15 2009-05-15 St Microelectronics Sa Procede de realisation d'un element dielectrique poreux et element dielectrique correspondant
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG183291A1 (en) 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
JP2012074651A (ja) * 2010-09-30 2012-04-12 Renesas Electronics Corp 半導体装置、及び、その製造方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10604660B2 (en) 2010-10-05 2020-03-31 Silcotek Corp. Wear resistant coating, article, and method
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
KR20170124621A (ko) 2011-12-20 2017-11-10 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6602263B2 (ja) * 2016-05-30 2019-11-06 株式会社東芝 半導体装置、半導体装置の製造方法、インバータ回路、駆動装置、車両、及び、昇降機
US9748175B1 (en) * 2016-11-18 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure in semiconductor structure and method for forming the same
KR102615163B1 (ko) * 2018-07-24 2023-12-15 램 리써치 코포레이션 실리콘-함유 전구체 및 탄소-함유 전구체를 사용한 탄화 실리콘 막들의 리모트 플라즈마 기반 증착
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
EP3654372B1 (en) * 2018-11-13 2021-04-21 IMEC vzw Method of forming an integrated circuit with airgaps and corresponding integrated circuit
CN111621735B (zh) * 2020-06-30 2023-03-17 中国航发动力股份有限公司 一种dd5单晶表面金属涂层阻扩散层制备方法
KR20220026627A (ko) * 2020-08-25 2022-03-07 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법
US20240087881A1 (en) * 2022-08-26 2024-03-14 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670945A (en) * 1995-07-06 1997-09-23 Applonie; Alan R. Self-monitoring hand-sanitizing station
TW308719B (zh) * 1995-10-23 1997-06-21 Dow Corning
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP2004342688A (ja) * 2003-05-13 2004-12-02 Mitsui Chemicals Inc 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
JP2005183766A (ja) * 2003-12-22 2005-07-07 Hitachi Ltd 半導体装置及びその製造方法
JP2005203568A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7326444B1 (en) * 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4197694B2 (ja) * 2005-08-10 2008-12-17 株式会社東芝 半導体装置およびその製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194739A (zh) * 2010-03-15 2011-09-21 台湾积体电路制造股份有限公司 内连线结构的形成方法
US8993435B2 (en) 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
CN105679651A (zh) * 2010-03-15 2016-06-15 台湾积体电路制造股份有限公司 内连线结构的形成方法
CN102420178A (zh) * 2011-07-01 2012-04-18 上海华力微电子有限公司 一种避免光阻中毒的碳化硅薄膜新工艺
CN102891101A (zh) * 2011-07-18 2013-01-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102891101B (zh) * 2011-07-18 2015-05-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102610555A (zh) * 2011-09-09 2012-07-25 上海华力微电子有限公司 一种避免光阻变性的无氮碳化硅薄膜工艺

Also Published As

Publication number Publication date
US20090093132A1 (en) 2009-04-09
US7964442B2 (en) 2011-06-21
TW200931522A (en) 2009-07-16
CN101419915B (zh) 2012-12-05
KR101183641B1 (ko) 2012-09-17
TWI394215B (zh) 2013-04-21
KR20090036533A (ko) 2009-04-14
JP2009170872A (ja) 2009-07-30
JP2013102174A (ja) 2013-05-23

Similar Documents

Publication Publication Date Title
CN101419915B (zh) 得到具有优良抗蚀刻性的低k电介质阻挡层的方法
US11264234B2 (en) Conformal deposition of silicon carbide films
KR102500935B1 (ko) 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
US10297442B2 (en) Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102582838B1 (ko) 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
KR102515238B1 (ko) 실리콘 카바이드 막들의 컨포멀한 증착
CN101431047B (zh) 用于在多级互连结构中形成空气间隙的方法
CN100550318C (zh) 最小化湿法蚀刻底切度并提供极低k值(k&lt;2.5)电介质封孔的方法
KR102615163B1 (ko) 실리콘-함유 전구체 및 탄소-함유 전구체를 사용한 탄화 실리콘 막들의 리모트 플라즈마 기반 증착
CN112469846B (zh) 使用异质前体相互作用的硅碳化物膜的保形沉积

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20121205

Termination date: 20141009

EXPY Termination of patent right or utility model