TWI394215B - 獲得具有較佳抗蝕性之低k介電阻障層的方法 - Google Patents

獲得具有較佳抗蝕性之低k介電阻障層的方法 Download PDF

Info

Publication number
TWI394215B
TWI394215B TW097138997A TW97138997A TWI394215B TW I394215 B TWI394215 B TW I394215B TW 097138997 A TW097138997 A TW 097138997A TW 97138997 A TW97138997 A TW 97138997A TW I394215 B TWI394215 B TW I394215B
Authority
TW
Taiwan
Prior art keywords
carbon
precursor
dielectric barrier
barrier film
bond
Prior art date
Application number
TW097138997A
Other languages
English (en)
Other versions
TW200931522A (en
Inventor
Huiwen Xu
Yijun John Liu
Li-Qun Xia
Derek R Witty
Saad Hichem M
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200931522A publication Critical patent/TW200931522A/zh
Application granted granted Critical
Publication of TWI394215B publication Critical patent/TWI394215B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

獲得具有較佳抗蝕性之低K介電阻障層的方法
本發明的實施例一般涉及積體電路的製造。尤其是,本發明的實施例涉及一種形成用於製造半導體元件的介電阻障薄膜之方法。
自從數十年前積體電路元件首次出現以來,積體電路元件的幾何尺寸急遽減小。此後,積體電路一般係遵循兩年/一半尺寸(two year/half-size)的規則(一般稱為Moore’s Law),這意味著晶片上元件的數量每兩年就會雙倍成長。現今的製造工廠係常規地生產具有0.1μm特徵結構(feature)尺寸的元件,而不久未來的工廠將生產具有更小特徵結構尺寸的元件。
元件幾何的持續縮小已產生對於具有低介電常數(k)值之薄膜的需求,這是因為必須縮小相鄰金屬線之間的電容耦接以進一步縮小積體電路上元件的尺寸。特別地,期望具有小於約3.0介電常數的絕緣體(insulator)。具有低介電常數的絕緣體包括:旋塗式玻璃(spin-on glass)、多孔薄膜、碳摻雜氧化矽和聚四氟乙烯(PTFE),上述各者皆可以在市面上購得。
然而,低k介電材料通常易受到導電材料(例如銅)之層間擴散(interlayer diffusion)的影響,而此可能會導致短路和元件失效。介電阻障/襯墊材料一般係設置在金屬結構和周圍的低k介電材料之間,以防止金屬和副產物的層間擴散。然而,習知的介電阻障材料,例如氮化矽,一般具有高達7或更高的介電常數。這種高k介電材料與周圍的低k介電材料的組合會導致具有比預期介電常數更高的介電堆疊。
例如藉由添加甲基化合物或進行氧摻雜,則可使阻障層具有多孔性(porosity),以降低介電常數。然而,習知使阻障層具有多孔性的方法一般會導致蝕刻選擇性和阻障特性的喪失。
因此,需要一種產生不會犧牲阻障性能和抗蝕性的低k介電阻障層的方法。
本發明一般提供一種形成介電阻障層的方法,該介電阻障層具有降低的介電常數、提高的抗蝕性和良好的阻障效能。
一個實施例係提供一種處理半導體基板的方法,該方法包括:將前驅物流至處理室,其中前驅物包括矽-碳鍵和碳-碳鍵;以及在處理室中產生前驅物的低密度電漿,以在半導體基板上形成具有碳-碳鍵的介電阻障薄膜,其中前驅物中至少部分的碳-碳鍵係保持在低密度電漿中,並且併入介電阻障薄膜中。
另一個實施例係提供一種處理半導體基板的方法,該方法包括:在第一介電層中形成溝槽,其中該些溝槽係配置以將導電材料保留在其中;以一保形介電阻障薄膜作為溝槽的襯墊;以一在保形介電阻障薄膜上的金屬阻障薄膜作為溝槽的襯墊;在金屬阻障薄膜上沉積導電材料以填充溝槽;平坦化該導電材料以暴露出第一介電層;在導電材料和第一介電層上沉積多孔介電阻障層,其中多孔介電阻障層包括碳-碳鍵和矽-碳鍵,並且多孔介電阻障層具有比第一介電層較高的抗濕式蝕刻性;以及透過多孔介電阻障層而使第一介電層與濕式蝕刻溶液接觸,以在溝槽之間形成氣隙,其中保形介電阻障薄膜係作為針對濕式蝕刻溶液的阻障層和蝕刻終止層。
本發明的實施例一般提供一種形成阻障層的方法,該阻障層具有降低的介電常數、提高的抗蝕性和良好的阻障特性。本發明的實施例包括形成碳化矽系(based)的阻障層,該阻障層包括矽-碳和碳-碳鍵,例如碳-碳單鍵(C-C)、碳-碳雙鍵(C=C)、碳-碳三鍵(C≡C),或其組合。本發明的阻障薄膜的介電常數藉由碳-碳鍵的存在而降低,這是由於碳-碳鍵減小了極化現象。本發明的阻障薄膜一般具有小於或等於4.0的介電常數。同時,阻障薄膜的抗蝕性由於碳濃度的增加而增加。由於保持住密度和碳濃度,則可提高阻障效能,例如抗銅擴散的阻障效能。
本發明的阻障層可以使用電漿輔助化學氣相沉積(PECVD)來產生。將包括一種或多種化合物且可提供矽-碳鍵或碳-碳鍵的前驅物流入PECVD室。將射頻(RF)功率施加至前驅物以在PECVD室中產生低密度電漿。控制RF功率,使得前驅物中至少一部分的碳-碳鍵得以保存,並且併入設置在PECVD室的基板中。當需要多孔性時,亦可使用低密度電漿以產生多孔性。
用於產生阻障層的室
本發明的實施例可以在PECVD室中進行,例如購自加州聖克拉拉的應用材料公司(Applied Materials,Inc.)之SE CVD系統或CVD系統。
第1圖示出根據本發明實施例的示例性PECVD系統100的截面側視圖。
PECVD系統100一般包括用於支撐室蓋104的室體102,且室蓋104可藉由鉸鏈而附接至室體102。室體102包括界定出處理區域120的側壁112和底壁116。室蓋104包括穿設於其中的一個或多個氣體分配系統108,以將反應物和清潔氣體傳送至處理區域120中。在側壁112中形成且耦接至抽氣系統164的周邊抽氣通道125係配置以將氣體排出處理區域120,並控制處理區域120內的壓力。在底壁116中形成有兩個通道122和124。用於支撐和加熱待處理的基板之加熱器底座128的軸桿126係通過通道122。桿130係配置以驅動基板升舉銷161通過通道124。
加熱器底座128藉由與軸桿126相連的驅動系統103而可移動地設置在處理區域120中。加熱器底座128可以包括加熱元件,例如電阻元件,以加熱設置在其上的基板至預定製程溫度。可選擇地,加熱器底座128可以藉由外側加熱元件(例如燈組件)來加熱之。驅動系統103可以包括線性致動器或馬達和減速齒輪組件(reduction gearing assembly),以將加熱器底座128在處理區域120中降低或升高。
室襯墊127(較佳係由陶瓷或類似物製成)係設置在處理區域120中以保護側壁112免受腐蝕性處理環境的影響。室襯墊127可以藉由形成在側壁112中的突出部129所支撐。在室襯墊127上可以形成複數個排氣口131且該複數個排氣口131係配置將處理區域120連接至抽氣通道125。
配置以輸送反應物及清潔氣體的氣體分配系統108係穿設於室蓋104,以將氣體傳送至處理區域120中。氣體分配系統108包括氣體入口通道140,該通道140係將氣體輸送至噴氣頭組件142中。噴氣頭組件142包括環形基底板148,該基底板148具有設置在面板146中間的阻擋板144。連接至噴氣頭組件142的RF(射頻)源165係提供偏壓電位(bias potential)給噴氣頭組件142,以協助在噴氣頭組件142的面板146和加熱器底座128之間產生電漿。RF源165一般包括高頻射頻(HFRF)功率源,例如13.56MHz RF產生器,和低頻射頻(LFRF)功率源,例如300kHz RF產生器。LFRF功率源提供低頻產生和固定的匹配元件。HFRF功率源係設計以與固定的匹配一道使用,並調整輸送至負載的功率,以消除順向功率和反射功率(forward and reflected power)的影響。
在氣體分配系統108的基底板148中形成冷卻通道147,以在操作期間冷卻基底板148。冷卻入口145輸送冷卻劑流體(例如水等)至冷卻通道147。冷卻劑流體通過冷卻劑出口149而離開冷卻通道147。
室蓋104還包括相配之通道,以將來自一個或多個氣體源172和遠端電漿源162的氣體輸送至設置在室蓋104頂端的氣體入口岐管167。
遠端電漿源162一般連接至前驅物源163、載氣源168和功率源169。載氣(例如氬、氮、氦、氫或氧等)可以流入到遠端電漿源162和處理區域120中,以助於激發物種的傳輸及/或在清潔處理中提供協助,或幫助初始化和/或穩定處理區域120中的電漿。
可以透過氣體入口岐管167將一個或多個處理氣體輸送至處理區域120。PECVD系統一般包括一個或多個前驅物輸送系統。PECVD系統100可包括配置以提供載氣及/或前驅物氣體之一個或多個液體輸送氣體源150和一個或多個氣體源172。
PECVD系統100還包括系統控制器171,該系統控制器171係配置以調整操作參數。在一個實施例中,系統控制器171係配置以調整一個或多個液體輸送氣體源150、一個或多個氣體源172和RF源165。
根據所使用的製程配方(process recipe),而可將PECVD系統100配置以在基板上沉積各種薄膜。相似的PECVD系統的更加詳細地描述可參見美國專利第5,855,681、6,495,233和6,364,954號,在此將其併入以作為參考。
用於產生阻障層的製程
第2圖是示出根據本發明之一個實施例的製程順序200的流程圖。
在步驟210中,一般在將基板置於經過清潔和淨化的處理室中之後,將前驅物流入處理室。前驅物包括一種或多種化合物,而該些化合物係提供矽-碳鍵,和包括碳-碳單鍵(C-C)、碳-碳雙鍵(C=C)、碳-碳三鍵(C≡C),或其組合的碳-碳鍵。
在步驟220中,施加電磁功率(例如RF功率),並且在處理室中產生前驅物的低密度電漿。RF功率係經配置以產生低的轟擊,而能夠使得前驅物中的物種產生反應並保留至少部分的碳-碳鍵。結果,含有碳-碳鍵的阻障膜係形成在正被處理的基板上。在一個實施例中,低密度電漿可以藉由控制產生電漿的RF源之功率層級及/或控制室的壓力來調整之。在一個實施例中,可以調整功率層級及/或室的壓力,以控制阻障薄膜中碳-碳鍵與矽-碳鍵的比例。在一個實施例中,本發明的阻障薄膜中碳-碳鍵與矽-碳鍵的比例可以在約10%到約15%之間。
在前驅物中的碳-碳鍵來源可以包括含碳-碳鍵的烴、含碳-碳鍵的Si-C化合物,或其組合。
含碳-碳鍵的氫化碳(carbon hydride)可以是任何含有碳-碳單、雙或三鍵的氫化碳,例如乙烯(C2 H4 )或丙炔(C3 H4 )。
含碳-碳鍵和矽-碳鍵的化合物可以是任何含有碳-碳單、雙或三鍵的矽碳化合物。
含碳-碳鍵和矽-碳鍵的化合物之實例可以是下式的含單碳-碳鍵的Si-C化合物:
其中R1 、R2 、R3 、R4 、R5 、R6 、R7 、R8 、R9 和R10 是各自獨立的H、CH3 、C2 H5 或任何烷基中的一個。
含碳-碳鍵的Si-C化合物的實例還可以是下式的含碳-碳雙鍵(C=C)的Si-C化合物:
其中R1 、R2 、R3 、R4 、R5 、R6 、R7 、和R8 是各自獨立的H、CH3 或任何烷基。
在一個實施例中,碳-碳鍵的來源亦是矽的來源。在另一個實施例中,前驅物可以包括添加到式(1)、(2)或(3)所示的碳-碳鍵來源中的額外矽源,例如三甲基矽烷(TMS)。
適當的矽源可以包括一種或多種無氧之有機矽化合物。例如,下列化合物中的一種或多種,以及其氟化烴的衍生物。
甲基矽烷 CH3 -SiH3
二甲基矽烷 (CH3 )2 -SiH2
三甲基矽烷(TMS) (CH3 )3 -SiH
乙基矽烷 CH3 -CH2 -SiH3
二矽烷基甲烷 SiH3 -CH2 -SiH3
雙(甲基矽烷基)甲烷CH3 -SiH2 -CH2 -SiH2 -CH3 (Bis(methylsilano)methane)
1,2-二矽烷基乙烷SiH3 -CH2 -CH2 -SiH3 (1,2-disilanoethane)
1,2-雙(甲基矽烷基)乙烷CH3 -SiH2 -CH2 -CH2 -SiH2 -CH3 (1,2-bis(methylsilano)ethane)
2,2-二矽烷基丙烷SiH3 -C(CH3 )2 -SiH3 (2,2-disilanopropane)
1,3,5-三矽烷基-2,4,6-三亞甲基-(-SiH2 -CH2 -)3 -(cyclic)(1,3,5-trisilano-2,4,6-trimethylene)
二乙基矽烷 (C2 H5 )2 SiH2
丙基矽烷 C3 H7 SiH3
乙烯基甲基矽烷 (CH2 =CH)(CH3 )SiH2
1,1,2,2-四甲基二矽烷 HSi(CH3 )2 -Si(CH3)2 H
六甲基二矽烷 (CH3 )3 Si-Si(CH3 )3
1,1,2,2,3,3-六甲基三矽烷 H(CH3 )2 Si-Si(CH3)2 -SiH(CH3 )2
1,1,2,3,3-五甲基三矽烷 H(CH3 )2 Si-SiH(CH3 )-SiH(CH3 )2
雙(甲基矽烷基)乙烷 CH3 -SiH2 -(CH2 )2 -SiH2 -CH3
雙(甲基矽烷基)丙烷 CH3 -SiH2 -(CH2 )3 -SiH2 -CH3
雙(二甲基矽烷基)乙烷 (CH3 )2 -SiH-(CH2 )2 -SiH-(CH3 )2
雙(二甲基矽烷基)丙烷 (CH3 )2 -SiH-(CH2 )3 -SiH-(CH3 )2
其他的矽源可以參照專利名稱為「增進低k阻障層中之穩定性的方法(Method of improving Stability in Low k Barrier Layers)」的美國專利第6,790,788號,在此將其併入以作為參考。
第3圖是示出根據本發明之另一實施例的製程順序240之流程圖。製程順序240除了使用包含碳-碳鍵來源和矽源,以及氮源的前驅物以外,製程順序240與製程順序220相似。在一個實施例中,特別當在前驅物中使用碳-碳雙及/或三鍵時,氮源係配置以增加薄膜的穩定性。當使用含C=C及/或C≡C鍵之前驅物時,部分C=C及/或C≡C鍵可以併入形成在基板上的阻障薄膜中。C=C及/或C≡C鍵的存在會使阻障薄膜易於氧化並且降低了阻障薄膜的抗蝕性。藉由引入受控量的氮,則可以從阻障薄膜中消除C=C和/或C≡C鍵。阻障薄膜中可能產生Si-N鍵。已經注意到受控的氮摻雜係增加了穩定性而不會使介電常數增加。
在步驟242中,一般在將基板放置在經過清潔及淨化的處理室中之後,將前驅物流入處理室。前驅物包括矽源、氮源、包括碳-碳單鍵(C-C)、碳-碳雙鍵(C=C)、碳-碳三鍵(C≡C),或其組合的碳-碳鍵的來源。
在步驟244中,施加RF功率,並且在處理室中產生前驅物的低密度電漿。RF功率係經配置以產生低的轟擊,以使得前驅物中的物種產生反應,並保留至少部分的碳-碳鍵。結果,含碳-碳鍵的薄膜係形成在正被處理的基板上。
適當的氮源可以是不含氧的含氮氣體或化合物,例如氮氣(N2 )、氨(NH3 )或其組合。
在一個實施例中,從步驟210和步驟242中使用的前驅物中使得氧最小化或消除之,藉此,阻障薄膜中的Si-O鍵也可被最小化或消除。
與習知之阻障薄膜相比,本發明的阻障薄膜一般具有降低的介電常數(例如小於或等於4.0)、提高的抗蝕性,和增強的抗銅擴散性。本發明的阻障薄膜可以用作為任何習知的阻障層,例如金屬結構與周圍低k介電材料之間的介電阻障層。
在一個實施例中,可以藉由調整用於沉積阻障薄膜的電漿密度,而在本發明的阻障薄膜中產生多孔性。隨著多孔性的增加,由於高碳含量的緣故,本發明的阻障薄膜仍然為高抗蝕性。另外,在阻障薄膜中的高碳濃度使得阻障薄膜為疏水性。在一個實施例中,本發明的阻障薄膜可以製成為多孔的,並且可以用作在使用濕式蝕刻溶液時位於阻障薄膜下方之蝕刻材料中的膜。
實例
下面的實例係闡明根據本發明之一個實施例的阻障薄膜之沉積。
實例1
用於沉積包含碳化矽的多孔介電層之PECVD沉積製程係使用包括三甲基矽烷(TMS,(CH3 )3 SiH)和乙烯(C2 H4 )之組合的前驅物。將載氣(例如氦、氬、氮或其組合)與前驅物一同導入處理室。
TMS和乙烯的比例係經設定而使得混合物中碳的原子比大於15%。在一個實施例中,乙烯和TMS的比例在約0.5:1到約8:1之間。在另一個實施例中,乙烯和TMS的比例在約1:1到約4:1之間。處理參數如下:
流速(包括前驅物和載氣):介於約5sccm到約10,000sccm之間,其中載氣的流速可介於約5sccm到約10,000sccm之間。
間距:介於約200密爾(mils)到約2000密爾;
溫度:介於約100℃到約550℃之間,或約200℃到約350℃之間;
室壓力:介於約10毫托(mTorr)到一個大氣壓之間;
RF功率:介於約15W到約3,000W之間。在一個實施例中,RF功率係低於約500W。
實例2
用於沉積包含碳化矽的多孔介電層之PECVD沉積製程係使用包括三甲基矽烷(TMS,(CH3 )3 SiH)、乙烯(C2 H4 )和氨(NH3 )的組合之前驅物。在一個實施例中,乙烯和TMS的比例在約3:1到約5:1之間。氨和TMS的比例可以在約1:10到約10:1之間。在另一個實施例中,氨和TMS的比例可以在約1:4到約3:1之間。處理參數如下:
流速(包括前驅物和載氣):介於約5sccm到約10,000sccm之間,其中在載氣的流速係介於約5sccm到約10,000sccm之間。
間距:介於約200毫米到約2000毫米;
溫度:介於約100℃到約550℃之間,或約200℃到約350℃之間;
室壓力:介於約10毫托到一個大氣壓之間;
RF功率:介於約15W到約3,000W之間。在一個實施例中,RF功率係低於約500W。
實例3
用於沉積多孔介電阻障層的PECVD沉積製程係使用包括三甲基矽烷(TMS,(CH3 )3 SiH)和乙烯(C2 H4 )之組合的前驅物。設定處理條件,包括TMS和乙烯的比例,使得碳的原子比大於15%。在一個實施例中,乙烯和TMS的比例介於約1:1到大約8:1,TMS/乙烯前驅物和載氣的流速介於約5sccm到約10,000sccm之間,溫度是約350℃。對於這些條件,室壓力係介於約10毫托到一個大氣壓之間,用於產生電漿的射頻(RF)功率在約15W到約3,000W之間,基板和噴氣頭(配置以將前驅物提供至被處理的基板)之間的間距係介於約200密爾到約2000密爾。
阻障層的優點
本發明的介電阻障薄膜相較於習知阻障薄膜而具有幾個優點,例如,高抗蝕性、低介電常數、低紫外線吸收率、高擴散阻障性和疏水性。薄膜的優點不僅在其當作傳統阻障薄膜使用時,具有更好的阻障性能,而且具有新的應用。
第4A圖示出使用與實例1相似的配方所形成的介電阻障層的介電常數之圖表。如第4A圖所示,當乙烯和TMS的比例增加(C=C濃度增加)時,薄膜密度仍然實質相同,而介電常數降低。因此,可以藉由增加前驅物中之碳-碳鍵的濃度而獲得具有更低介電常數的薄膜。
第4B圖示出使用與實例1相似的配方所形成的介電阻障層的抗蝕性。如第4B圖所示,當乙烯和TMS的比例增加(C=C濃度增加)時,薄膜密度仍然實質相同,而抗蝕性增加。因此,可以藉由增加前驅物中之碳-碳鍵的濃度而獲得具有較強抗蝕性的薄膜。
第4C圖示出使用與實例2相似的配方所形成的介電阻障層之銅擴散阻障特性。第4C圖示出根據本發明之實施例的包括氮化碳矽(SiCN)的介電薄膜中之銅的擴散分佈。對於銅,擴散阻障深度一般由銅濃度減小四個量級的距離來限定。如第4C圖所示,對於該阻障薄膜,銅的擴散深度為約100
本發明的介電阻障薄膜還具有紫外線(UV)能量的低吸收率,因此與傳統的阻障薄膜(例如傳統的SiCN薄膜)相比,當暴露在UV下時會產生較小的應力。即使介電阻障薄膜的形成不需要UV硬化(cure),在隨後的製程中,介電阻障薄膜也可能暴露在UV下,例如隨後的層間介電層的固化。低UV吸收率會導致較少的結構變化,因此在基板中產生較小應力。
阻障層的應用
如上所討論者,本發明的阻障薄膜不僅在當作傳統的阻障薄膜使用時可改善其阻障性能,而且具有新的應用。第5圖示出根據本發明之一個實施例的包括形成穿過介電阻障層的氣隙之基板堆疊300。
基板堆疊300包括基板301,其中例如電晶體之元件係形成在基板301上。接觸層302可以在元件形成於基板301中之後,而形成在基板301上。接觸層302一般是具有形成在其中的導電元件303之介電層。導電元件303係經配置而與形成在基板301中的元件為電連接。一般包括導電材料和介電材料的交替溝槽層(trench layer)以及通孔層(via layer)之多層內連線結構係形成在接觸層302上,以為基板301中元件提供電路。溝槽層一般指具有導電線形成在其中的介電層。通孔層是具有小金屬通孔的介電層,其中小金屬通孔提供從一個溝槽層到另一個溝槽層的電通路。
如第5圖所示,蝕刻終止層304係沉積在整個接觸層302上方,第一介電層305(例如二氧化矽層)係沉積在蝕刻終止層304上方。蝕刻終止層304係配置以在隨後蝕刻步驟期間保護接觸層304。蝕刻終止層304可以是碳化矽層。
可使用本技術領域已知的任何習知方法(例如使用光阻進行圖案化,並接著進行蝕刻)而在第一介電層305和蝕刻終止層304中形成溝槽306。
然後,保形(conformal)介電阻障薄膜307係沉積在包括溝槽306側壁的基板之整個頂表面。保形介電阻障薄膜307係配置以作為阻障層,以保護在隨後的製程期間由濕蝕刻化學處理而形成在溝槽306中的金屬結構(例如銅線)。另外,在溝槽306周圍形成氣隙之後,保形介電阻障薄膜307亦對於形成在溝槽306中的金屬結構提供機械支撐。在一個實施例中,保形介電阻障薄膜307包括介電材料,例如氮化硼(BN)、氮化矽(SiN)、碳化矽(SiC)、氮化碳矽(SiCN)、氮化硼矽(SiBN)、或其組合。
保形介電阻障薄膜307是藉由電漿輔助化學氣相沉積(PECVD)製程所形成的,其為具有k值小於約5.0的氮化硼(BN)層。保形介電阻障薄膜307的厚度為約10到約200。沉積氮化硼層可以包括:從含硼前驅物形成含硼薄膜;以及利用含氮前驅物處理含硼薄膜。可以在電漿存在或不存在的情況下形成含硼薄膜。含硼前驅物可以是二硼烷(B2 H6 )、環硼氮烷(brazine;B3 N3 H6 )、或環硼氮烷的烷基取代衍生物。處理含硼薄膜之步驟可以選自由電漿處理、紫外線(UV)硬化處理、熱退火處理或其組合所組成的群組中。含氮前驅物可以是氮氣(N2 )、氨(NH3 )或肼(N2 H4 )。
金屬阻障層308係形成在保形介電阻障薄膜307上方。金屬阻障層308係配置以防止之後沉積在溝槽306中的金屬線與周圍結構之間的擴散。金屬阻障層308可以包括鉭(Ta)及/或氮化鉭(TaN)。
接著,以包括一種或多種導電材料(例如銅)的導電線309填充溝槽306。可以進行乾式蝕刻步驟以從溝槽306的整個或部分底壁而清除金屬阻障層308和保形介電阻障薄膜307,使得導電線309可以與接觸層302中的導電元件303直接接觸。沉積導電線309之步驟可以包括形成導電種晶層(seed layer)以及在導電種晶層上沉積金屬。導電線309可以包括銅(Cu)、鋁(Al)或具有期望的導電性之任何金屬。
在導電線309、金屬阻障層308和保形介電阻障薄膜307上進行化學機械研磨(CMP)處理,藉以暴露出介電層305。
在導電線309上形成自對準罩蓋層(self-aligned capping layer)310。自對準罩蓋層310係配置以防止物種跨越導電線309之上表面的擴散。對於含銅的導電線309,自對準罩蓋層310可以包括鈷(Co)、鎢(W)或磷(P)。自對準罩蓋層310可以防止銅和氧的擴散。自對準罩蓋層310可以由無電沉積(electroless deposition)形成。
多孔介電阻障層311係沉積在導電線309和保形介電阻障薄膜307上。多孔介電阻障層311可以是k<4.0的低k介電材料。多孔介電阻障層311可以使用根據本發明之實施例的方法而沉積。在一個實施例中,可以藉由減少或消除多孔介電阻障層311中的Si-O鍵而達到低濕式蝕刻速率(etching rate)。多孔介電阻障層311可以包括不含有矽-氧鍵(Si-O)的碳化矽(SiC)、氮化碳矽(SiCN)或其組合。在一個實施例中,多孔介電阻障層311的厚度係介於約10到約500
多孔介電阻障層311為可滲透的,以允許例如稀釋的氟化氫(DHF)溶液之蝕刻溶液滲透至可移除層中,例如下方的第一介電層305,以形成氣隙。多孔介電阻障層311一般具有低的濕蝕刻速率,以使得與蝕刻溶液接觸也不影響其結構。在一個實施例中,多孔介電阻障層311亦作為導電線309中的金屬(例如銅)之擴散阻障層。在一個實施例中,多孔介電阻障層311是疏水性,因此,使得來自濕式蝕刻處理的殘留物和污染最小化。在一個實施例中,可以藉由控制多孔介電阻障層311中的碳含量來獲得多孔介電阻障層311的疏水性。
可以產生圖案以暴露出要形成氣隙的區域。可在多孔介電阻障層311上沉積光阻層312。圖案接著在光阻層312中顯影,並透過孔313而暴露出部分的多孔介電層311。用圖案限定導電線309之間的距離在特定範圍的區域中之氣隙。氣隙對於降低鄰近堆疊導電線309之間的介電材料之k值最有效。當導電線309之間的距離相對大時,由於此大間距所造成鄰近導電線309之間的電容耦接小,因此不必使用氣隙來降低k值。另外,在遠離的金屬結構(例如通孔層中具有大間距或通孔的導電線309)之間形成氣隙,則可以達成機械結構的完整性。因此,在這個步驟中形成的圖案係將氣隙限定在特定範圍。在一個實施例中,氣隙可以形成在鄰近的導電線309之間,其中導電線309之間的距離在約5nm到約200nm之間。
進行濕式蝕刻處理以形成氣隙。第一介電層305的部分透過由孔313所暴露的多孔介電阻障層311而接觸蝕刻溶液(例如DHF溶液),並且完全或部分地蝕刻掉而形成氣隙314。在一個實施例中,DHF溶液包括6份水和1份氟化氫。亦可以使用其他的濕式蝕刻化學試劑,例如緩衝的氟化氫(BHF、NH4 F+HF+H2 O),而藉由多孔介電阻障層311來蝕刻第一介電層305。蝕刻溶液通過多孔介電阻障層311而到達第一介電層305,並且通過多孔介電阻障層311而移除蝕刻產物,如箭頭315和316所示。
形成氣隙314之後,可以進行清潔處理以移除光阻層312和任何殘餘物。中間介電層可以沉積在多孔介電阻障層311上,以用於製備下一金屬層。
本發明的多孔介電阻障薄膜對於濕式蝕刻化學試劑為可滲透的,並可用作為允許濕式蝕刻溶液滲透到下方的可移除介電層以形成氣隙的膜。為了形成氣隙,本發明的多孔介電阻障薄膜克服了習知氣隙形成方法的數個缺點。特別的是,使用濕式蝕刻化學試劑(例如DHF和BHF)以除去所形成的介電材料(例如SiO2 )來形成氣隙。所有用後即丟棄的材料(disposable materials)將被移除,而在結構中殘留之用後即丟棄的材料可能會在隨後的處理步驟中產生可靠性問題。本發明所使用的濕式蝕刻方法是可選擇的,並且通過光微影術(photolithography)和圖案化步驟而僅施加到選定區域。因此,氣隙的區域比例和位置可經設計以符合期望的介電值以及所需之機械強度。例如,氣隙可以形成在兩相鄰金屬線間之間距長度是10nm和200nm之間的密集金屬區域中。
使用多孔介電薄膜形成氣隙的詳細描述可參照在2007年10月9日申請的專利名稱為「在多層內連線結構中形成氣隙之方法(Method for Forming an Air Gap in Multilevel Interconnect Structure)」的美國專利申請序號第11/869,409號,在此將其併入以作為參考。
惟本發明雖以較佳實施例說明如上,然其並非用以限定本發明,任何熟習此技術人員,在不脫離本發明的精神和範圍內所作的更動與潤飾,仍應屬本發明的技術範疇。
100...系統
102...室體
103...驅動系統
104...室蓋
108...系統
112...側壁
116...底壁
120...處理區域
122,124...通道
125...抽氣通道
126...軸桿
127...襯墊
128...底座
129...突出部
130...桿
131...排氣口
140...通道
142...噴氣頭組件
144...阻擋板
145...冷卻入口
146...面板
147...冷卻通道
148...基底板
149...出口
150...氣體源
161...升舉銷
162...電漿源
163...前驅物源
164...抽氣系統
165...RF源/射頻源
167...岐管
168...載氣源
169...功率源
171...控制器
172...氣體源
200...製程順序
210,220...步驟
240...製程順序
242,244...步驟
300...基板堆疊
301...基板
302...接觸層
303...導電元件
304...蝕刻終止層
305...(第一)介電層
306...溝槽
307...阻障薄膜
308...金屬阻障層
309...導電線
310...罩蓋層
311...介電阻障層
312...光阻層
313...孔
314...氣隙
315,316...箭頭
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1圖示出根據本發明之實施例的配置以沉積介電薄膜的示例性處理室之截面側視圖。
第2圖是示出根據本發明之一個實施例的製程順序之流程圖。
第3圖是示出根據本發明之另一個實施例的製程順序之流程圖。
第4A圖是示出使用根據本發明之一個實施例的示例性配方所形成的介電阻障層之介電常數的圖表。
第4B圖是示出使用根據本發明之一個實施例的示例性配方所形成的介電阻障層之抗蝕性的圖表。
第4C圖是示出使用根據本發明之一個實施例的示例性配方所形成的介電阻障層之銅擴散阻障特性的圖表。
第5圖示出根據本發明之一個實施例的包括形成穿過介電阻障層的氣隙之基板堆疊。
為便於了解,圖式中相同的元件符號表示相同的元件。某一實施例採用的元件當不需特別詳述而可應用到其他實施例。
200...製程順序
210,220...步驟

Claims (3)

  1. 一種處理一半導體基板的方法,包括以下步驟:將一前驅物流入一處理室中,其中該前驅物包括一有機矽化合物與一碳氫化合物的一混合物,該有機矽化合物包括矽-碳鍵與碳-碳鍵,而該碳氫化合物包括碳-碳鍵,且其中該前驅物包含一碳-碳(C=C)雙鍵源或一碳-碳(C≡C)三鍵源;在該處理室中產生該前驅物的一低密度電漿,以在該半導體基板上形成具有碳-碳鍵的一介電阻障薄膜,其中該前驅物中至少部分的碳-碳鍵係保持在該低密度電漿中,並且併入該介電阻障薄膜中,且該介電阻障薄膜不含有Si-O鍵;以及引入一受控量的一氮源以從該介電阻障薄膜消除碳-碳(C=C)雙鍵或碳-碳(C≡C)三鍵。
  2. 一種處理一半導體基板的方法,包括以下步驟:將一前驅物流入一處理室中,其中該前驅物包括一有機矽化合物、三甲基矽烷、與乙烯(C2 H4 )的一混合物,該有機矽化合物包括矽-碳鍵與碳-碳鍵,且其中該三甲基矽烷對該有機矽化合物的一比例與該乙烯對該有機矽化合物的一比例經設定使得該前驅物中的一碳原子百分比大於15%;以及在該處理室中產生該前驅物的一低密度電漿,以在 該半導體基板上形成具有碳-碳鍵的一介電阻障薄膜,其中該前驅物中至少部分的碳-碳鍵係保持在該低密度電漿中,並且併入該介電阻障薄膜中,且該介電阻障薄膜不含有Si-O鍵。
  3. 一種處理一半導體基板的方法,包括以下步驟:將一前驅物流入一處理室中,其中該前驅物包括一有機矽化合物、三甲基矽烷、與乙烯(C2 H4 )的一混合物,該有機矽化合物包括矽-碳鍵與碳-碳鍵,且其中該前驅物進一步包括氨(NH3 ),乙烯與三甲基矽烷的一比例介於3:1與5:1之間,且氨與三甲基矽烷的一比例介於約1:10至約10:1之間;以及在該處理室中產生該前驅物的一低密度電漿,以在該半導體基板上形成具有碳-碳鍵的一介電阻障薄膜,其中該前驅物中至少部分的碳-碳鍵係保持在該低密度電漿中,並且併入該介電阻障薄膜中,且該介電阻障薄膜不含有Si-O鍵。
TW097138997A 2007-10-09 2008-10-09 獲得具有較佳抗蝕性之低k介電阻障層的方法 TWI394215B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/869,416 US7964442B2 (en) 2007-10-09 2007-10-09 Methods to obtain low k dielectric barrier with superior etch resistivity

Publications (2)

Publication Number Publication Date
TW200931522A TW200931522A (en) 2009-07-16
TWI394215B true TWI394215B (zh) 2013-04-21

Family

ID=40523641

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097138997A TWI394215B (zh) 2007-10-09 2008-10-09 獲得具有較佳抗蝕性之低k介電阻障層的方法

Country Status (5)

Country Link
US (1) US7964442B2 (zh)
JP (2) JP2009170872A (zh)
KR (1) KR101183641B1 (zh)
CN (1) CN101419915B (zh)
TW (1) TWI394215B (zh)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2910178B1 (fr) * 2006-12-15 2009-05-15 St Microelectronics Sa Procede de realisation d'un element dielectrique poreux et element dielectrique correspondant
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG183291A1 (en) 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
US8993435B2 (en) * 2010-03-15 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu barriers in damascene interconnect structures
JP2012074651A (ja) * 2010-09-30 2012-04-12 Renesas Electronics Corp 半導体装置、及び、その製造方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10604660B2 (en) 2010-10-05 2020-03-31 Silcotek Corp. Wear resistant coating, article, and method
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
CN102420178A (zh) * 2011-07-01 2012-04-18 上海华力微电子有限公司 一种避免光阻中毒的碳化硅薄膜新工艺
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
CN102891101B (zh) * 2011-07-18 2015-05-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102610555A (zh) * 2011-09-09 2012-07-25 上海华力微电子有限公司 一种避免光阻变性的无氮碳化硅薄膜工艺
KR20170124621A (ko) 2011-12-20 2017-11-10 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6329199B2 (ja) * 2016-03-30 2018-05-23 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6602263B2 (ja) * 2016-05-30 2019-11-06 株式会社東芝 半導体装置、半導体装置の製造方法、インバータ回路、駆動装置、車両、及び、昇降機
US9748175B1 (en) * 2016-11-18 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure in semiconductor structure and method for forming the same
KR102615163B1 (ko) * 2018-07-24 2023-12-15 램 리써치 코포레이션 실리콘-함유 전구체 및 탄소-함유 전구체를 사용한 탄화 실리콘 막들의 리모트 플라즈마 기반 증착
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
EP3654372B1 (en) * 2018-11-13 2021-04-21 IMEC vzw Method of forming an integrated circuit with airgaps and corresponding integrated circuit
CN111621735B (zh) * 2020-06-30 2023-03-17 中国航发动力股份有限公司 一种dd5单晶表面金属涂层阻扩散层制备方法
KR20220026627A (ko) * 2020-08-25 2022-03-07 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법
US20240087881A1 (en) * 2022-08-26 2024-03-14 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW498489B (en) * 2000-02-28 2002-08-11 Canon Sales Co Inc Semiconductor device and method of fabricating the same
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
TWI254369B (en) * 2004-04-27 2006-05-01 Taiwan Semiconductor Mfg Silicon oxycarbide and silicon carbonitride based materials for MOS devices

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5670945A (en) * 1995-07-06 1997-09-23 Applonie; Alan R. Self-monitoring hand-sanitizing station
TW308719B (zh) * 1995-10-23 1997-06-21 Dow Corning
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3934343B2 (ja) * 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP2004342688A (ja) * 2003-05-13 2004-12-02 Mitsui Chemicals Inc 銅拡散バリア性絶縁膜の形成方法およびその絶縁膜
JP2005183766A (ja) * 2003-12-22 2005-07-07 Hitachi Ltd 半導体装置及びその製造方法
JP2005203568A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US7326444B1 (en) * 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4197694B2 (ja) * 2005-08-10 2008-12-17 株式会社東芝 半導体装置およびその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW498489B (en) * 2000-02-28 2002-08-11 Canon Sales Co Inc Semiconductor device and method of fabricating the same
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
TWI254369B (en) * 2004-04-27 2006-05-01 Taiwan Semiconductor Mfg Silicon oxycarbide and silicon carbonitride based materials for MOS devices

Also Published As

Publication number Publication date
US20090093132A1 (en) 2009-04-09
US7964442B2 (en) 2011-06-21
TW200931522A (en) 2009-07-16
CN101419915B (zh) 2012-12-05
KR101183641B1 (ko) 2012-09-17
CN101419915A (zh) 2009-04-29
KR20090036533A (ko) 2009-04-14
JP2009170872A (ja) 2009-07-30
JP2013102174A (ja) 2013-05-23

Similar Documents

Publication Publication Date Title
TWI394215B (zh) 獲得具有較佳抗蝕性之低k介電阻障層的方法
JP5500810B2 (ja) 多層配線構造に空隙を形成する方法
JP5567588B2 (ja) 酸素含有前駆体を用いる誘電体バリアの堆積
JP4272424B2 (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
TWI436428B (zh) 釕金屬覆蓋層之形成方法
JP4066332B2 (ja) シリコンカーバイド膜の製造方法
TW201823159A (zh) 漸變或多層矽碳化物膜之基於遠端電漿的沉積
EP1523034A2 (en) Method of manufacturing silicon carbide film
CN1950932A (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
CN102770580A (zh) 藉由等离子体增强化学气相沉积使用含有具有机官能基的硅的杂化前驱物所形成的超低介电材料
JP2010267971A (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
JP2005033203A (ja) シリコンカーバイド膜の形成方法
TW202124764A (zh) 氧自由基輔助的介電膜緻密化
JP2004534373A (ja) 多相低誘電率材料およびその堆積方法
JP7465256B2 (ja) 非uv高硬度低kの膜堆積
KR20220166338A (ko) 유전체 재료 충전 및 처리 방법들
TWI827977B (zh) 沉積低k介電膜的系統及方法
TW201916368A (zh) 半導體裝置
TWI801917B (zh) 沉積低k介電膜的系統及方法
US20230066543A1 (en) Fully self aligned via integration processes
US20240087881A1 (en) Systems and methods for depositing low-k dielectric films
US20240087880A1 (en) Systems and methods for depositing low-k dielectric films
US20120122320A1 (en) Method Of Processing Low K Dielectric Films