TWI436428B - 釕金屬覆蓋層之形成方法 - Google Patents

釕金屬覆蓋層之形成方法 Download PDF

Info

Publication number
TWI436428B
TWI436428B TW098132898A TW98132898A TWI436428B TW I436428 B TWI436428 B TW I436428B TW 098132898 A TW098132898 A TW 098132898A TW 98132898 A TW98132898 A TW 98132898A TW I436428 B TWI436428 B TW I436428B
Authority
TW
Taiwan
Prior art keywords
forming
low
gas
semiconductor device
processing
Prior art date
Application number
TW098132898A
Other languages
English (en)
Other versions
TW201027625A (en
Inventor
Tadahiro Ishizaka
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201027625A publication Critical patent/TW201027625A/zh
Application granted granted Critical
Publication of TWI436428B publication Critical patent/TWI436428B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

釕金屬覆蓋層之形成方法 【交叉參考之相關申請案】
本發明係與下列專利申請案有關:美國專利申請案第12/018074號,發明名稱為「METHOD FOR INTEGRATING SELECTIVE LOW-TEMPERATURE RUTHENIUM DEPOSITION INTO COPPER METALLIZATION OF A SEMICONDUCTOR DEVICE」;美國專利申請案第11/853393號,發明名稱為「METHOD FOR INTEGRATING SELECTIVE RUTHENIUM DEPOSITION INTO MANUFACTURING OF A SEMICONDUCTOR DEVICE」;及美國專利申請案第12/173814號,發明名稱為「METHOD FOR FORMING RUTHENIUM METAL CAP LAYERS」。上述各案之全部內容在此以參照方式併入本文。
本發明係有關於半導體處理及半導體元件,更特定而言係有關於製造半導體元件之選擇性沉積釕(Ru)的方法。
積體電路包含各種半導體元件,以及複數個導電金屬路徑,該等金屬路徑將電力供應到半導體元件,並容許半導體元件共享、交換資訊。在積體電路中,金屬層利用將各金屬層相互絕緣的金屬間或層間介電層而彼此疊層。一般而言,各金屬層必須與至少一額外金屬層形成電性接觸部。藉由在將金屬層分開的層間介電層中蝕刻孔洞(即貫穿孔),並以金屬填充所形成之貫穿孔以形成互連線,而做成此電性接觸部。「貫穿孔」通常指任何的凹陷(recessed)特徵部,如孔洞、線或其他類似特徵部,形成在介電層中,且當以金屬填充時,其能提供穿過介電層而到達介電層下方之導電層的電性連結。類似地,連接二或更多個貫穿孔的凹陷特徵部係通常指溝槽。
在製造積體電路過程中,在多層金屬化結構中使用銅(Cu)金屬已產生了幾個必須解決的問題。舉例而言,因Cu原子在介電材料與Si中具有高遷移性,會導致Cu原子遷移到這些材料中,藉此形成電性缺陷而損壞積體電路。因此,Cu金屬層、Cu填充溝槽、Cu填充貫穿孔通常為阻障層所包覆,以防止Cu原子擴散進入介電材料。阻障層一般會在Cu沉積之前,沉積在溝槽及貫穿孔的側壁上,且其可包括的材料具有下列特性:較佳地與Cu不反應且不互溶,對介電材料有較佳的附著力,並能提供低電阻率。
積體電路中,其互連線的電流密度隨著每個接續的技術節點而顯著增加。因為電遷移(EM)及應力遷移(SM)的生命週期與電流密度成反比,EM及SM已迅速成為關鍵的挑戰。EM在Cu雙鑲嵌互連線結構中的生命週期,係非常取決於主體Cu金屬與周圍材料(如覆蓋層)之界面上的原子Cu遷移,而原子Cu遷移又直接關聯到這些界面的附著性。吾人已對提供較佳附著力、較佳EM生命週期的新覆蓋材料付出相當研究。舉例而言,利用無電電鍍技術,鈷鎢磷(CoWP)層已被用於選擇性地沉積在主體Cu金屬上。CoWP與主體Cu金屬的界面具有優異的附著強度,產生較長EM生命週期。然而,在主體Cu金屬上維持可接受的沉積選擇率,特別是緊密間隔的Cu佈線,以及維持較佳的膜均勻度,皆已影響此複雜製程的接受度。再者,在濕式製程中使用酸性溶液可能不利於CoWP的使用。
因此,吾人需要新的方法來沉積金屬覆蓋層,此覆蓋層對Cu提供良好附著力,改善主體Cu金屬的EM、SM屬性。尤其,此等方法必須提供相對於在介電表面上之在金屬表面上的金屬沉積較佳的選擇率。
本發明實施例提供將Ru沉積整合入半導體元件的製造以改善Cu金屬化結構中電遷移與應力遷移的方法。本發明實施例可應用於處理含有Cu路徑及介電區域的平坦化基板,且是在Cu路徑上相對於在介電區域上選擇性地形成Ru覆蓋層之前進行處理。該處理能從平坦化基板移除殘餘物及氧化銅。在範例中,殘餘物可包括用於化學機械研磨(CMP)製程的有機材料。
根據本發明一實施例,該方法包括在電漿處理腔室中設置圖案化基板,其中圖案化基板含有凹陷特徵部及第一金屬化層,該凹陷特徵部形成在低k介電材料中,該第一金屬化層位於凹陷特徵部的底部。該方法更包括以NHx (x3)自由基及H自由基處理該圖案化基板,該等自由基係從一包含NH3 的第一處理氣體形成在該電漿處理腔室中;在該第一金屬化層上形成一第一釕金屬覆蓋層;將一阻障層沉積在該凹陷特徵部中,包括在該低k介電材料上及在該第一釕金屬覆蓋層上;及以銅金屬填充該凹陷特徵部。
根據本發明另一實施例,該方法包括:在填充步驟之後,形成一具有數個銅路徑與數個低k介電區域的實質平坦表面;以NHx (x3)自由基及H自由基處理該等銅路徑及該等低k介電區域,該等自由基係從一包含NH3 的第二處理氣體形成在該電漿處理腔室中;及在該等處理過的銅路徑上形成一第二釕金屬覆蓋層。
根據本發明又另一實施例,該方法包括在一電漿處理腔室中的一基板支座上設置一圖案化基板,該圖案化基板具有一實質平坦表面,該實質平坦表面具有數個銅路徑與數個低k介電區域;以形成在該電漿處理腔室中之NHx (x3)自由基及H自由基處理該等銅路徑及該等低k介電區域;及在該等處理過的銅路徑上形成一釕金屬覆蓋層。
本發明實施例提供一方法,將Ru金屬覆蓋層整合入半導體元件的Cu金屬化結構,以改善元件中的電遷移(EM)與應力遷移(SM)。該方法改善了Ru金屬覆蓋層沉積在如Cu路徑之金屬表面上相對於在介於Cu路徑之間之介電表面上的選擇率。選擇性的Ru金屬沉積,降低了介於Cu路徑之間的介電區域上的Ru金屬雜質量,且改善了線與線之間崩潰及漏電效能的空間。
本技術領域中具有通常知識者將了解到,各種實施例的實施,並不需一或多個特定細節,或可與其它置換用及/或額外的方法、材料或元件。在其他情況下,將不表示或描述眾所周知的結構、材料或操作,以避免混淆本發明各實施例的實施態樣。同樣地,為了達到說明目的,文中對特定數字、材料、組態的鋪陳係為了使本發明更容易了解。再者,圖中所示的各實施例僅是例示性的繪圖,且並不一定按尺寸繪製。
本文中,提及「實施例」或「一實施例」時,係指在實施例中描述的某特定特徵部、結構、材料或特性係被包括在本發明之至少一個實施例中,但不代表出現在每一個實施例中。因此,本文中出現「在實施例中」或「在一實施例中」之處並不一定是指同樣的實施例。
將低k的SiCOH材料整合入半導體製造會產生幾個問題。舉例而言,這些材料易碎(亦即具有低凝聚強度、低致斷延伸率、低破裂韌度);液態水與水蒸氣會更加降低該等材料的凝聚強度;以及,當碳(C)鏈結為Si-CH3 基時,低k的SiCOH材料會立刻與光阻剝除電漿及其他整合製程反應,藉此損壞該等材料。為了改善Ru金屬覆蓋層沉積在Cu路徑上相對於在介電區域上的選擇率,本發明人研究了在Ru金屬沉積之前,含Cu金屬之基板與含低k介電材料之基板對於不同表面處理的效果。低k介電材料是具有介電常數(k)低於SiO2 (k~3.9)的介電材料。
圖1A中繪示,藉利用X射線光電子光譜(XPS)量測技術,對原始(as-received)低k材料表面及經過不同處理(製程)狀態後之低k材料表面所測量出的碳/矽比(C/Si)、氮/矽比(N/Si)及氧/矽比(O/Si)。研究所用的低k材料為BLACK DIAMONDII(BDII)SiCOH材料,可從美國加州Santa Clara的Applied Materials獲得。BDII的厚度為150nm,其沉積在300mm的矽晶圓上。矽晶圓被導入真空處理機具中,且一旦進入真空處理機具之後,矽晶圓先在10Torr的氬氣(Ar)環境下,以350℃的基板(晶圓)溫度,進行80秒的脫氣。脫氣係用於移除SiCOH材料表面上如水或其他任何殘留氣體的污染物。
脫氣之後,某些矽晶圓係以不同處理狀態進一步地處理。亦參照表1,不同處理狀態包括以260℃的基板溫度曝露在處理氣體中60秒。處理狀態包括:處理狀態#3),H2 氣體,在熱(非電漿)處理中,氣壓為1.5Torr;處理狀態#4),H2 氣體,利用中度無線射頻(RF)電源(700W)以電漿激發,氣壓為3Torr;處理狀態#5),H2 氣體,利用高度RF電源(1000W)以電漿激發,氣壓為3Torr;處理狀態#6),NH3 氣體,在熱(非電漿)處理中,氣壓為1Torr;處理狀態#7),NH3 氣體,利用低度RF電源(50W)以電漿激發,氣壓為1Torr;處理狀態#8),NH3 氣體,利用低度RF電源(50W)以電漿激發,氣壓為3Torr;及處理狀態#9),N2 /H2 氣體混合物(500sccm N2 +2000sccm H2 ),利用低度RF電源(50W)以電漿激發,氣壓為1Torr。利用處理狀態#3)至#6)的處理,係在具有槽孔平面天線(SPA)的電漿處理腔室中執行。美國專利第5024716號描述具有SPA的電漿處理腔室;該專利發明名稱為「Plasma processing apparatus for etching,ashing,and film-formation」,且其全部內容在此以參照方式併入本文。利用處理狀態#7)至#9)的處理,係在圖4所示的電漿處理系統中執行。
矽晶圓在進行表1中的處理之後,便從真空處理機具移除,並在空氣中由XPS測量C/Si、N/Si、O/Si比。在表1與圖1A中,「參照組」樣本係指並未在XPS分析前脫氣或進一步處理的原始SiCOH材料。如圖1A繪示,進行處理狀態#4)、#5)、#7)、#9)的電漿處理,造成相對於進行處理狀態#1)(SiCOH參照組,未經處理)、處理狀態#2)(僅脫氣)、處理狀態#3)與#6)(非電漿處理)及處理狀態#8)(在高氣壓下以電漿激發NH3 氣體,形成NHx (x3)自由基及H自由基)之處理較低的C/Si比及較高的O/Si比。
圖2A及圖2B分別繪示含有疏水性表面及親水性表面的SiCOH低k材料的概略橫剖面圖。圖2A概略繪示含有疏水性表面214的SiCOH低k材料204。表面214含有極少或不含金屬前驅物吸附位址,因此將表面214曝露在金屬前驅物中,會造成長潛伏時間,且延遲疏水性表面214上的金屬沉積。
圖2B概略繪示含有親水性表面214’的SiCOH低k材料204’。親水性表面214’含有複數個金屬前驅物吸附位址230,該等吸附位址係由從圖2A中表面214移除CHx 基而形成。相較於圖2A的疏水性表面214,有了吸附位址230的存在,應該是會大幅度減少金屬沉積的潛伏時間。參照圖1A,吾人相信,從使用處理狀態#4)、#5)、#7)、#9)之電漿處理所觀察到的低C/Si比,係因為CHx 藉由電漿處理而從疏水性表面214移除,藉此形成親水性表面214’。然而,本發明人發現,使用處理狀態#8)的電漿處理並不會大幅度改變C/Si比。此結果可能是,相較於SiCOH低k材料是曝露在使用處理狀態#4)、#5)、#7)、#9)之電漿的H離子與NHx (x3)離子中,SiCOH低k材料是曝露在使用處理狀態#8)之電漿處理的NHx (x3)自由基與H自由基中。根據本發明實施例,因為疏水性表面214能促成並加強Ru金屬覆蓋層選擇性地沉積在Cu金屬及其他對Ru金屬沉積有較短潛伏時間的金屬上,Ru金屬覆蓋層較佳沉積在疏水性表面214上。
圖1B根據本發明實施例繪示Ru金屬沉積在已處理低K材料上相對於在Cu金屬上的沉積率。不同的處理狀態已在前文中參照圖1A描述。在各處理製程之後,Ru金屬膜在原處進行沉積,已處理的低k材料不曝露在空氣中。為了比對,Ru金屬膜亦藉由離子化物理氣相沉積(IPVD)沉積在形成在300 mm矽晶圓上的Cu金屬膜上。利用含有Ru3 (CO)12 前驅物蒸氣與CO載體氣體的處理氣體,所有的Ru金屬膜以熱CVD處理(非電漿)沉積。矽晶圓在基板溫度為190℃時曝露於處理氣體中60秒,以在Cu金屬膜上形成厚度為4 nm至5nm的Ru金屬膜,但僅在低k材料表面上形成微量Ru金屬。Ru金屬膜在Cu金屬膜上的厚度,係相當於用於半導體元件中金屬化層與Cu路徑上的Ru金屬覆蓋層的厚度。
圖1B中,Ru金屬選擇率(SRu )以方程式(1)計算:
SRu =(RuCu -Ru低k )/RuCu (1)
其中,RuCu 是沉積在Cu金屬膜上的Ru金屬量,而Ru低K 是沉積在低k材料上的Ru金屬量。根據方程式(1),選擇率等於1時,是指相對於在低k材料上之在Cu金屬上的理想上選擇性Ru金屬沉積,而選擇率等於0時,是指在Cu金屬及低k材料上的非選擇性Ru金屬沉積。RuCu 與Ru低k 值係在場外(ex-situ)由X射線螢光(XRF)光譜技術測量出。利用Ru3 (CO)12 與CO載體氣體的Ru金屬沉積製程的範例,係描述於美國專利第7,270,848號及美國專利申請案第11/853,393號、第12/018,074號;該等文件之全部內容在此以參照方式併入本文。
亦參照表1,圖1B表示出最高的Ru金屬沉積選擇率出現在下列各者:僅脫氣的低k材料;在H2 氣體中熱處理(非電漿)的低k材料;或在NH3 氣體之高氣壓中以電漿處理。相比之下,對於在處理狀態#4)、#5)、#7)、#9)下以電漿處理的低k材料而言,Ru金屬沉積選擇率則顯著降低。
總之,從圖1A與圖1B的實驗結果來看,高C/Si比可能與高Ru金屬沉積選擇率有關聯。雖然僅脫氣的低k材料有良好的Ru金屬沉積選擇率,僅利用脫氣並不能能有效移除形成在Cu路徑上的氧化Cu。然而,根據本發明實施例,在高氣壓下以NHx (x3)自由基與H自由基處理圖案化基板會將氧化Cu從Cu路徑移除,並提供Cu路徑上的絕佳Ru金屬沉積選擇率。
本發明實施例提供,在含有低k材料之圖案化基板上之已處理金屬表面(如Cu金屬)上,以高度選擇率沉積Ru金屬膜的方法。圖案化基板能在低k介電材料中含有高縱橫比的凹陷特徵部,且該等特徵部至少實質填充有Cu金屬,如此在凹陷特徵部中形成Cu路徑。根據本發明一實施例,凹陷特徵部能包括:雙鑲嵌互連線結構,含有形成在圖案化基板中的溝槽與貫穿孔。貫穿孔的縱橫比(深度/寬度)可以是大於或等於約2:1,如3:1、4:1、5:1、6:1、12:1、15:1或更高。貫穿孔的寬度可以是約200nm或更小,如150nm、100nm、65nm、45nm、32nm、20nm或更小。然而,本發明實施例並不限於這些縱橫比或貫穿孔寬度,仍可使用其他縱橫比或貫穿孔寬度。
圖3A至圖3E係根據本發明實施例繪示將Ru金屬膜整合入雙鑲嵌互連線結構的概略橫剖面圖。圖3A係根據本發明實施例繪示含有雙鑲嵌互連線結構300之圖案化基板的概略橫剖面圖。雙鑲嵌互連線結構300能利用本技術領域中具有通常知識者熟知的標準微影與蝕刻方法來形成。吾人當了解到,本發明實施例可應用於更簡單或更複雜的雙鑲嵌互連線結構,以及形成在低k材料中的其他類型凹陷特徵部。
在圖3A中,雙鑲嵌互連線結構300包含:凹陷特徵部350,含有蝕刻在介電層304中所蝕刻的溝槽352與貫穿孔354。再者,雙鑲嵌互連線結構300包含位於貫穿孔354底部的金屬化層302(如Cu金屬或鎳(W)金屬)。舉例而言,介電層304能包含如以下低k介電材料:氟化矽玻璃(FSG)、碳摻雜氧化物、聚合物、含SiCOH的低k材料、無孔低k材料、有孔低k材料、CVD低k材料、旋塗式介電(SOD)低k材料或其他任何適合的介電材料。除了BD II之外,亦可取得其他含碳材料,包括Dow Chemical的Silk及Cyclotene(苯環丁烯)。雖未繪示,但互連線結構300可包含額外的層,例如溝槽蝕刻停止層、介電層301與304之間的貫穿孔蝕刻停止層、將金屬化層302從介電層301分開的阻障層。
根據本發明一實施例,圖3A中繪示的圖案化基板以NHx (x3)自由基與H自由基處理,而該等自由基係在電漿處理腔室中從包含NH3 的處理氣體所形成。該處理能包括將圖案化基板加熱到低於500℃的基板溫度,如150℃與400℃之間,且更可包括如氬(Ar)的稀有氣體。在一範例中,可使用純NH3 。在一範例中,可使用10:1的NH3 /Ar混合物。在一範例中,電漿處理腔室中處理氣體的氣壓大於1Torr,如2Torr、3Torr或大於3Torr。在一範例中,藉由將小於100 W的RF功率供應至用以支撐基板(晶圓)的基板支座,在電漿處理腔室中產生電漿。舉例而言,RF功率能包括90、80、70、60、50或甚至小於50 W。根據本發明實施例,在處理期間,所選擇的電漿狀況能抑制基板曝露到電漿所形成的離子中。為達成此目的,可利用低電漿功率及高氣壓的處理氣體,雖使基板曝露於NHx (x3)自由基與H自由基中,但會抑制基板曝露到電漿所形成的離子中。
處理之後,如圖3B所示,第一Ru金屬覆蓋層312可形成在金屬化層302上。根據本發明一實施例,第一Ru金屬覆蓋層312可被選擇性地沉積在金屬化層302上。例如,能在將圖案化基板加熱到基板溫度為在100℃與300℃之間時,沉積第一Ru金屬覆蓋層312。利用含有Ru3 (CO)12 前驅物蒸氣及CO氣體的處理氣體,第一Ru金屬覆蓋層312能在TCVD處理中沉積。在一範例中,第一Ru金屬覆蓋層312的平均厚度可以是介於2埃(埃=10-10 m)至100埃之間,如2、5、10、15、20、30、40、50、60、70、80、90或100埃。然而,本發明實施例並不限於該等厚度,而可形成或使用更厚的Ru金屬覆蓋層312。根據一實施例,Ru金屬覆蓋層312在金屬化層302上的表面覆蓋可能會因將金屬化層302裸露出的空隙而不完全。根據一實施例,可在相同的處理腔室中執行處理與第一Ru金屬膜的沉積。或者,可在不同處理腔室執行處理與Ru金屬膜沉積。
根據本發明其他實施例,可在圖3B所示之雙鑲嵌互連線結構300中省略第一Ru金屬覆蓋層312。
圖3C概略地繪示阻障層318形成在凹陷特徵部350中,以及平坦化Cu路徑322形成在凹陷特徵部350中的阻障層318上。藉由以主體Cu金屬填充凹陷特徵部350,並用如化學機械研磨(CMP)製程之平坦化製程移除多餘Cu金屬,能形成平坦化Cu路徑322。如圖3C略示,平坦化製程進一步地從低k介電區域314移除阻障層318。主體Cu金屬沉積製程為線路製造技術領域中具有通常知識者所熟知,且其能包括如電化學電鍍製程或無電電鍍製程。再者,本技術領域中具有通常知識者熟知CMP製程。雖然圖3C中繪示僅單一Cu路徑322,本技術領域中具有通常知識者會知道半導體元件包含複數個Cu路徑322。
舉例而言,阻障層318能包含含鉭(Ta)材料(如Ta、TaC、TaN或TaCN或其組合)、含鈦(Ti)材料(如Ti、TiN或其組合)或含鎢(W)材料(如W、WN或其組合)。在一範例中,利用三級戊基亞胺基-三(二甲基醯胺基)鉭(tertiary amyl imido-tris-dimethylamido tantalum,化學式:Ta(NC(CH3 )2 C2 H5 )(N(CH3 )2 )3 )及H2 的交替曝露,阻障層318可含有在電漿強化原子層沉積(PEALD)系統中沉積的TaCN。在另一範例中,阻障層318包含形成在含Ta層或含Ti層上的Ru金屬層,例如Ru/TaN、Ru/TaCN、Ru/TiN或Ru/TiCN。又在另一範例中,阻障層318可包含Ru與含Ta材料的混合物,或Ru與含Ti材料的混合物,例如RuTaN、RuTaCN、RuTiN或RuTiCN。
仍參照圖3C,低k介電區域314含有殘留物317及形成在Cu路徑322上的氧化銅層315。殘留物317及氧化銅層315可由CMP製程所形成。殘留物317可包括常用於CMP製程之化學劑的苯并三(BTA)。根據另一實施例,殘留物317、氧化銅層315或殘留物317與氧化銅層315二者可以不存在於圖3C的結構中。
根據本發明一實施例,在形成圖3C的雙鑲嵌互連線結構300之後,平坦化主體Cu路徑322及低k介電區域314係以NHx (x3)自由基與H自由基處理,而該等自由基係產生於來自含NH3 之處理氣體的電漿。處理後的結構如圖3D所示。該處理能包括將圖案化基板加熱到低於500℃的基板溫度,如150℃與400℃之間,且更可包括如氬(Ar)的稀有氣體。在一範例中,可使用純NH3 。在一範例中,可使用10:1的NH3 /Ar混合物。在一範例中,電漿處理腔室中處理氣體的氣壓大於1Torr,如2Torr、3Torr或大於3Torr。在另一範例中,藉由將小於100 W的RF功率供應至用以支撐基板(晶圓)的基板支座,在電漿處理腔室中產生電漿。根據本發明實施例,在處理期間,所選擇的電漿狀況能抑制基板曝露到電漿所形成的離子中。為達成此目的,可利用低電漿功率及高氣壓的處理氣體,雖使基板曝露於NHx (x3)自由基與H自由基中,但會抑制基板曝露到電漿所形成的離子中。
在處理之後,如圖3E所示,將第二Ru金屬覆蓋層324選擇性地沉積在已處理平坦化Cu路徑322上。舉例而言,能在將圖案化基板加熱到基板溫度為介於100℃與300℃之間時,沉積第二Ru金屬覆蓋層324。利用含有Ru3 (CO)12 前驅物蒸氣及CO氣體的處理氣體,第二Ru金屬覆蓋層324能在TCVD處理中沉積。在一範例中,第二Ru金屬覆蓋層324的平均厚度可以是介於2埃(埃=10-10 m)與100埃之間,如2、5、10、15、20、30、40、50、60、70、80、90或100埃。然而,本發明實施例並不限於該等厚度,而可形成或使用更厚的第二Ru金屬覆蓋層324。
根據一實施例,Ru金屬覆蓋層324在Cu路徑322上的的表面覆蓋,可能會因使平坦化Cu路徑322裸露出的空隙而不完全。根據一實施例,可在相同的處理腔室中執行處理與Ru金屬膜沉積。或者,可在不同處理腔室執行處理與Ru金屬膜沉積。
將第二Ru金屬覆蓋層324選擇性地沉積在Cu路徑322上之後,對圖3E所示之已部分製造完成的半導體元件進一步地處理。圖3F繪示沉積在第二Ru金屬覆蓋層324上以及在低k介電區域314上的共形(conformal)覆蓋層326。舉例而言,覆蓋層326含有氮化矽或矽碳氮化物。根據本發明一實施例,在沉積覆蓋層326之前,在將圖案化基板加熱到基板溫度為介於150℃與400℃之間時,在有H2 、N2 或NH3 或其組合之中,第二Ru金屬覆蓋層324及低k介電區域314可在電漿製程或非電漿製程中處理。在一範例中,第二Ru金屬覆蓋層324及低k介電區域314可如上述般以NHx (x3)自由基及H自由基處理。
圖4係根據本發明實施例繪示處理基板用之電漿處理系統的概略圖。電漿處理系統400包含:處理腔室410,其具有用以支撐基板425之基板支座420。處理腔室410更包含:上組件430,其連接到處理氣體供應系統440及清除氣體供應系統442。此外,電漿處理系統400包括:基板溫度控制系統460,其連接到基板支座420,並用以升降、控制基板425的溫度。
仍參照圖4,電漿處理系統400可用以處理200 mm基板、300 mm基板或更大尺寸的基板。事實上,本技術領域中具有通常知識者會了解到,沉積系統應可用於處理基板、晶圓或LCD,而不受限於其尺寸大小。因此,雖然本發明的實施態樣係藉由處理半導體基板來描述,但本發明不受其限制。
處理氣體系統440係用以將處理氣體通入處理腔室410。根據本發明實施例,處理氣體能包含NH3 ,或NH3 與惰性氣體。此外,清除氣體供應系統442能用以將清除氣體通入處理腔室410。
仍參照圖4,電漿處理系統400包括:電漿產生系統451,其用以在至少一部分的處理氣體通入處理腔室410期間產生電漿。電漿產生系統451能包括:第一電源450,其連接到處理腔室410,並用以將功率耦合到處理腔室410。第一電源450可以是可變電源,且可包括無線射頻(RF)產生器及阻抗匹配網路,並更可包括被RF能量穿過後耦合到處理腔室410中電漿的電極。該電極能形成在上組件430中,且電極能設置成與基板支座420相向。藉由將匹配網路的輸出阻抗匹配處理腔室(包括電極、電漿)的輸入阻抗,阻抗匹配網路能用以將從RF產生器到電漿的RF功率傳輸最佳化。例如,藉由減少反射功率,阻抗匹配網路用於改善傳到處理腔室410中電漿的RF功率傳輸。本技術領域中具有通常知識者熟知匹配網路配置(如L型、π型、T型等)及自動控制方法。
或者,第一電源450可包括RF產生器及阻抗匹配網路,且更可包括天線,如電感線圈,而RF功率經過天線耦合到處理腔室410中的電漿。舉例而言,天線能包括螺旋(helical)或螺線管(solenoidal)線圈,如電感耦合電漿源或螺旋(helicon)源;或天線能包括變壓耦合電漿源中的扁平線圈。
或者,第一電源450可包括微波頻率產生器,且更可包括微波能量耦合到處理腔室410之電漿時所經過的微波天線及微波窗。利用電子迴旋共振(ECR)技術,或利用表面波電漿技術(如槽孔平面天線(SPA)),能達成微波功率的耦合,其敘述請參照美國專利第5024716號,發明名稱為「Plasma processing apparatus for etching,ashing,and film-formation」,且其全部內容在此以參照方式併入本文。
根據本發明一實施例,電漿處理系統400包括:基板偏壓產生系統453,其用以在處理氣體通入處理腔室410的至少一部分期間,透過偏壓的基板支座420產生或協助產生電漿446。基板偏壓產生系統453能包括:基板電源452,其連接到處理腔室410,並用以將功率耦合到基板425。基板電源452可包括RF產生器及阻抗匹配網路,且更可包括RF功率耦合到基板425時所經過的電極。該電極能形成在基板支座420中。例如,基板支座420能以一RF電壓產生電性偏壓,該偏壓產生係藉由RF功率從RF產生器(未繪示)傳輸經過阻抗匹配網路(未繪示)而到達基板支座420。RF偏壓的一般頻率可以是介於約0.1MHz到約100MHz的範圍,且可以是13.56MHz。本技術領域中具有通常知識者熟知電漿處理中所用的RF偏壓系統。或者,RF功率以多重頻率施加在基板支座電極。
雖然圖4將電漿產生系統451與基板偏壓產生系統453繪示成分開的物體,該等系統仍可包含連接到基板支座420的一或更多個電源。
仍參照圖4,電漿處理系統400包括:基板溫度控制系統460,其連接到基板支座420,並用以升降、控制基板425的溫度。基板溫度控制系統460包含溫度控制元件,如:一冷卻系統,含有再循環冷卻液流動,該流動從基板支座420接收熱,並將熱傳遞到熱交換系統(未繪示),或當加熱時將熱傳遞出熱交換系統。此外,溫度控制元件能包括加熱/冷卻元件,如電阻式加熱元件,或熱電式加熱器/冷卻器,而該等元件可被包含於基板支座420,以及處理腔室410的腔室壁及電漿處理腔室系統400中的其他任何元件。
為了改善基板425與基板支座420之間的熱傳遞,基板支座420能包括機械夾持系統,或電性夾持系統,如靜電夾持系統,以將基板425固定在基板支座420的上表面。再者,基板支座420更能包括:基板背側氣體輸送系統,其用以將氣體通入到基板425的背側,以改善基板425與基板支座420之間的氣隙(gas-gap)熱傳導。當基板的溫度需要被控制為較高或較低的溫度時,可使用此系統。舉例而言,基板背側氣體系統能包含二區氣體分配系統,其中,氦氣的氣隙壓力可在基板425的中心與邊緣之間獨立變化。
再者,處理腔室410更經由管道438連接到包括真空泵抽系統434與閥436的壓力控制系統432,其中,壓力控制系統432係用以可控制地將處理腔室410泵抽成具有適合處理基板425的壓力。真空泵抽系統434能包括渦輪分子真空泵(TMP)或低溫泵,其泵抽速度能達約每秒5000公升(及更高),而閥436能包括用以調節腔室壓力的閘閥。再者,監視腔室壓力用的裝置(未繪示)能連接到處理腔室410。舉例而言,壓力測量裝置可以是絕對電容式壓力計。
仍參照圖4,控制器470能包含微處理器、記憶體、數位I/O埠,其能夠產生控制電壓,足以通聯並啟動電漿處理系統400的輸入,以及監視電漿處理系統400的輸出。再者,控制器470可連接到下列元件,並與下列元件交換資訊:處理腔室410、基板支座420、上組件430、處理氣體供應系統440、清除氣體供應系統442、第一電源450、基板電源452、基板溫度控制系統460及壓力控制系統432。舉例而言,根據執行處理製程用的製程配方,儲存在記憶體內的程式可用於啟動前述電漿處理系統元件的輸入。
然而,控制器470可實施為一般用途的電腦系統,而該電腦系統回應於執行記憶體中一或更多個指令之一或更多個次序的處理器,執行本發明微處理器型態的一部分或全部處理步驟。此般指令可從如硬碟或可移除式媒體驅動裝置的另一個電腦可讀媒體被讀入控制器記憶體。以多重處理配置的一或更多個處理器亦可採用作為控制器微處理器,以執行主記憶體中之指令的次序。在替代性的實施例中,固線式電路可取代或結合軟體指令。據此,實施例不限於任何特定硬體電路與軟體的組合。
控制器470包括至少一個電腦可讀媒體或記憶體,如控制器記憶體,用以儲存依本發明教示的程式化指令,以及用以儲存可能必須要用於實施本發明的資料結構、表格、記錄或其他資料。電腦可讀媒體的範例如碟片、硬碟、軟碟、磁帶、磁性光碟、PROM(EPROM、EEPROM、快閃EPROM)、DRAM、SRAM、SDRAM或任何其他磁性媒體、碟片(如CD-ROM)或其他任何光學媒體、打孔卡、紙帶或其他具有孔排列的實體媒體、載波(如下述)或其他電腦可讀之媒體。
本發明儲存在任何一個電腦可讀媒體或其組合時,其所包括的軟體係用以:控制控制器470;驅動用於實施本發明的一或更多個裝置;及/或使控制器與人類使用者互動。此軟體可包括但不限於裝置驅動程式、作業系統、開發工具及應用軟體。此電腦可讀媒體更包括:本發明之電腦程式產品,其用以執行在實施本發明時所執行的一部分或全部的處理(若處理為分散化的情況)。
本發明的電腦碼裝置可以是任何可直譯或可執行的編碼機構,包括但不限於指令集(script)、直譯程式、動態鏈結程式庫(DLL)、JAVA類別及完整可執行程式。再者,為了改善效能、可靠度及/或降低成本,本發明的部分處理可以分散化執行。
在此使用之「電腦可讀媒體」一詞係指任何參與提供指令到控制器470之處理器以供執行的媒體。電腦可讀媒體可具有許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。舉例而言,非揮發性媒體包括光學、磁性碟片,以及磁性光碟,如硬碟或可移除式媒體驅動裝置。舉例而言,揮發性媒體包括動態記憶體,如主記憶體。再者,各種形式的電腦可讀媒體可用於有關對控制器之執行用的處理器執行一或更多個指令的一或更多個次序。舉例而言,指令最初可儲存在遠端電腦的磁碟上。遠端電腦能將用以實施本發明之一部分或全部的指令遠端地載入動態記憶體,並將指令經由網路傳送到控制器470。
控制器470可位於電漿處理系統400的附近,或位於電漿處理系統400的遠端處。舉例而言,控制器470可利用直接連接、內部網路、網際網路及無線連接之其中一者而與電漿處理系統400交換資料。例如,控制器470可連接到客戶位址(即元件製造商等)的內部網路,或連接到販賣商位址(即裝備製造商)的內部網路。此外,舉例而言,控制器470可連接到網際網路。再者,舉例而言,另一電腦(即控制器、伺服器等)可經由直接連接、內部網路及網際網路之其中一者存取控制器470,以交換資料。本技術領域中具有通常知識者亦能了解到,控制器470可經由無線連接與電漿處理系統400交換資料。
圖5係根據本發明一實施例繪示熱化學氣相沉積(TCVD)系統1的概略圖,該系統係用以從Ru3 (CO)12 前驅物蒸氣及CO氣體沉積Ru金屬膜。沉積系統1包括:處理腔室10,其具有用以支撐圖案化基板25之基板支座20,而基板25上形成有Ru金屬膜。處理腔室10經由蒸氣前驅物輸送系統40連接到金屬前驅物氣化系統50。
處理腔室10更經由管道36連接到真空泵抽系統38,其中,真空泵抽系統38係用以將處理腔室10、蒸氣前驅物輸送系統40及金屬前驅物氣化系統50泵抽至一壓力,使該壓力適合將Ru金屬膜形成在圖案化基板25上,且適合將Ru3 (CO)12 前驅物52在金屬前驅物氣化系統50中氣化。
仍參照圖5,金屬前驅物氣化系統50係用以:儲存Ru3 (CO)12 前驅物52;將Ru3 (CO)12 前驅物52加熱到足以氣化Ru3 (CO)12 前驅物52的溫度;及將Ru3 (CO)12 前驅物蒸氣通入蒸氣前驅物輸送系統40。Ru3 (CO)12 前驅物52在金屬前驅物氣化系統50所選定的加熱狀態下是固體。為了達成使固態Ru3 (CO)12 前驅物52昇華的所欲溫度,金屬前驅物氣化系統50係連接到用以控制氣化溫度的氣化溫度控制系統54。
例如,Ru3 (CO)12 前驅物52的溫度可升高到約40℃與約150℃之間。或者,氣化溫度可維持在約60℃與約90℃之間。當Ru3 (CO)12 前驅物52加熱到昇華時,將含CO氣體輸送經過Ru3 (CO)12 前驅物52或經過其上方,以在Ru3 (CO)12 前驅物蒸氣形成之際,將其捕獲。含CO氣體含有CO,並選擇性地含有惰性載體氣體,如N2 或稀有氣體(即He、Ne、Ar、Kr或Xe)或其組合。在有CO氣體的情況下氣化Ru3 (CO)12 前驅物,能減少限制Ru3 (CO)12 前驅物蒸氣輸送到圖案化基板的問題。研究指出,在Ru3 (CO)12 前驅物蒸氣形成時,將CO氣體加入Ru3 (CO)12 前驅物蒸氣能容許增加氣化溫度。升高的溫度增加了Ru3 (CO)12 前驅物的蒸氣壓力,致使增加Ru3 (CO)12 前驅物往處理腔室的輸送,因此使Ru金屬在圖案化基板25的沉積速度增加。在Ru3 (CO)12 前驅物輸送到處理腔室10之前,在蒸氣前驅物輸送系統40中使用CO氣體來減少Ru3 (CO)12 前驅物的未成熟沉積,已被證實會促進Ru3 (CO)12 前驅物蒸氣有效輸送到處理腔室以沉積Ru金屬膜,其描述參照美國專利第7270848號,其全部內容在此以參照方式併入本文。
在一範例中,金屬前驅物氣化系統50可以是用以有效蒸發並運送Ru3 (CO)12 氣體的多托盤氣化系統。美國專利申請案第10/998420號描述有範例性的多托盤氣化系統,其發明名稱為「Multi-tray film precursor evaporation system and thin film deposition system incorporating same」,申請日為2004年11月29日。
舉例而言,氣體供應系統60連接到金屬前驅物氣化系統50,而氣體供應系統60用以將CO、載體氣體或其混合物經由通入管線61在Ru3 (CO)12 前驅物52下方供應,或經由通入管線62在Ru3 (CO)12 前驅物52上方供應。此外,氣體供應系統60連接到金屬前驅物氣化系統50下游的蒸氣前驅物輸送系統40,以在Ru3 (CO)12 前驅物52的蒸氣進入蒸氣前驅物輸送系統40之際或在其進入之後,將氣體經由通入管線63供應到Ru3 (CO)12 前驅物52的蒸氣。再者,通入管線63可用於以含有CO氣體的預先處理氣體預先處理圖案化基板25,以在圖案化基板25曝露在Ru3 (CO)12 前驅物蒸氣及CO氣體中之前,以所吸附的CO使圖案化基板25的曝露表面飽和。
雖未繪示,氣體供應系統60能包含載體氣體源、CO氣體源、一或更多個控制閥、一或更多個過濾器及質流控制器。舉例而言,含CO氣體的流速可以是介於約0.1sccm與約1000sccm之間。或者,含CO氣體的流速可以是介於約10sccm與約500sccm之間。或者,含CO氣體的流速可以是介於約50sccm與約200sccm之間。根據本發明實施例,含CO氣體的流速可涵蓋的範圍為約0.1sccm到約1000sccm之間。或者,含CO氣體的流速可以是介於約1sccm與約500sccm之間。
在金屬前驅物氣化系統50的下游處,在含有Ru3 (CO)12 前驅物蒸氣與CO氣體的處理氣體經由連接到處理腔室10的氣體分配系統30進入處理腔室10之前,該處理氣體流經過蒸氣前驅物輸送系統40。蒸氣前驅物輸送系統40能連接到蒸氣管線溫度控制系統42,以控制氣體管線溫度,並防止Ru3 (CO)12 前驅物蒸氣分解及Ru3 (CO)12 前驅物蒸氣凝結。舉例而言,蒸氣前驅物輸送系統40能維持在介於50℃與100℃之間的溫度。
仍參照圖5,蒸氣分配系統30形成部分的處理腔室10,且連接到處理腔室10;蒸氣分配系統30包含蒸氣分配充氣部32,蒸氣在充氣部32中散佈開來後,穿過蒸氣分配板34,進入圖案化基板25上方的處理區33。此外,蒸氣分配板34能連接到用以控制蒸氣分配板34之溫度的分配板溫度控制系統35。
一旦含有Ru3 (CO)12 前驅物蒸氣與CO氣體的處理氣體進入處理腔室10的處理區33之後,因為圖案化基板25的升高溫度,Ru3 (CO)12 前驅物蒸氣在該基板表面被吸附時會熱分解,且Ru金屬膜形成在圖案化基板25上。藉由將基板支座20連接到基板溫度控制系統22,基板支座20用以升高圖案化基板25的溫度。舉例而言,基板溫度控制系統22能用以將圖案化基板25的溫度最高升到約500℃。此外,處理腔室10能連接到用以控制腔室壁溫度的腔室溫度控制系統12。
仍參照圖5,沉積系統1更能包括:控制系統80,其用以操作、控制沉積系統1之作業。控制系統80連接到處理腔室10、基板支座20、基板溫度控制系統22、腔室溫度控制系統12、蒸氣分配系統30、蒸氣前驅物輸送系統40、金屬前驅物氣化系統50及氣體供應系統60。
圖6係根據本發明一實施例繪示從Ru3 (CO)12 前驅物蒸氣與CO氣體沉積Ru金屬膜的另一TCVD系統的概略圖。沉積系統100包含:處理腔室110,其具有用以支撐圖案化基板125之基板支座120,而圖案化基板125上形成有Ru金屬膜。處理腔室110係連接到前驅物輸送系統105;前驅物輸送系統105具有:金屬前驅物氣化系統150,其用以儲存、氣化Ru3 (CO)12 前驅物152;及蒸氣前驅物輸送系統140,其用以將Ru3 (CO)12 前驅物152的蒸氣輸送到處理腔室110。
處理腔室110包含上腔室區段111、下腔室區段112及排氣腔室113。下腔室區段112內形成有開口部114,而在此下腔室區段112連接到排氣腔室113。
仍參照圖6,基板支座120設有水平表面,以支撐欲處理的圖案化基板(或晶圓)125。柱狀支撐構件122能支撐基板支座120,且柱狀支撐構件122從排氣腔室113的下部向上延伸。再者,基板支座120包含:加熱器126,其連接到基板支座溫度控制系統128。舉例而言,加熱器126能包括一或更多個電阻式加熱元件。或者,舉例而言,加熱器126能包括輻射式加熱系統,如鎢絲鹵素燈。基板支座溫度控制系統128能包括:電源,其用以供電到一或更多個加熱元件;一或更多個溫度感測器,其用以測量基板溫度、基板支座溫度或前述二者;及一控制器,用以對圖案化基板125或基板支座120的溫度執行監視、調整或控制之其中至少一者。
在處理期間,加熱的圖案化基板125能熱分解Ru3 (CO)12 前驅物蒸氣,並致使Ru金屬膜沉積在圖案化基板125上。將基板支座120加熱到適合將所欲Ru金屬膜沉積到圖案化基板125上的預定溫度。此外,連接到腔室溫度控制系統121加熱器(未繪示)能嵌入於處理腔室110的腔室壁中,以將腔室壁加熱到預定溫度。加熱器能將處理腔室110之腔室壁的溫度維持在約40℃到約150℃之間,或在約40℃到約80℃之間。一壓力計(未繪示)係用於測量處理腔室壓力。根據本發明一實施例,處理腔室壓力可以是介於約1mTorr與約500mTorr之間。或者,處理腔室壓力可以是介於約10mTorr與約100mTorr之間。
圖6中亦繪示,蒸氣分配系統130連接到處理腔室110的上腔室區段111。蒸氣分配系統130包含:蒸氣分配板131,其用以將來自蒸氣分配充氣部132的前驅物蒸氣經過一或多個孔口134通入到圖案化基板125上方的處理區133。
再者,上腔室區段111中設有:開口部135,其用以將來自蒸氣前驅物輸送系統140之含有Ru3 (CO)12 前驅物蒸氣與CO氣體的處理氣體通入蒸氣分配充氣部132。此外,溫度控制元件136,如用以流動冷卻或加熱流體的同心流體通道,係設置來控制蒸氣分配系統130的溫度,藉此防止Ru3 (CO)12 前驅物蒸氣在蒸氣分配系統130內分解或凝結。舉例而言,能將如水的流體從蒸氣分配溫度控制系統138供應至流體通道。蒸氣分配溫度控制系統138能包括:流體源;熱交換器;一或多個溫度感測器,用以測量流體溫度、蒸氣分配板溫度或前述二者;及控制器,用以將蒸氣分配板131的溫度控制在約20℃至約150℃之間。對於Ru3 (CO)12 前驅物,蒸氣分配板131的溫度能維持在約65℃或更高溫度,以避免前驅物凝結在蒸氣分配板131上。
如圖6所示,金屬前驅物氣化系統150係用以容納Ru3 (CO)12 前驅物152,並藉由提升Ru3 (CO)12 前驅物的溫度,將Ru3 (CO)12 前驅物152蒸發(或昇華)。在本說明書中,「氣化」、「昇華」、「蒸發」等詞交替使用,意指一般從固體或液體前驅物形成蒸氣(氣體),而不論以何種方式轉換,例如固體到液體到氣體、固體到氣體或液體到氣體的轉換。前驅物加熱器154係設置來加熱Ru3 (CO)12 前驅物152,以將Ru3 (CO)12 前驅物152維持在一溫度,俾產生Ru3 (CO)12 前驅物152的所欲蒸氣壓力。前驅物加熱器154係連接到:蒸氣溫度控制系統156,其用以控制Ru3 (CO)12 前驅物152的溫度。舉例而言,前驅物加熱器154能用以將Ru3 (CO)12 前驅物152的溫度調節在約40℃與約150℃之間,或在約60℃與約90℃之間。
當加熱Ru3 (CO)12 前驅物152而發生蒸發(或昇華)作用時,能將一含CO氣體通過Ru3 (CO)12 前驅物152或其上方,以在Ru3 (CO)12 前驅物蒸氣形成時,捕獲Ru3 (CO)12 前驅物蒸氣。該含CO氣體含有CO,並選擇性地含有惰性載體氣體,如N2 或稀有氣體(即He、Ne、Ar、Kr、Xe)。舉例而言,氣體供應系統160係連接到金屬前驅物氣化系統150,並用以將CO氣體流過Ru3 (CO)12 前驅物152或流過其上方。雖然圖6中未繪示,氣體供應系統160亦能連接到蒸氣前驅物輸送系統140,以在Ru3 (CO)12 前驅物152的蒸氣進入蒸氣前驅物輸送系統140時或在其進入之後,將CO氣體供應至Ru3 (CO)12 前驅物152的蒸氣,以例如在將圖案化基板125曝露在含有Ru3 (CO)12 前驅物蒸氣與CO氣體的處理氣體之前,利用含有CO氣體的預先處理氣體預先處理圖案化基板125,以使圖案化基板125的曝露表面因吸附CO而呈飽和。
氣體供應系統160能包含:氣體源161,其含有惰性載體氣體、CO氣體或其混合物;一或多個控制閥162;一或多個過濾器164;及質流控制器165。舉例而言,含CO氣體的質流速度能在約0.1scm至約1000sccm的範圍之中。
此外,設置有感測器166,其係用於測量來自金屬前驅物氣化系統150的總氣流。舉例而言,感測器166能包含質流控制器;而利用感測器166及質流控制器165,能判定出輸送到處理腔室110的Ru3 (CO)12 前驅物蒸氣量。或者,感測器166能包含光吸收感測器,以測量Ru3 (CO)12 前驅物在流到處理腔室110之氣流中的濃度。
旁路管線167能設置於感測器166的下游處,且旁路管線167能將蒸氣前驅物輸送系統140連接到排氣管線116。旁路管線167係用以抽空蒸氣前驅物輸送系統140,並用以使Ru3 (CO)12 前驅物蒸氣與CO氣體穩定供應至處理腔室110。此外,旁路管線167上設有旁路閥168,該閥位於蒸氣前驅物輸送系統140之分支的下游處。
仍參照圖6,蒸氣前驅物輸送系統140包含:高傳導性蒸氣管線,其具有第一閥141及第二閥142。此外,蒸氣前驅物輸送系統140更能包含:蒸氣管線溫度控制系統143,其用以由加熱器(未繪示)加熱蒸氣前驅物輸送系統140。蒸氣管線的溫度能受到控制,以避免Ru3 (CO)12 前驅物蒸氣凝結在蒸氣管線中。蒸氣管線的溫度能控制在約20℃至約100℃之間,或在約40℃至約90℃之間。
再者,氣體供應系統190能供應CO氣體。舉例而言,氣體供應系統190係連接到蒸氣前驅物輸送系統140,並用以以含有CO氣體的預先處理氣體預先處理圖案化基板125,或在蒸汽前驅物輸送系統140中之閥141的下游處混合額外CO氣體與Ru3 (CO)12 前驅物蒸氣。氣體供應系統190能包含:CO氣體源191;一或多個控制閥192;一或多個過濾器194;及質流控制器195。舉例而言,CO氣體的質流速度能在約0.1sccm至約1000sccm的範圍。
質流控制器165、195及閥162、192、168、141、142係由控制器196所控制。控制器196控制惰性載體氣體、CO氣體及Ru3 (CO)12 前驅物蒸氣的供應、切斷、流動。感測器166亦連接到控制器196,且控制器196基於感測器166的輸出,能控制經過質流控制器165的載體氣體流,以得到往處理腔室110行進的所欲Ru3 (CO)12 前驅物流動。
如圖6所示,排氣管線116將排氣腔室113連接到真空泵抽系統118。真空泵119係用於將處理腔室110抽空到所欲真空程度,並在處理期間將氣態物質從處理腔室110移除。自動壓力控制器(APC)115及捕集器117能用來與真空泵119串聯。真空泵119能包括:渦輪分子泵(TMP),其泵抽速度可達每秒500公升(及更高)。或者,真空泵119能包括乾式粗抽泵。在處理期間,能將處理氣體通入處理腔室110中,且腔室壓力能由APC 115調節。APC 115能包含蝴蝶閥或閘閥。捕集器117能從處理腔室110收集未反應的Ru3 (CO)12 前驅物材料及副產物。
再參照圖6中所示處理腔室110中的基板支座120,三個基板升降銷127(僅繪示其中二者)係設置來支撐、升降圖案化基板125。基板升降銷127係連接到板123,且能夠被降至低於基板支座120的上表面。舉例而言,使用如汽缸的驅動機構129提供了用以升降板123的裝置。藉由機器人運輸系統(未繪示),圖案化基板125能穿過閘閥200及腔室饋通道202而被送進(或送出)處理腔室110,並由基板升降銷127所承接。一旦自運輸系統承接圖案化基板125之後,藉由降低基板升降銷127,圖案化基板125能被降到基板支座120的上表面。
仍參照圖6,沉積系統控制器180包括微處理器、記憶體、及數位I/O埠,其能夠產生控制電壓,足以通聯並啟動沉積系統100的輸入,以及監視沉積系統100的輸出。再者,控制器180可連接到下列元件,並與下列元件交換資訊:處理腔室110;前驅物輸送系統105,其包括控制器196、蒸氣管線溫度控制系統143及氣化溫度控制系統156;蒸氣分配溫度控制系統138;真空泵抽系統118;及基板支座溫度控制系統128。在真空泵抽系統118中,控制器180係連接到控制處理腔室110之壓力用的APC 115,並與其交換資料。根據儲存的處理配方,儲存在記憶體的程式係用於控制前述沉積系統中的元件。
控制器180可實施為一般用途的電腦系統,而該電腦系統回應於執行記憶體中一或更多個指令之一或更多個次序的處理器,執行本發明微處理器型態的一部分或全部處理步驟。此般指令可從如硬碟或可移除式媒體驅動裝置的另一個電腦可讀媒體被讀入控制器記憶體。以多重處理配置的一或更多個處理器亦可採用作為控制器微處理器,以執行主記憶體中之指令的次序。在替代性的實施例中,固線式電路可取代或結合軟體指令。據此,實施例不限於任何特定硬體電路與軟體的組合。
控制器180包括至少一個電腦可讀媒體或記憶體,如控制器記憶體,用以儲存依本發明教示的程式化指令,以及用以儲存可能必須要用於實施本發明的資料結構、表格、記錄或其他資料。電腦可讀媒體的範例如碟片、硬碟、軟碟、磁帶、磁性光碟、PROM(EPROM、EEPROM、快閃EPROM)、DRAM、SRAM、SDRAM或任何其他磁性媒體、碟片(如CD-ROM)或其他任何光學媒體、打孔卡、紙帶或其他具有孔排列的實體媒體、載波(如下述)或其他電腦可讀之媒體。
本發明儲存在任何一個電腦可讀媒體或其組合時,其所包括的軟體係用以:控制控制器180;驅動用於實施本發明的一或更多個裝置;及/或使控制器與人類使用者互動。此軟體可包括但不限於裝置驅動程式、作業系統、開發工具及應用軟體。此電腦可讀媒體更包括:本發明之電腦程式產品,其用以執行在實施本發明時所執行的一部分或全部的處理(若處理為分散化的情況)。
本發明的電腦碼裝置可以是任何可直譯或可執行的編碼機構,包括但不限於指令集(script)、直譯程式、動態鏈結程式庫(DLL)、JAVA類別及完整可執行程式。再者,為了改善效能、可靠度及/或降低成本,本發明的部分處理可以分散化執行。
在此使用之「電腦可讀媒體」一詞係指任何參與提供指令到控制器180之處理器以供執行的媒體。電腦可讀媒體可具有許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。舉例而言,非揮發性媒體包括光學、磁性碟片,以及磁性光碟,如硬碟或可移除式媒體驅動裝置。舉例而言,揮發性媒體包括動態記憶體,如主記憶體。再者,各種形式的電腦可讀媒體可用於有關對控制器之執行用的處理器執行一或更多個指令的一或更多個次序。舉例而言,指令最初可儲存在遠端電腦的磁碟上。遠端電腦能將用以實施本發明之一部分或全部的指令遠端地載入動態記憶體,並將指令經由網路傳送到控制器180。
控制器180可位於沉積系統400的附近,或位於沉積系統100的遠端處。舉例而言,控制器180可利用直接連接、內部網路、網際網路及無線連接之其中一者而與沉積系統100交換資料。例如,控制器180可連接到客戶位址(即元件製造商等)的內部網路,或連接到販賣商位址(即裝備製造商)的內部網路。此外,舉例而言,控制器180可連接到網際網路。再者,舉例而言,另一電腦(即控制器、伺服器等)可經由直接連接、內部網路及網際網路之其中一者存取控制器180,以交換資料。本技術領域中具有通常知識者亦能了解到,控制器180可經由無線連接與沉積系統100交換資料。
各實施例以揭示了為了改善Cu金屬化結構中的EM及SM,將選擇性的Ru沉積整合在半導體元件之製程中的複數個實施例。前述本發明實施例,係作為範例及描述用途,並非欲將本發明限制為前述所揭示的形式。舉例而言,在此(包括申請專利範圍)描述之「上」或「之上」等字並非要求一個層需要直接位於圖案化基板「之上」而緊密接觸該基板;該層與基板之間可能會有第二層或其他結構。
本相關技術領域中具有通常知識者能了解到,前述教示可產生許多修改或變化的實施,其並能知道圖式中各種元件的各式等效組合或替換。因此本發明的所欲範圍不受前述實施方式所限制,而是受後附的申請專利範圍所界定。
1‧‧‧沉積系統
10‧‧‧處理腔室
12‧‧‧腔室溫度控制系統
20‧‧‧基板支座
22‧‧‧基板溫度控制系統
25‧‧‧基板
30‧‧‧氣體分配系統
32‧‧‧充氣部
33‧‧‧處理區
34‧‧‧氣體分配板
35‧‧‧分配板溫度控制系統
36‧‧‧管道
38‧‧‧真空泵抽系統
40‧‧‧氣態前驅物輸送系統
42‧‧‧氣體管線溫度控制系統
50‧‧‧金屬前驅物氣化系統
52‧‧‧Ru3 (CO)12 前驅物
54‧‧‧氣化溫度控制系統
60‧‧‧氣體供應系統
61‧‧‧通入管線
62‧‧‧通入管線
63‧‧‧通入管線
80‧‧‧控制系統
100‧‧‧沉積系統
105...前驅物輸送系統
110...處理腔室
111...上腔室區段
112...下腔室區段
113...排氣腔室
114...開口部
115...自動壓力控制器
116...排氣管線
117...捕集器
118...真空泵抽系統
119...真空泵
120...基板支座
121...腔室溫度控制系統
122...柱狀支撐構件
123...板
125...基板
126...加熱器
127...升降銷
128...基板支座溫度控制系統
129...驅動機構
130...蒸氣分配系統
131...蒸氣分配板
132...蒸氣分配充氣部
133...處理區
134...孔口
135...開口部
136...溫度控制元件
138...蒸氣分配溫度控制系統
140...蒸氣前驅物輸送系統
141...閥
142...閥
143...蒸氣管線溫度控制系統
150...金屬前驅物氣化系統
152...Ru3 (CO)12 前驅物
154...前驅物加熱器
156...蒸氣溫度控制系統
160...氣體供應系統
161...氣體源
162...控制閥
164...過濾器
165...質流控制器
166...感測器
167...旁路管線
168...旁路閥
180...沉積系統控制器
190...氣體供應系統
191...CO氣體源
192...控制閥
194...過濾器
195...質流控制器
196...控制器
200...閘閥
202...腔室饋通道
204、204’...SiCOH材料
214...疏水性表面
214’...親水性表面
230...金屬前驅物吸收位址
300...雙鑲嵌互連線結構
302...金屬化層
304...介電層
312‧‧‧第一Ru金屬覆蓋層
314‧‧‧低K介電區域
315‧‧‧氧化銅層
317‧‧‧殘留物
318‧‧‧阻障層
322‧‧‧Cu路徑
324‧‧‧第二Ru金屬覆蓋層
326‧‧‧覆蓋層
350‧‧‧凹陷特徵部
352‧‧‧溝槽
354‧‧‧貫穿孔
400‧‧‧電漿處理系統
420‧‧‧基板支座
425‧‧‧基板
430‧‧‧上組件
432‧‧‧壓力控制系統
434‧‧‧真空泵抽系統
436‧‧‧閥
438‧‧‧管道
440‧‧‧處理氣體供應系統
442‧‧‧清除氣體供應系統
446‧‧‧電漿
450‧‧‧第一電源
451‧‧‧電漿產生系統
452‧‧‧基板電源
453‧‧‧基板偏壓產生系統
460‧‧‧基板溫度控制系統
470‧‧‧控制器
欲對本發明及其造成的許多優點有更全盤了解,應參照本文中詳細說明,以及隨附圖式。
圖1A繪示根據本發明實施例之低k材料,在利用不同處理條件處理後,其表面之碳/矽比(C/Si)、氮/矽比(N/Si)及氧/矽比(O/Si)。
圖1B繪示根據本發明實施例之Ru金屬沉積在已處理低k材料上相對於在Cu金屬上的選擇率。
圖2A、圖2B繪示含有疏水性及親水性表面的SiCOH低k材料的概略橫剖面圖。
圖3A至圖3F繪示根據本發明實施例之在雙鑲嵌互連線結構中整合的Ru金屬覆蓋層的概略橫剖面圖。
圖4繪示根據本發明實施例之處理基板之電漿處理系統的概 略圖。
圖5繪示根據本發明實施例之沉積Ru金屬膜用之熱化學氣相沉積(TCVD)系統的概略圖。
圖6繪示根據本發明另一實施例之沉積Ru金屬膜用之另一TCVD系統的概略圖。
300...雙鑲嵌互連線結構
301...介電層
302...金屬化層
304...介電層
312...第一Ru金屬覆蓋層
318...阻障層
322...Cu路徑
324...第二Ru金屬覆蓋層
326...覆蓋層

Claims (21)

  1. 一種形成半導體元件的方法,包含:在一電漿處理腔室中的一基板支座上設置一圖案化基板,該圖案化基板含有一凹陷特徵部及一第一金屬化層,該凹陷特徵部形成在一低k介電材料之中,而該第一金屬化層係位於該凹陷特徵部的底部;以NHx (x3)自由基及H自由基處理該圖案化基板,該等自由基係從一包含NH3 的第一處理氣體形成在該電漿處理腔室中;於該處理步驟之後,在位於該凹陷特徵部底部之該第一金屬化層上形成一第一釕金屬覆蓋層;於該形成該第一釕金屬覆蓋層之後,將一阻障層沉積在該凹陷特徵部中,包括在該低k介電材料上及在該第一釕金屬覆蓋層上;及以銅金屬填充該凹陷特徵部。
  2. 如申請專利範圍第1項之形成半導體元件的方法,其中,對該圖案化基板的處理更包含:在該第一處理氣體在該電漿處理腔室中大於1Torr的氣壓下處理。
  3. 如申請專利範圍第1項之形成半導體元件的方法,其中,對該圖案化基板的處理更包含:藉由將小於100W的RF功率施加到該基板支座,從該第一處理氣體產生一電漿。
  4. 如申請專利範圍第1項之形成半導體元件的方法,其中,對該圖案化基板的處理抑制該圖案化基板曝露於離子中。
  5. 如申請專利範圍第1項之形成半導體元件的方法,其中,該形成步驟包含:在該第一金屬化層上相對於在該低k介電材料上選擇性地形成一第一釕金屬覆蓋層。
  6. 如申請專利範圍第1項之形成半導體元件的方法,其中,該第一處理氣體由NH3 組成。
  7. 如申請專利範圍第1項之形成半導體元件的方法,其中,該低k介電材料包含一SiCOH材料。
  8. 如申請專利範圍第1項之形成半導體元件的方法,其中,該第一釕金屬覆蓋層的形成包含:在一熱化學氣相沉積處理中,將該圖案化基板曝露在一含有Ru3 (CO)12 前驅物蒸氣與一CO氣體的沉積氣體中。
  9. 如申請專利範圍第1項之形成半導體元件的方法,更包含:在該填充步驟之後,形成一具有數個銅路徑與數個低k介電區域的實質平坦表面;以NHx (x3)自由基及H自由基處理該等銅路徑及該等低k介電區域,該等自由基係從一包含NH3 的第二處理氣體形成在該電漿處理腔室中;及在該等處理過的銅路徑上形成一第二釕金屬覆蓋層。
  10. 如申請專利範圍第9項之形成半導體元件的方法,其中,該等銅路徑及該等低k介電區域的處理更包含:在該第二處理氣體在該電漿處理腔室中大於1Torr的氣壓下處理。
  11. 如申請專利範圍第9項之形成半導體元件的方法,其中,該等銅路徑及該等低k介電區域的處理更包含:藉由將小於100W的RF功率施加到該基板支座,從該第二處理氣體產生一電漿。
  12. 如申請專利範圍第9項之形成半導體元件的方法,其中,該等銅路徑及該等低k介電區域的處理抑制該等銅路徑及該等低k介電區域曝露於離子中。
  13. 一種形成半導體元件的方法,包含:在一電漿處理腔室中的一基板支座上設置一圖案化基板,該圖案化基板具有一實質平坦表面,該實質平坦表面具有數個銅路徑與數個低k介電區域;以NHx (x3)自由基及H自由基處理該等銅路徑及該等低k介電區域,該等自由基係從一包含NH3 的第二處理氣體形成在該電漿處理腔室中;及直接在該等處理過的銅路徑上形成一釕金屬覆蓋層。
  14. 如申請專利範圍第13項之形成半導體元件的方法,其中,該等銅路徑及該等低k介電區域的處理更包含:在該處理氣體在該電漿處理腔室中大於1Torr的氣壓下處理。
  15. 如申請專利範圍第13項之形成半導體元件的方法,其中,該等銅路徑及該等低k介電區域的處理更包含:藉由將小於100W的RF功率施加到該基板支座,從該處理氣體產生一電漿。
  16. 如申請專利範圍第13項之形成半導體元件的方法,其中,該等銅路徑及該等低k介電區域的處理抑制該等銅路徑及該等低k介電區域曝露於離子中。
  17. 如申請專利範圍第13項之形成半導體元件的方法,其中,該形成步驟包含:直接在該等處理過的銅路徑上相對於在該低k介電材料上選擇性地形成一釕金屬覆蓋層。
  18. 如申請專利範圍第13項之形成半導體元件的方法,其中,該處理氣體由NH3 組成。
  19. 如申請專利範圍第13項之形成半導體元件的方法,其中,該低 k介電材料包含一SiCOH材料。
  20. 如申請專利範圍第13項之形成半導體元件的方法,其中,該釕金屬覆蓋層的形成包含:在一熱化學氣相沉積處理中,將該等銅路徑及該等低k介電區域曝露在一含有Ru3 (CO)12 前驅物蒸氣與一CO氣體的沉積氣體中。
  21. 一種形成半導體元件的方法,包含:在一電漿處理腔室中的一基板支座上設置一圖案化基板,該圖案化基板含有一凹陷特徵部及一第一金屬化層,該凹陷特徵部形成於一低k介電材料之中,而該第一金屬化層係位於該凹陷特徵部的底部;以NHx (x3)自由基及H自由基處理該圖案化基板,該等自由基係從一包含NH3 的第一處理氣體形成在該電漿處理腔室中;在該第一金屬化層上相對於在該低k介電材料上選擇性地形成一第一釕金屬覆蓋層,其中該第一釕金屬覆蓋層係與該第一金屬化層直接接觸;將一阻障層沉積在該凹陷特徵部中,其中該阻障層係與該低k介電材料直接接觸,且與該第一釕金屬覆蓋層直接接觸;及以銅金屬填充該凹陷特徵部。
TW098132898A 2008-09-29 2009-09-29 釕金屬覆蓋層之形成方法 TWI436428B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/240,894 US20100081274A1 (en) 2008-09-29 2008-09-29 Method for forming ruthenium metal cap layers

Publications (2)

Publication Number Publication Date
TW201027625A TW201027625A (en) 2010-07-16
TWI436428B true TWI436428B (zh) 2014-05-01

Family

ID=42057914

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098132898A TWI436428B (zh) 2008-09-29 2009-09-29 釕金屬覆蓋層之形成方法

Country Status (6)

Country Link
US (1) US20100081274A1 (zh)
JP (1) JP5674669B2 (zh)
KR (1) KR101532814B1 (zh)
CN (1) CN102165573B (zh)
TW (1) TWI436428B (zh)
WO (1) WO2010037074A1 (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100084766A1 (en) 2008-10-08 2010-04-08 International Business Machines Corporation Surface repair structure and process for interconnect applications
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9673037B2 (en) * 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
JP5140184B1 (ja) * 2011-08-03 2013-02-06 田中貴金属工業株式会社 化学蒸着原料用の有機ルテニウム化合物及び該有機ルテニウム化合物の製造方法
JP5862353B2 (ja) * 2011-08-05 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
KR101444527B1 (ko) * 2011-08-05 2014-09-24 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
JP5788274B2 (ja) * 2011-09-14 2015-09-30 ルネサスエレクトロニクス株式会社 抵抗変化型不揮発記憶装置、半導体装置及び抵抗変化型不揮発記憶装置の製造方法
TWI633624B (zh) * 2011-12-01 2018-08-21 應用材料股份有限公司 用於銅阻障層應用之摻雜的氮化鉭
WO2013095433A1 (en) * 2011-12-21 2013-06-27 Intel Corporation Electroless filled conductive structures
JP5969306B2 (ja) 2012-08-08 2016-08-17 東京エレクトロン株式会社 Cu配線の形成方法
CN102915962B (zh) * 2012-11-12 2016-04-20 上海华力微电子有限公司 铜金属覆盖层的制备方法
CN104124197B (zh) * 2013-04-24 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
JP6139298B2 (ja) 2013-06-28 2017-05-31 東京エレクトロン株式会社 Cu配線の形成方法
JP6257217B2 (ja) 2013-08-22 2018-01-10 東京エレクトロン株式会社 Cu配線構造の形成方法
JP2015115531A (ja) * 2013-12-13 2015-06-22 東京エレクトロン株式会社 半導体装置の製造方法
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP2017069313A (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US9601432B1 (en) * 2015-11-23 2017-03-21 International Business Machines Corporation Advanced metallization for damage repair
TWI790320B (zh) * 2017-12-16 2023-01-21 美商應用材料股份有限公司 釕的選擇性原子層沉積
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
US11270911B2 (en) 2020-05-06 2022-03-08 Applied Materials Inc. Doping of metal barrier layers
US11810857B2 (en) 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
US11881431B2 (en) * 2021-11-22 2024-01-23 International Business Machines Corporation Anti-fuse with laterally extended liner
WO2023162264A1 (ja) * 2022-02-28 2023-08-31 株式会社レゾナック 半導体装置の製造方法、及び半導体装置

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851895A (en) * 1985-05-06 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Metallization for integrated devices
US4929468A (en) * 1988-03-18 1990-05-29 The United States Of America As Represented By The United States Department Of Energy Formation of amorphous metal alloys by chemical vapor deposition
US4938999A (en) * 1988-07-11 1990-07-03 Jenkin William C Process for coating a metal substrate by chemical vapor deposition using a metal carbonyl
US5171610A (en) * 1990-08-28 1992-12-15 The Regents Of The University Of Calif. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films
US5314727A (en) * 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6319832B1 (en) * 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
US6303809B1 (en) * 1999-12-10 2001-10-16 Yun Chi Organometallic ruthenium and osmium source reagents for chemical vapor deposition
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6444263B1 (en) * 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
KR100366639B1 (ko) * 2001-03-23 2003-01-06 삼성전자 주식회사 다공성 산화막 플러그에 의한 저저항 컨택 형성방법 및이를 이용한 반도체 장치의 형성방법
JP4160277B2 (ja) * 2001-06-29 2008-10-01 株式会社東芝 半導体装置の製造方法
KR100727372B1 (ko) * 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
US6420583B1 (en) * 2001-09-27 2002-07-16 Praxair Technology, Inc Methods of synthesizing ruthenium and osmium compounds
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6989321B2 (en) * 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7107998B2 (en) * 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7285308B2 (en) * 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
JP2006179599A (ja) * 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7402519B2 (en) * 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
DE102005046975A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
US7785658B2 (en) * 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7439624B2 (en) * 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
US8034406B2 (en) * 2006-09-26 2011-10-11 Tokyo Electron Limited Integrated substrate processing in a vacuum processing tool
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7592257B2 (en) * 2007-05-14 2009-09-22 Tokyo Electron Limited Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming
US7884018B2 (en) * 2007-06-21 2011-02-08 International Business Machines Corporation Method for improving the selectivity of a CVD process
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device

Also Published As

Publication number Publication date
KR20110081155A (ko) 2011-07-13
JP5674669B2 (ja) 2015-02-25
US20100081274A1 (en) 2010-04-01
KR101532814B1 (ko) 2015-06-30
TW201027625A (en) 2010-07-16
WO2010037074A1 (en) 2010-04-01
CN102165573A (zh) 2011-08-24
JP2012504347A (ja) 2012-02-16
CN102165573B (zh) 2015-07-29

Similar Documents

Publication Publication Date Title
TWI436428B (zh) 釕金屬覆蓋層之形成方法
JP5550566B2 (ja) 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法
JP5406191B2 (ja) ルテニウムの選択堆積を半導体デバイスの作製に統合する方法
US7846841B2 (en) Method for forming cobalt nitride cap layers
US20120252210A1 (en) Method for modifying metal cap layers in semiconductor devices
US7718527B2 (en) Method for forming cobalt tungsten cap layers
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
TWI382496B (zh) 將保形釕層整合至具高縱橫比特徵之銅金屬化結構的方法
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
JP2013219380A (ja) 成膜方法及び成膜装置
TWI445130B (zh) Processing system
KR20180117575A (ko) Cu 배선의 제조 방법 및 Cu 배선 제조 시스템
TW202111870A (zh) 用於混成特徵金屬化之方法與設備
JP6584326B2 (ja) Cu配線の製造方法
WO2008042691A2 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
JP6318744B2 (ja) 半導体装置の製造方法
JP2006024668A (ja) 半導体装置の製造方法
JP2006147895A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees