JP6257217B2 - Cu配線構造の形成方法 - Google Patents

Cu配線構造の形成方法 Download PDF

Info

Publication number
JP6257217B2
JP6257217B2 JP2013172492A JP2013172492A JP6257217B2 JP 6257217 B2 JP6257217 B2 JP 6257217B2 JP 2013172492 A JP2013172492 A JP 2013172492A JP 2013172492 A JP2013172492 A JP 2013172492A JP 6257217 B2 JP6257217 B2 JP 6257217B2
Authority
JP
Japan
Prior art keywords
film
forming
wiring
alloy
wiring structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013172492A
Other languages
English (en)
Other versions
JP2015041708A (ja
Inventor
石坂 忠大
忠大 石坂
鈴木 健二
健二 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2013172492A priority Critical patent/JP6257217B2/ja
Priority to US14/464,684 priority patent/US9368418B2/en
Priority to KR20140109056A priority patent/KR20150022711A/ko
Publication of JP2015041708A publication Critical patent/JP2015041708A/ja
Application granted granted Critical
Publication of JP6257217B2 publication Critical patent/JP6257217B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • H01J37/3429Plural materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、基板上の絶縁膜に形成された凹部にCu配線を形成し、そのCu配線上にキャップ層を介して上層の絶縁膜を形成するCu配線構造の形成方法に関する。
半導体デバイスの製造においては、半導体ウエハに成膜処理やエッチング処理等の各種の処理を繰り返し行って所望のデバイスを製造するが、近時、半導体デバイスの高速化、配線パターンの微細化、高集積化の要求に対応して、配線の低抵抗化(導電性向上)およびエレクトロマイグレーション耐性の向上が求められている。
このような点に対応して、配線材料にアルミニウム(Al)やタングステン(W)よりも導電性が高く(抵抗が低く)かつエレクトロマイグレーション耐性に優れている銅(Cu)が用いられるようになってきている。
Cu配線構造の形成方法としては、トレンチやホールが形成された層間絶縁膜全体にタンタル金属(Ta)、チタン(Ti)、タンタル窒化膜(TaN)、チタン窒化膜(TiN)などからなるバリア膜をPVDであるプラズマスパッタで形成し、バリア膜の上に同じくプラズマスパッタによりCuシード膜を形成し、さらにその上にCuめっきを施してトレンチやホールを完全に埋め込み、ウエハ表面の余分な銅薄膜およびバリア膜をCMP(Chemical Mechanical Polishing)処理により研磨処理して取り除いてCu配線を形成する。その後、Cu配線上にSiCN、SiN等の誘電体からなるキャップ層を形成した後、上層の層間絶縁膜を形成する(例えば特許文献1)。
しかし、半導体デバイスのデザインルールが一層微細化しており、これによる電流密度上昇にともなって、配線材料としてCuを用いてもエレクトロマイグレーション耐性が十分ではなくなってきている。特に、Cu配線のエレクトロマイグレーション劣化は、Cu配線とその上層の誘電体キャップの間の密着不足により生じやすい。
このため、エレクトロマイグレーション耐性を向上させて、さらなる配線の信頼性向上を図ることを目的とする技術として、Cuシード膜の代わりにCu合金(Cu−Al、Cu−Mn、Cu−Mg、Cu−Ag、Cu−Sn、Cu−Pb、Cu−Zn、Cu−Pt、Cu−Au、Cu−Ni、Cu−Coなど)をシード層に用いた配線形成プロセスが提案されている(非特許文献1等)。合金成分は、Cu配線とその上に形成される誘電体キャップ(SiCNキャップ)との間に偏析して両者の密着性を向上させ、これによってエレクトロマイグレーション耐性を向上させることができる。また、Cu合金の合金成分がCuの結晶粒界に偏析することによってもエレクトロマイグレーション耐性を向上させることができる。
また、Cu配線の表面に選択的にメタルキャップを形成してCuと誘電体キャップとの密着性を向上させてエレクトロマイグレーション耐性を向上させる技術も提案されている(特許文献2、3、4等)。
特開2006−148075号公報 特開2011−023456号公報 米国特許第7799681号明細書 特表2012−504347号公報
Nogami et. al. IEDM2010 pp764-767
ところで、Cu配線構造には、配線の抵抗(R)と配線間の容量(C)の積で表されるRC遅延を低減する観点から、層間絶縁膜に誘電率が2.5程度と低い低誘電率膜(Low−k膜)が用いられている。しかしながら、上記非特許文献1の技術では、誘電体キャップを構成するSiCN等は誘電率が5程度と高く、キャップ層を含む配線構造の実効的誘電率が上昇してしまい、RC遅延を低減することが困難である。
また、上記特許文献2〜4のようにCu配線の上にメタルキャップを形成する場合には、配線間のリーク電流を抑制する観点から、Cu配線上のみに選択的にメタルキャップを形成する必要があり、選択性を確保するために工程数が増加し、コストが高くなってしまう。さらに、これらの技術で形成されるメタルキャップは、酸素バリア性が低く、上層の層間絶縁膜中の水分や、大気暴露時の酸素・水分がCu配線に到達し、Cu配線を酸化させてエレクトロマイグレーション劣化の原因となるため、バリア性を確保するために誘電体キャップも必要であり、配線構造の実効的誘電率を低下させることができない。
本発明は、かかる事情に鑑みてなされたものであって、基板上の絶縁膜に形成された凹部にCu配線を形成し、そのCu配線上にキャップ膜を介して上層の絶縁膜を形成してCu配線構造を形成するにあたり、配線構造全体の誘電率上昇を抑制でき、Cu配線に対する酸素バリア性を高くすることができ、キャップ膜のCu膜に対する選択性を高くすることができ、かつ、Cu配線のエレクトロマイグレーション耐性を高くすることができるCu配線構造の形成方法を提供することを課題とする。
上記課題を解決するため、本発明は、基板上の第1の絶縁膜に形成された所定パターンの凹部にCu配線を形成し、その後前記Cu配線上に、キャップ層を介して上層の絶縁膜を形成するCu配線構造の形成方法であって、少なくとも前記凹部の表面にCu拡散のバリアとなるバリア膜を形成する工程と、前記凹部内に、Cuを主体とし、少なくとも一部にCu−Al合金部分を有するAl含有Cu膜を埋め込む工程と、前記Al含有Cu膜からCu配線を形成する工程と、前記Cu配線の上にRu膜からなるキャップ層を形成する工程と、前記キャップ層を形成する際の熱またはその後の加熱処理により、前記Cu配線の前記キャップ層との界面近傍に、Ru−Al合金を含む界面層を形成する工程と、前記キャップ層の上に第2の絶縁膜を形成する工程とを有し、前記第1の絶縁膜および前記第2の絶縁膜は、層間絶縁膜であり、低誘電率膜からなることを特徴とするCu配線構造の形成方法を提供する。
本発明において、前記Al含有Cu膜としては、Cu−Al合金膜を好適に用いることができる。Cu−Al合金膜の形成は、基板が収容された処理容器内にプラズマ生成ガスによりプラズマを生成し、Cu−Al合金からなるターゲットから粒子を飛翔させて、粒子を前記プラズマ中でイオン化させ、前記基板にバイアス電力を印加してイオンを基板上に引きこむ装置により行われることが好ましい。
また、前記Al含有Cu膜を形成する工程は、最初にCu−Al合金膜を形成し、次いで純Cu膜を形成することにより行うことができる。この場合に、前記Cu−Al合金膜はPVDにより形成し、純Cu膜はめっきまたはPVDにより形成することができる。
前記Al含有Cu膜を形成する工程は、前記凹部に純Cu膜を埋め込んだ後、前記純Cu膜の上部のみをCu−Al合金にすることにより行うことができる。この場合に、前記純Cu膜の上面部分にAlを拡散させることにより前記純Cu膜の上部のみをCu−Al合金にすることができる。
前記バリア膜を形成した後、前記Al含有Cu膜を形成する前に、Ru膜を形成する工程をさらに有することが好ましい。前記Ru膜は、CVDにより形成されることが好ましい。
前記凹部内のAl含有Cu膜からCu配線を形成する工程は、前記凹部内のAl含有Cu膜の上に積み増し層を形成した後、全面を研磨するものとすることができる。
前記バリア膜は、Ti膜、TiN膜、Ta膜、TaN膜、Ta/TaNの2層膜、TaCN膜、W膜、WN膜、WCN膜、Zr膜、ZrN膜、V膜、VN膜、Nb膜、NbN膜からなる群から選択されるものを用いることができる。
本発明はまた、コンピュータ上で動作し、Cu配線形成システムを制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、上記Cu配線構造の形成方法が行われるように、コンピュータに前記Cu配線形成システムを制御させることを特徴とする記憶媒体を提供する。
本発明によれば、Cu配線の上に、キャップ層としてCu配線との密着性が良好なメタルキャップであるRu膜を形成するので、Cu配線のエレクトロマイグレーション耐性を向上させることができる。また、キャップ層をRu膜で構成することにより、特別な工程を経ることなく、Cu配線の上のみに選択的にキャップ層を成膜することができる。さらに、キャップ層を構成するRu膜を成膜する際の熱、または別途の熱処理により、Cu配線とキャップ層との界面に、酸素バリア性の高いRu−Al合金を含む界面層が形成されるため、誘電体キャップを形成することなく、上層の層間絶縁膜を成膜することができる。このため、配線構造の実効的な誘電率を低下させることができる。
本発明の一実施形態に係るCu配線構造の形成方法を示すフローチャートである。 本発明の一実施形態に係るCu配線構造の形成方法を説明するための工程断面図である。 Cu配線を構成するCu−Al合金膜中のAlがCu配線とRu膜からなるキャップ層との間に拡散して界面層を形成する状態を示す模式図である。 本発明の実施形態に係るCu配線の形成方法の実施に好適なマルチチャンバタイプの成膜システムの一例を示す平面図である。 図4の成膜システムに搭載された、Cu合金膜を形成するためのCu合金膜成膜装置を示す断面図である。 図4の成膜システムに搭載された、Ru膜を形成するためのRu膜成膜装置を示す断面図である。 酸素バリア性を確認した実験例に用いたサンプルの構造を示す模式図である。 図7の構造を有する複数のサンプルについて大気中、180℃で2時間のアニール処理を行った後の状態を示す顕微鏡写真である。
以下、添付図面を参照して本発明の実施形態について具体的に説明する。
<Cu配線構造の形成方法の一実施形態>
まず、Cu配線構造の形成方法の一実施形態について図1のフローチャートおよび図2の工程断面図を参照して説明する。
本実施形態では、まず、下層Cu配線を有する下部構造201(詳細は省略)の上にSiO膜、Low−k膜(SiCO、SiCOH等)等の層間絶縁膜202を有し、そこにトレンチ203および下層Cu配線への接続のためのビア(図示せず)が所定パターンで形成された半導体ウエハ(以下、単にウエハと記す)Wを準備する(ステップ1、図2(a))。このようなウエハWとしては、DegasプロセスやPre−Cleanプロセスによって、絶縁膜表面の水分やエッチング/アッシング時の残渣を除去したものであることが好ましい。なお、RC遅延を低減する観点からは、層間絶縁膜202としてLow−k膜を用いることが好ましい。
次に、トレンチ203およびビアの表面を含む全面にCuを遮蔽(バリア)してCuの拡散を抑制するバリア膜204を成膜する(ステップ2、図2(b))。
バリア膜204としては、Cuに対して高いバリア性を有し、低抵抗を有するものが好ましく、Ti膜、TiN膜、Ta膜、TaN膜、Ta/TaNの2層膜を好適に用いることができる。また、TaCN膜、W膜、WN膜、WCN膜、Zr膜、ZrN膜、V膜、VN膜、Nb膜、NbN膜等を用いることもできる。Cu配線はトレンチまたはホール内に埋め込むCuの体積が大きくなるほど低抵抗になるので、バリア膜は薄く形成することが好ましく、そのような観点からその厚さは1〜20nmが好ましい。より好ましくは1〜10nmである。バリア膜は、イオン化PVD(Ionized Physical Vapor Deposition;iPVD)、例えばプラズマスパッタにより成膜することができる。また、通常のスパッタ、イオンプレーティング等の他のPVDで成膜することもでき、CVDやALD、プラズマを用いたCVDやALDで成膜することもできる。
次いで、バリア膜204の上にRuライナー膜205を成膜する(ステップ3、図2(c))。Ruライナー膜は、埋め込むCuの体積を大きくして配線を低抵抗にする観点から、例えば1〜5nmと薄く形成することが好ましい。
RuはCuに対する濡れ性が高いため、Cuの下地にRuライナー膜を形成することにより、次のiPVDによるCu膜形成の際に、良好なCuの移動性を確保することができ、トレンチやホールの間口を塞ぐオーバーハングを生じ難くすることができる。このため、微細なトレンチまたはホールにもボイドを発生させずに確実にCuを埋め込むことができる。
Ruライナー膜は、ルテニウムカルボニル(Ru(CO)12)を成膜原料として用いる熱CVDにより好適に形成することができる。これにより、高純度で薄いRu膜を高ステップカバレッジで成膜することができる。このときの成膜条件は、例えば処理容器内の圧力が1.3〜66.5Paの範囲であり、成膜温度(ウエハ温度)が150〜250℃の範囲である。CVDによるRuライナー膜205は、ルテニウムカルボニル以外の他の成膜原料、例えば(シクロペンタジエニル)(2,4−ジメチルペンタジエニル)ルテニウム、ビス(シクロペンタジエニル)(2,4−メチルペンタジエニル)ルテニウム、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、ビス(2,4−メチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウムのようなルテニウムのペンタジエニル化合物を用いて成膜することもできる。Ruライナー膜は、PVDで成膜することもできる。
なお、トレンチやビアの間口が広く、オーバーハングが生じにくい場合等には、必ずしもRuライナー膜205を形成する必要はなく、バリア膜の上に直接Cu膜を形成してもよい。
次いで、PVDによりCu−Al合金からなるCu合金膜206を形成し、トレンチ203およびビア(図示せず)にCu−Al合金を埋め込む(ステップ4、図2(d))。この際の成膜は、iPVD、例えばプラズマスパッタを用いることが好ましい。
通常のPVD成膜の場合には、Cuの凝集により、トレンチやホールの間口を塞ぐオーバーハングが生じやすいが、iPVDを用い、ウエハに印加するバイアスパワーを調整して、Cuイオンの成膜作用とプラズマ生成ガスのイオン(Arイオン)によるエッチング作用とを制御することにより、Cuを移動させてオーバーハングの生成を抑制することができ、狭い開口のトレンチやホールであっても良好な埋め込み性を得ることができる。このとき、Cuの流動性を持たせて良好な埋め込み性を得る観点から、Cuがマイグレートする高温プロセス(65〜350℃、好ましくは230〜300℃)を好適に用いることができる。このように高温プロセスでPVD成膜することにより、Cu結晶粒を成長させることができ、Cu配線の抵抗を低くすることができる。また、上述したように、Cu合金膜206の下地にCuに対する濡れ性が高いRuライナー膜205を設けることにより、Ruライナー膜上でCuが凝集せず流動するので、微細な凹部においてもオーバーハングの生成を抑制することができ、ボイドを発生させずに確実にCuを埋め込むことができる。
なお、この工程では、トレンチやホールの開口幅が小さい場合には、ほぼ完全にCu合金を埋め込むことができるが、開口幅が大きい場合等に、多少の凹みが生じることは許容される。
また、Cu合金膜成膜時における処理容器内の圧力(プロセス圧力)は、0.133〜13.3Pa(1〜100mTorr)が好ましく、4.66〜12.0Pa(35〜90mTorr)がより好ましい。
Cu合金膜206を構成するCu−Al合金のAl濃度は0.05〜2at.%の範囲であることが好ましい。
Cu合金膜206は、Cu−Al合金製のCu合金ターゲットを用いて成膜するが、その際のターゲットの組成(Al濃度)と成膜されるCu−Al合金膜の組成(Al濃度)との関係は、圧力等の成膜条件により変動するから、実際に採用される製造条件において所望の組成が得られるようにターゲットを構成するCu−Al合金の組成を調整する必要がある。なお、Cu合金ターゲットへの直流電力は4〜12kWであることが好ましく、6〜10kWがより好ましい。
このようにトレンチ203およびビア(ホール)内にCu合金を埋め込んだ後は、その後の平坦化処理に備えてCu合金膜206の上に積み増し層207を成膜する(ステップ5、図2(e))。
積み増し層207は、Cu合金膜206に引き続いてiPVD等のPVDにより同じCu−Al合金膜を成膜することにより形成してもよいし、純Cu膜をPVDまたはめっきにより形成してもよい。ただし、良好なスループットを得る観点、および装置の簡略化の観点等から、Cu合金膜206を形成したのと同じPVD(iPVD)装置を用いて、Cu合金膜206と同じCu−Al合金膜によって積み増し層207を形成することが好ましい。積み増し層207は埋め込み性をほとんど考慮する必要はないため、PVDで成膜する際には、Cu合金膜206よりも高い成膜速度で形成することが好ましい。
このようにして積み増し層207まで成膜した後、必要に応じてアニール処理を行う(ステップ6、図2(f))。このアニール処理により、Cu合金膜206を安定化させる。
この後、CMPによりウエハW表面の全面を研磨して、積み増し層207、Cu合金膜206、Ruライナー膜205、バリア膜204を除去して平坦化する(ステップ7、図2(g))。これによりトレンチおよびビア(ホール)内にCu−Al合金からなるCu配線208が形成される。
その後、必要に応じてデガスプロセスを行ってCMPにより層間絶縁膜202が吸収した水分を除去した後、Cu配線208の上に選択的にRu膜からなるキャップ層209を成膜する(ステップ8、図2(h))。このキャップ層209は、Ruライナー膜205と同様の条件でCVDにより好適に成膜することができる。また、PVDで成膜することもできる。キャップ層209の厚さは1〜10nmであることが好ましい。
Ru膜からなるキャップ層209を成膜した際に、その際の熱により、Cu配線208とキャップ層209の界面に界面層210が形成される(ステップ9、図2(i))。界面層210を形成するために別途にアニール処理を行ってもよい。
この界面層210が形成される際のメカニズムを図3を参照して説明する。Ru膜からなるキャップ層209を成膜する際には、好適にはCVDにより成膜されるが、その際には150〜250℃に加熱され、その際の熱により、Cu配線208を構成するCu−Al合金中のAlがCu配線208とキャップ層209の界面に向けて拡散し、これらの界面にRuとAlとを含む界面層210が形成される。界面層210においては、RuとAlとが反応してRu−Al合金を形成している。なお、ここでいう合金は、固溶体のみならず金属間化合物も含むものである。
この界面層210のRu−Al合金は、酸素バリア性を有しており、上層の層間絶縁膜中の水分や、大気暴露時の酸素・水分の透過を防止して、Cu配線208のエレクトロマイグレーション劣化を防ぐことができる。また、Cuの拡散バリアとしても機能する。このため、従来、Cu配線上部のバリア性を担保するために設けられていた誘電体キャップが不要となる。なお、図示はしていないが、Ru−Al合金を含む界面層は、Ruライナー膜205とCu配線208との間にも形成される。
このように、界面層210により酸素バリア性を確保することができるため、キャップ層209を形成した後、誘電体キャップを形成することなく、上層の層間絶縁膜211を形成する(ステップ10、図2(j))。上層の層間絶縁膜211も、層間絶縁膜202と同様、SiOやLow−k膜を用いることができるが、RC遅延を低減する観点から、Low−k膜を用いることが好ましい。
上層の層間絶縁膜211を形成した後は、以上に説明した手順と同じ手順で上層のCu配線を形成する。
以上のように、本実施形態によれば、Cu配線208の上に、キャップ層209としてCu配線との密着性が良好なメタルキャップであるRu膜を形成するので、Cu配線のエレクトロマイグレーション耐性を向上させることができる。また、キャップ層209を構成するRu膜は、Cuに対する濡れ性が高く、層間絶縁膜202の上よりもCu配線208の上のほうがRu膜成膜の際のインキュベーション時間が著しく短く、したがって特別な工程を経ることなく、Cu配線208の上のみに選択的にRu膜からなるキャップ層209を成膜することができる。さらに、キャップ層209を構成するRu膜を成膜する際の熱、または別途の熱処理により、Cu配線208とキャップ層209との界面に、酸素バリア性の高いRu−Al合金を含む界面層210が形成されるため、誘電体キャップを形成することなく、上層の層間絶縁膜を成膜することができる。このため、配線構造の実効的な誘電率を低下させることができる。
また、Cu配線208としてCu−Al合金を用いるので、合金成分であるAlがCuの結晶粒界に偏析し、それによってエレクトロマイグレーション耐性を一層向上させることができる。
さらに、Cuに対する濡れ性の高いRuライナー膜205を形成した後に、PVDでCu合金膜206を形成してトレンチおよびビアを埋め込むので、Cuめっきの場合のようなボイドを生じさせることなく良好な埋め込み性を得ることができる。
なお、上記一連の工程のうち、バリア膜204を成膜するステップ2、Ruライナー膜205を成膜するステップ3、Cu合金膜206を成膜するステップ4、積み増し層207を成膜するステップ5は、真空中で大気暴露を経ずに連続して成膜することが好ましいが、これらのいずれかの間で大気暴露してもよい。
<本発明の実施形態の実施に好適な成膜システム>
次に、本発明の実施形態に係るCu配線の形成方法の実施に好適な成膜システムについて説明する。図4は本発明の実施形態に係るCu配線の形成方法の実施に好適なマルチチャンバタイプの成膜システムの一例を示す平面図である。
成膜システム1は、バリア膜、Ruライナー膜、およびキャップ層であるRu膜を形成する第1の処理部2と、純Cu膜およびCu合金膜を形成する第2の処理部3と、搬入出部4とを有しており、ウエハWに対してCu配線を形成するためのものであり、上記実施形態における積み増し層の形成まで、およびキャップ層の形成を行うものである。
第1の処理部2は、平面形状が七角形をなす第1の真空搬送室11と、この第1の真空搬送室11の4つの辺に対応する壁部に接続された、2つのバリア膜成膜装置12a,12bおよび2つのRu膜成膜装置14a,14bとを有している。バリア膜成膜装置12aおよびRu膜成膜装置14aとバリア膜成膜装置12bおよびRu膜成膜装置14bとは線対称の位置に配置されている。
第1の真空搬送室11の他の2辺に対応する壁部には、それぞれウエハWのデガス処理を行うデガス室5a,5bが接続されている。また、第1の真空搬送室11のデガス室5aと5bとの間の壁部には、第1の真空搬送室11と後述する第2の真空搬送室21との間でウエハWの受け渡しを行う受け渡し室5が接続されている。
バリア膜成膜装置12a,12b、Ru膜成膜装置14a,14b、デガス室5a,5b、および受け渡し室5は、第1の真空搬送室11の各辺にゲートバルブGを介して接続され、これらは対応するゲートバルブGを開放することにより第1の真空搬送室11と連通され、対応するゲートバルブGを閉じることにより第1の真空搬送室11から遮断される。
第1の真空搬送室11内は所定の真空雰囲気に保持されるようになっており、その中には、バリア膜成膜装置12a,12b、Ru膜成膜装置14a,14b、デガス室5a,5b、および受け渡し室5に対してウエハWの搬入出を行う第1の搬送機構16が設けられている。この第1の搬送機構16は、第1の真空搬送室11の略中央に配設されており、回転および伸縮可能な回転・伸縮部17を有し、その回転・伸縮部17の先端にウエハWを支持する2つの支持アーム18a,18bが設けられており、これら2つの支持アーム18a,18bは互いに反対方向を向くように回転・伸縮部17に取り付けられている。
第2の処理部3は、平面形状が八角形をなす第2の真空搬送室21と、この第2の真空搬送室21の対向する2つの辺に対応する壁部に接続された、Cu−Al合金膜を成膜するための2つのCu合金膜成膜装置22a,22bと、積み増し層用の、純Cu膜またはCu合金膜を成膜するための2つのCu膜成膜装置24a,24bを有している。なお、Cu合金膜成膜装置22a,22bにより積み増し層まで同じCu−Al合金で成膜する場合には、Cu膜成膜装置24a,24bは不要である。
第2の真空搬送室21の第1の処理部2側の2辺に対応する壁部には、それぞれ上記デガス室5a,5bが接続され、デガス室5aと5bとの間の壁部には、上記受け渡し室5が接続されている。すなわち、受け渡し室5ならびにデガス室5aおよび5bは、いずれも第1の真空搬送室11と第2の真空搬送室21との間に設けられ、受け渡し室5の両側にデガス室5aおよび5bが配置されている。さらに、搬入出部4側の辺には、大気搬送および真空搬送可能なロードロック室6が接続されている。
Cu合金膜成膜装置22a,22b、Cu膜成膜装置24a,24bデガス室5a,5b、およびロードロック室6は、第2の真空搬送室21の各辺にゲートバルブGを介して接続され、これらは対応するゲートバルブを開放することにより第2の真空搬送室21と連通され、対応するゲートバルブGを閉じることにより第2の真空搬送室21から遮断される。また、受け渡し室5はゲートバルブを介さずに第2の搬送室21に接続されている。
第2の真空搬送室21内は所定の真空雰囲気に保持されるようになっており、その中には、Cu合金膜成膜装置22a,22b、Cu膜成膜装置24a,24b、デガス室5a,5b、ロードロック室6および受け渡し室5に対してウエハWの搬入出を行う第2の搬送機構26が設けられている。この第2の搬送機構26は、第2の真空搬送室21の略中央に配設されており、回転および伸縮可能な回転・伸縮部27を有し、その回転・伸縮部27の先端にウエハWを支持する2つの支持アーム28a,28bが設けられており、これら2つの支持アーム28a,28bは互いに反対方向を向くように回転・伸縮部27に取り付けられている。
搬入出部4は、上記ロードロック室6を挟んで第2の処理部3と反対側に設けられており、ロードロック室6が接続される大気搬送室31を有している。ロードロック室6と大気搬送室31との間の壁部にはゲートバルブGが設けられている。大気搬送室31のロードロック室6が接続された壁部と対向する壁部には被処理基板としてのウエハWを収容するキャリアCを接続する2つの接続ポート32,33が設けられている。これら接続ポート32,33にはそれぞれ図示しないシャッターが設けられており、これら接続ポート32,33にウエハWを収容した状態の、または空のキャリアCが直接取り付けられ、その際にシャッターが外れて外気の侵入を防止しつつ大気搬送室31と連通するようになっている。また、大気搬送室31の側面にはアライメントチャンバ34が設けられており、そこでウエハWのアライメントが行われる。大気搬送室31内には、キャリアCに対するウエハWの搬入出およびロードロック室6に対するウエハWの搬入出を行う大気搬送用搬送機構36が設けられている。この大気搬送用搬送機構36は、2つの多関節アームを有しており、キャリアCの配列方向に沿ってレール38上を走行可能となっていて、それぞれの先端のハンド37上にウエハWを載せてその搬送を行うようになっている。
この成膜システム1は、この成膜システム1の各構成部を制御するための制御部40を有している。この制御部40は、各構成部の制御を実行するマイクロプロセッサ(コンピュータ)からなるプロセスコントローラ41と、オペレータが成膜システム1を管理するためにコマンドの入力操作等を行うキーボードや、成膜システム1の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース42と、成膜システム1で実行される処理をプロセスコントローラ41の制御にて実現するための制御プログラムや、各種データ、および処理条件に応じて処理装置の各構成部に処理を実行させるためのプログラムすなわち処理レシピが格納された記憶部43とを備えている。なお、ユーザーインターフェース42および記憶部43はプロセスコントローラ41に接続されている。
上記処理レシピは記憶部43の中の記憶媒体43aに記憶されている。記憶媒体は、ハードディスクであってもよいし、CDROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。
そして、必要に応じて、ユーザーインターフェース42からの指示等にて任意のレシピを記憶部43から呼び出してプロセスコントローラ41に実行させることで、プロセスコントローラ41の制御下で、成膜システム1での所望の処理が行われる。
このような成膜システム1においては、キャリアCから大気搬送用搬送機構36によりトレンチやホールを有する所定パターンが形成されたウエハWを取り出し、ロードロック室6に搬送し、そのロードロック室を第2の真空搬送室21と同程度の真空度に減圧した後、第2の搬送機構26によりロードロック室のウエハWを取り出し、第2の真空搬送室21を介してデガス室5aまたは5bに搬送し、ウエハWのデガス処理を行う。その後、第1の搬送機構16によりデガス室のウエハWを取り出し、第1の真空搬送室11を介してバリア膜成膜装置12aまたは12bに搬入し、上述したようなバリア膜を成膜する。バリア膜成膜後、第1の搬送機構16によりバリア膜成膜装置12aまたは12bからウエハWを取り出し、Ru膜成膜装置14aまたは14bに搬入し、上述したようなRuライナー膜を成膜する。Ruライナー膜成膜後、第1の搬送機構16によりRu膜成膜装置14aまたは14bからウエハWを取り出し、受け渡し室5に搬送する。その後、第2の搬送機構26によりウエハWを取り出し、第2の真空搬送室21を介してCu合金膜成膜装置22aまたは22bに搬入し、上述したCu−Al合金膜を形成する。その後、Cu合金膜の上に積み増し層を形成するが、積み増し層の形成は、同じCu合金膜成膜装置22aまたは22b内でCu合金膜を連続して形成することにより行ってもよいし、第2の搬送機構26によりCu合金膜成膜装置22aまたは22bからウエハWを取り出して、Cu膜成膜装置24aまたは24bに搬入し、そこで純Cu膜またはCu合金膜を形成して積み増し層としてもよい。
積み増し層の形成後、ウエハWをロードロック室6に搬送し、そのロードロック室を大気圧に戻した後、大気搬送用搬送機構36によりCu膜が形成されたウエハWを取り出し、キャリアCに戻す。このような処理をキャリア内のウエハWの数の分だけ繰り返す。
次に、キャリアCは、一旦成膜システム1から搬出され、キャリアCに搭載されたウエハWは、図示しない装置によりアニールやCMPが施され、その後、再び成膜システム1に戻されて、キャリアCに搭載されたウエハWは、Ru膜成膜装置14aまたは14bによりRu膜からなるキャップ層を成膜する。
成膜システム1によれば、大気開放することなく真空中でバリア膜、ライナー膜、Cu合金膜、積み増し層を成膜するので、各膜の界面での酸化を防止することができ、高性能のCu配線を得ることができる。
なお、積み増し層をCuめっきで形成する場合には、Cu合金膜を成膜後、成膜システム1からウエハWを搬出する。
次に、成膜システムに搭載された各装置の好適な例について説明する。
<Cu膜成膜装置>
最初に、Cu合金膜を形成するCu合金膜成膜装置22a(22b)について説明する。
図5は、Cu合金膜成膜装置の一例を示す断面図である。ここではiPVDであるICP(Inductively Coupled Plasma)型プラズマスパッタ装置を例にとって説明する。
図5に示すように、このCu合金膜成膜装置22a(22b)は、例えばアルミニウム等により筒体状に成形された処理容器51を有している。この処理容器51は接地され、その底部52には排気口53が設けられており、排気口53には排気管54が接続されている。排気管54には圧力調整を行うスロットルバルブ55および真空ポンプ56が接続されており、処理容器51内が真空引き可能となっている。また処理容器51の底部52には、処理容器51内へ所定のガスを導入するガス導入口57が設けられる。このガス導入口57にはガス供給配管58が接続されており、ガス供給配管58には、プラズマ励起用ガスとして希ガス、例えばArガスや他の必要なガス例えばNガス等を供給するためのガス供給源59が接続されている。また、ガス供給配管58には、ガス流量制御器、バルブ等よりなるガス制御部60が介装されている。
処理容器51内には、被処理基板であるウエハWを載置するための載置機構62が設けられる。この載置機構62は、円板状に成形された載置台63と、この載置台63を支持するとともに接地された中空筒体状の支柱64とを有している。載置台63は、例えばアルミニウム合金等の導電性材料よりなり、支柱64を介して接地されている。載置台63の中には冷却ジャケット65が設けられており、図示しない冷媒流路を介して冷媒を供給するようになっている。また、載置台63内には冷却ジャケット65の上に絶縁材料で被覆された抵抗ヒーター87が埋め込まれている。抵抗ヒーター87は図示しない電源から給電されるようになっている。載置台63には熱電対(図示せず)が設けられており、この熱電対で検出された温度に基づいて、冷却ジャケット65への冷媒の供給および抵抗ヒーター87への給電を制御することにより、ウエハ温度を所定の温度に制御できるようになっている。
載置台63の上面側には、例えばアルミナ等の誘電体部材66aの中に電極66bが埋め込まれて構成された薄い円板状の静電チャック66が設けられており、ウエハWを静電力により吸着保持できるようになっている。また、支柱64の下部は、処理容器51の底部52の中心部に形成された挿通孔67を貫通して下方へ延びている。支柱64は、図示しない昇降機構により上下移動可能となっており、これにより載置機構62の全体が昇降される。
支柱64を囲むように、伸縮可能に構成された蛇腹状の金属ベローズ68が設けられており、この金属ベローズ68は、その上端が載置台63の下面に気密に接合され、また下端が処理容器51の底部52の上面に気密に接合されており、処理容器51内の気密性を維持しつつ載置機構62の昇降移動を許容できるようになっている。
また底部52には、上方に向けて例えば3本(図5では2本のみ示す)の支持ピン69が起立させて設けられており、また、この支持ピン69に対応させて載置台63にピン挿通孔70が形成されている。したがって、載置台63を降下させた際に、ピン挿通孔70を貫通した支持ピン69の上端部でウエハWを受けて、そのウエハWを外部より侵入する搬送アーム(図示せず)との間で移載することができる。このため、処理容器51の下部側壁には、搬送アームを侵入させるために搬出入口71が設けられ、この搬出入口71には、開閉可能になされたゲートバルブGが設けられている。このゲートバルブGの反対側には、前述した第2の真空搬送室21が設けられている。
また上述した静電チャック66の電極66bには、給電ライン72を介してチャック用電源73が接続されており、このチャック用電源73から電極66bに直流電圧を印加することにより、ウエハWが静電力により吸着保持される。また給電ライン72にはバイアス用高周波電源74が接続されており、この給電ライン72を介して静電チャック66の電極66bに対してバイアス用の高周波電力を供給し、ウエハWにバイアス電力が印加されるようになっている。この高周波電力の周波数は、400kHz〜60MHzが好ましく、例えば13.56MHzが採用される。
一方、処理容器51の天井部には、例えばアルミナ等の誘電体よりなる高周波に対して透過性のある透過板76がOリング等のシール部材77を介して気密に設けられている。そして、この透過板76の上部に、処理容器51内の処理空間Sにプラズマ励起用ガスとしての希ガス、例えばArガスをプラズマ化してプラズマを発生するためのプラズマ発生源78が設けられる。なお、このプラズマ励起用ガスとして、Arに代えて他の希ガス、例えばHe、Ne、Kr等を用いてもよい。
プラズマ発生源78は、透過板76に対応させて設けた誘導コイル80を有しており、この誘導コイル80には、プラズマ発生用の例えば13.56MHzの高周波電源81が接続されて、上記透過板76を介して処理空間Sに高周波電力が導入され誘導電界を形成するようになっている。
また透過板76の直下には、導入された高周波電力を拡散させる例えばアルミニウムよりなるバッフルプレート82が設けられる。そして、このバッフルプレート82の下部には、上記処理空間Sの上部側方を囲むようにして例えば断面が内側に向けて傾斜されて環状(截頭円錐殻状)のCu−Al合金からなるターゲット83が設けられており、このターゲット83にはArイオンを引きつけるための直流電力を印加するターゲット用の電圧可変の直流電源84が接続されている。なお、直流電源に代えて交流電源を用いてもよい。
また、ターゲット83の外周側には、これに磁界を付与するための磁石85が設けられている。ターゲット83はプラズマ中のArイオンにより金属原子、あるいは金属原子団としてスパッタされるとともに、プラズマ中を通過する際に多くはイオン化される。
またこのターゲット83の下部には、上記処理空間Sを囲むようにして例えばアルミニウムや銅よりなる円筒状の保護カバー部材86が設けられている。この保護カバー部材86は接地されるとともに、その下部は内側へ屈曲されて載置台63の側部近傍に位置されている。したがって、保護カバー部材86の内側の端部は、載置台63の外周側を囲むようにして設けられている。
なお、Cu合金膜成膜装置の各構成部も、上述の制御部40により制御されるようになっている。
このように構成されるCu合金膜成膜装置においては、ウエハWを図5に示す処理容器51内へ搬入し、このウエハWを載置台63上に載置して静電チャック66により吸着し、制御部40の制御下で以下の動作が行われる。このとき、載置台63は、熱電対(図示せず)で検出された温度に基づいて、冷却ジャケット65への冷媒の供給および抵抗ヒーター87への給電を制御することにより温度制御される。
成膜に際しては、まず、真空ポンプ56を動作させることにより所定の真空状態にされた処理容器51内に、ガス制御部60を操作して所定流量でArガスを流しつつスロットルバルブ55を制御して処理容器51内を所定の真空度に維持する。その後、可変直流電源84から直流電力をターゲット83に印加し、さらにプラズマ発生源78の高周波電源81から誘導コイル80に高周波電力(プラズマ電力)を供給する。一方、バイアス用高周波電源74から静電チャック66の電極66bに対して所定のバイアス用の高周波電力を供給する。
これにより、処理容器51内においては、誘導コイル80に供給された高周波電力によりアルゴンプラズマが形成されてアルゴンイオンが生成され、これらイオンはターゲット83に印加された直流電圧に引き寄せられてターゲット83に衝突し、このターゲット83がスパッタされて粒子が放出される。この際、ターゲット83に印加する直流電圧により放出される粒子の量が最適に制御される。
また、スパッタされたターゲット83からの粒子はプラズマ中を通る際に多くはイオン化される。ここでターゲット83から放出される粒子は、イオン化されたものと電気的に中性な中性原子とが混在する状態となって下方向へ飛散して行く。特に、この処理容器51内の圧力をある程度高くし、これによりプラズマ密度を高めることにより、粒子を高効率でイオン化することができる。この時のイオン化率は高周波電源81から供給される高周波電力により制御される。
そして、イオンは、高周波電源74から静電チャック66の電極66bに印加されたバイアス用の高周波電力によりウエハW面上に形成される厚さ数mm程度のイオンシースの領域に入ると、強い指向性をもってウエハW側に加速するように引き付けられてウエハWに堆積してCu−Al合金からなるCu合金膜が形成される。
このとき、ウエハ温度を高く(65〜350℃、好ましくは230〜300℃)設定するとともに、バイアス用高周波電源74から静電チャック66の電極66bに対して印加されるバイアスパワーを調整してCu合金の成膜とArによるエッチングを調整して、Cu−Al合金の流動性を良好にすることにより、開口が狭いトレンチやホールであっても良好な埋め込み性でCu−Al合金を埋め込むことができる。具体的には、Cu合金成膜量(成膜レート)をT、プラズマ生成用のガスのイオンによるエッチング量(エッチングレート)をTとすると、0≦T/T<1、さらには0<T/T<1となるようにバイアスパワーを調整することが好ましい。
良好な埋め込み性を得る観点から、処理容器51内の圧力(プロセス圧力)は、0.133〜13.3Pa(1〜100mTorr)、さらには4.66〜12.0Pa(35〜90mTorr)が好ましく、ターゲットへの直流電力は4〜12kW、さらには6〜10kWとすることが好ましい。
なお、トレンチやホールの開口が広い場合等には、iPVDに限らず、通常のスパッタ、イオンプレーティング等の通常のPVDを用いることもできる。
<Cu膜成膜装置>
Cu膜成膜装置24a(24b)としては、基本的に、図5に示すCu合金膜成膜装置22a(22b)と同様の装置を用いることができる。純Cuを成膜する際には、ターゲット83として純Cuを用いる。また、埋め込み性を重視する必要がない場合等には、iPVDに限らず、通常のスパッタ、イオンプレーティング等の通常のPVDを用いることもできる。
<バリア膜成膜装置>
バリア膜成膜装置12a(12b)としては、ターゲット83をバリア膜を構成する材料に変えるのみで図5の成膜装置と同様の構成の成膜装置を用いてプラズマスパッタにより成膜することができる。また、プラズマスパッタに限定されず、通常のスパッタ、イオンプレーティング等の他のPVDであってもよく、CVDやALD(Atomic Layer Deposition)、プラズマを用いたCVDやALDで成膜することもできる。不純物を低減する観点からはPVDが好ましい。
<Ru膜成膜装置>
次に、Ruライナー膜およびキャップ層を構成するRu膜を形成するためのRu膜成膜装置14a(14b)について説明する。Ru膜は熱CVDにより好適に形成することができる。図6は、Ru膜成膜装置の一例を示す断面図であり、熱CVDによりRu膜を形成するものである。
図6に示すように、このRu膜成膜装置14a(14b)は、例えばアルミニウム等により筒体に形成された処理容器101を有している。処理容器101の内部には、ウエハWを載置する例えばAlN等のセラミックスからなる載置台102が配置されており、この載置台102内にはヒーター103が設けられている。このヒーター103はヒーター電源(図示せず)から給電されることにより発熱する。
処理容器101の天壁には、Ru膜を形成するための処理ガスやパージガス等を処理容器101内にシャワー状に導入するためのシャワーヘッド104が載置台102と対向するように設けられている。シャワーヘッド104はその上部にガス導入口105を有し、その内部にガス拡散空間106が形成されており、その底面には多数のガス吐出孔107が形成されている。ガス導入口105にはガス供給配管108が接続されており、ガス供給配管108にはRu膜を形成するための処理ガスやパージガス等を供給するためのガス供給源109が接続されている。また、ガス供給配管108には、ガス流量制御器、バルブ等よりなるガス制御部110が介装されている。Ru膜を成膜するためのガスとしては、上述したように、好適なものとしてルテニウムカルボニル(Ru(CO)12)を挙げることができる。このルテニウムカルボニルは熱分解によりRu膜を形成することができる。
処理容器101の底部には、排気口111が設けられており、この排気口111には排気管112が接続されている。排気管112には圧力調整を行うスロットルバルブ113および真空ポンプ114が接続されており、処理容器101内が真空引き可能となっている。
載置台102には、ウエハ搬送用の3本(2本のみ図示)のウエハ支持ピン116が載置台102の表面に対して突没可能に設けられ、これらウエハ支持ピン116は支持板117に固定されている。そして、ウエハ支持ピン116は、エアシリンダ等の駆動機構118によりロッド119を昇降することにより、支持板117を介して昇降される。なお、符号120はベローズである。一方、処理容器101の側壁には、ウエハ搬出入口121が形成されており、ゲートバルブGを開けた状態で第1の真空搬送室11との間でウエハWの搬入出が行われる。
このようなRu膜成膜装置14a(14b)においては、ゲートバルブGを開けて、ウエハWを載置台102上に載置した後、ゲートバルブGを閉じ、処理容器101内を真空ポンプ114により排気して処理容器101内を所定の圧力に調整しつつ、ヒーター103より載置台102を介してウエハWを所定温度に加熱した状態で、ガス供給源109からガス供給配管108およびシャワーヘッド104を介して処理容器101内へルテニウムカルボニル(Ru(CO)12)ガス等の処理ガスを導入する。これにより、ウエハW上で処理ガスの反応が進行し、Ru膜を形成することができる。
Ru膜の成膜には、ルテニウムカルボニル以外の他の成膜原料、例えば上述したようなルテニウムのペンタジエニル化合物をOガスのような分解ガスとともに用いることができる。
Ru膜は、PVDにより成膜することもできる。ただし、良好なステップカバレッジが得られるCVDを用いることが好ましい。
<他の工程に用いる装置>
以上の成膜システム1により上記実施形態における積み増し層の形成まで、およびキャップ層の形成を行うことができるが、積み増し層形成後に行われるアニール工程、CMP工程は、成膜システム1から搬出した後のウエハWに対し、アニール装置、CMP装置を用いて行うことができる。また、キャップ層を形成した後の層間絶縁膜の形成も別途の成膜装置により行うことができる。これらの装置は、通常用いられる構成のものでよい。これら装置と成膜システム1とでCu配線構造形成システムを構成し、制御部40と同じ機能を有する共通の制御部により一括して制御するようにすることにより、上記実施形態に示された方法を一つの処理レシピにより一括して制御することができる。
<実験例>
次に、実験例について説明する。
ここでは、図7に示すように、シリコンからなるブランケットウエハ上にiPVDによりバリア膜としてTaN5nm/Ta5nmの積層膜を形成した後、同じくiPVDによりCu膜またはCu−2at.%Al合金膜を50nmの厚さで形成し、その上に表面膜として、TaNおよびRuの積層膜またはRu単膜をトータルの厚さ3nmになるように形成し、複数のサンプルを作製した。なお、表面膜をRu単膜にしたサンプルは表面膜がCu配線のキャップ膜を模擬し、表面膜をTaNおよびRuの積層膜としたサンプルは表面膜がCu配線のバリア膜およびRuライナー膜を模擬する。
これらサンプルについて大気中、180℃で2時間のアニール処理を行い、酸素バリア性を調査した。表面膜に酸素バリア性がない場合、アニール中に大気中の酸素が表面膜を透過してCuを酸化させてしまう。Cuが酸化された箇所は膜中で黒い点に見えるが、酸素バリア性がある場合、酸素はCu膜やCu−Al合金膜には透過しないので、Cuは酸化されず、黒い点は見えない。このため、酸素バリア性の評価は、アニール処理後のCu膜またはCu−Al合金膜を光学顕微鏡で観察して、黒い点の有無を検出することにより行った。
酸素バリア性の調査結果を図8に示す。この図に示すように、Alを添加しないCu膜を用いたサンプルは、大気中のアニール後に黒い点が多数観察された。これは、表面膜のバリア性が不十分であるためにCu膜が酸化された結果である。なお、Cu配線のバリア膜およびRuライナー膜を模擬したTaNおよびRuの積層膜を表面膜として有するサンプルにおいても、TaN膜が薄すぎたため、酸素バリア性が不十分となったものと考えられる。一方、Cu−Al合金膜を用いたサンプルは、アニール後に黒い点はほとんど観察されなかった。つまり、Cu−Al合金膜を用いることで酸素バリア性を獲得した。
Cu−Al合金中のAlは、Ruに向けて拡散しやすく、Cu−Al合金膜における、表面膜を構成するRu膜との界面近傍に、Cu−Al合金中のAlとRu膜中のRuとの合金(金属間化合物を含む)を形成し、そのRu−Al合金が酸素バリア性を有するものと考えられる。このように、Cu配線としてCu−Al合金膜を用い、キャップ層にRu膜を用いることにより、酸素バリア性を有することが確認された。また、同時にCuバリア性も有すると考えられる。
なお、Cu−Al合金膜を用いた場合は、Cu配線のバリア膜およびRuライナー膜を模擬したTaNおよびRuの積層膜を表面膜として有するサンプルにおいても、Cu−Al合金膜とRu膜との界面近傍に形成されるRu−Al合金によって酸素バリア性が強化され、Cu膜の場合よりもバリア膜を薄くすることができるものと考えられる。
<他の適用>
以上、本発明の実施形態について説明したが、本発明は上記実施形態に限定されることなく種々変形可能である。例えば、上記実施形態では、トレンチやホールのような凹部にPVDによりCu−Al合金を埋め込んだ例を示したが、Cuを主体とし、少なくとも一部にCu−Al合金部分を有するAl含有Cu膜を埋め込めばよく、凹部の全てをCu−Al合金で埋め込むことに限定されない。例えば凹部にCu−Al合金膜を形成した後、純Cu膜を形成してもよいし、純Cu膜を形成した後に上部のみをCu−Al合金にしてもよい。前者の例としては、CVDによりCu−Al合金シード膜を形成した後、Cuめっきを施す方法でも、PVDでCu−Al合金膜を薄く形成した後、PVDで純Cu膜を形成する方法でもよい。この場合にもCu−Al合金膜のAlが純Cu膜中に拡散し、キャップ層を形成する際にRu−Al合金を含む界面層を形成することができる。また、後者の例としては、凹部にPVDまたはめっきにより純Cu膜を埋め込んだ後に埋め込み部分の上面部分にAlを拡散させて、その部分をCu−Al合金にする方法を挙げることができる。この場合にも、その後にキャップ層を形成した際にRu−Al合金を含む界面層を形成することができる。この場合には、AlがCuの粒界に存在することによるエレクトロマイグレーション耐性向上効果は小さいものの、Cu配線の密着性が良好になることによりエレクトロマイグレーション耐性を確保することができ、さらに、Cu配線の抵抗値をより低くできるという効果を得ることができる。
また、成膜システムとしては、図4のようなタイプに限らず、一つの搬送装置に全ての成膜装置が接続されているタイプであってもよい。また、図4のようなマルチチャンバタイプのシステムではなく、バリア膜、Ruライナー膜、Cu合金膜のうち、一部のみを同一の成膜システムで形成し、残部を別個に設けた装置により大気暴露を経て成膜するようにしてもよいし、全てを別個の装置で大気暴露を経て成膜するようにしてもよい。
さらに、上記実施形態では、トレンチとビア(ホール)とを有するウエハに本発明の方法を適用した例を示したが、トレンチのみを有する場合でも、ホールのみを有する場合でも本発明を適用できることはいうまでもない。また、シングルダマシン構造、ダブルダマシン構造、三次元実装構造等、種々の構造のデバイスにおける埋め込みに適用することができる。また、上記実施形態では、被処理基板として半導体ウエハを例にとって説明したが、半導体ウエハにはシリコンのみならず、GaAs、SiC、GaNなどの化合物半導体も含まれ、さらに、半導体ウエハに限定されず、液晶表示装置等のFPD(フラットパネルディスプレイ)に用いるガラス基板や、セラミック基板等にも本発明を適用することができることはもちろんである。
1;成膜システム
12a,12b;バリア膜成膜装置
14a,14b;Ru膜成膜装置
22a,22b;Cu合金膜成膜装置
24a,24b;Cu膜成膜装置
201;下部構造
202;層間絶縁膜
203;トレンチ
204;バリア膜
205;Ruライナー膜
206;Cu−Al合金膜
207;積み増し層
208;Cu配線
209;キャップ層
210;界面層
211;上層の層間絶縁膜
W;半導体ウエハ(被処理基板)

Claims (12)

  1. 基板上の第1の絶縁膜に形成された所定パターンの凹部にCu配線を形成し、その後前記Cu配線上に、キャップ層を介して上層の絶縁膜を形成するCu配線構造の形成方法であって、
    少なくとも前記凹部の表面にCu拡散のバリアとなるバリア膜を形成する工程と、
    前記凹部内に、Cuを主体とし、少なくとも一部にCu−Al合金部分を有するAl含有Cu膜を埋め込む工程と、
    前記Al含有Cu膜からCu配線を形成する工程と、
    前記Cu配線の上にRu膜からなるキャップ層を形成する工程と、
    前記キャップ層を形成する際の熱またはその後の加熱処理により、前記Cu配線の前記キャップ層との界面近傍に、Ru−Al合金を含む界面層を形成する工程と、
    前記キャップ層の上に第2の絶縁膜を形成する工程と
    を有し、
    前記第1の絶縁膜および前記第2の絶縁膜は、層間絶縁膜であり、低誘電率膜からなることを特徴とするCu配線構造の形成方法。
  2. 前記Al含有Cu膜は、Cu−Al合金膜であることを特徴とする請求項1に記載のCu配線構造の形成方法。
  3. Cu−Al合金膜の形成は、基板が収容された処理容器内にプラズマ生成ガスによりプラズマを生成し、Cu−Al合金からなるターゲットから粒子を飛翔させて、粒子を前記プラズマ中でイオン化させ、前記基板にバイアス電力を印加してイオンを基板上に引きこむ装置により行われることを特徴とする請求項2に記載のCu配線構造の形成方法。
  4. 前記Al含有Cu膜を形成する工程は、最初にCu−Al合金膜を形成し、次いで純Cu膜を形成することを特徴とする請求項1に記載のCu配線構造の形成方法。
  5. 前記Cu−Al合金膜はPVDにより形成し、純Cu膜はめっきまたはPVDにより形成することを特徴とする請求項4に記載のCu配線構造の形成方法。
  6. 前記Al含有Cu膜を形成する工程は、前記凹部に純Cu膜を埋め込んだ後、前記純Cu膜の上部のみをCu−Al合金にすることを特徴とする請求項1に記載のCu配線構造の形成方法。
  7. 前記純Cu膜の上面部分にAlを拡散させることにより前記純Cu膜の上部のみをCu−Al合金にすることを特徴とする請求項6に記載のCu配線構造の形成方法。
  8. 前記バリア膜を形成した後、前記Al含有Cu膜を形成する前に、Ru膜を形成する工程をさらに有することを特徴とする請求項1から請求項7のいずれか1項に記載のCu配線構造の形成方法。
  9. 前記Ru膜は、CVDにより形成されることを特徴とする請求項8に記載のCu配線構造の形成方法。
  10. 前記凹部内のAl含有Cu膜からCu配線を形成する工程は、前記Al含有Cu膜の上に積み増し層を形成した後、全面を研磨するものであることを特徴とする請求項1から請求項のいずれか1項に記載のCu配線構造の形成方法。
  11. 前記バリア膜は、Ti膜、TiN膜、Ta膜、TaN膜、Ta/TaNの2層膜、TaCN膜、W膜、WN膜、WCN膜、Zr膜、ZrN膜、V膜、VN膜、Nb膜、NbN膜からなる群から選択されるものであることを特徴とする請求項1から請求項10のいずれか1項に記載のCu配線構造の形成方法。
  12. コンピュータ上で動作し、Cu配線形成システムを制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、請求項1から請求項11のいずれかのCu配線構造の形成方法が行われるように、コンピュータに前記Cu配線形成システムを制御させることを特徴とする記憶媒体。
JP2013172492A 2013-08-22 2013-08-22 Cu配線構造の形成方法 Active JP6257217B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2013172492A JP6257217B2 (ja) 2013-08-22 2013-08-22 Cu配線構造の形成方法
US14/464,684 US9368418B2 (en) 2013-08-22 2014-08-20 Copper wiring structure forming method
KR20140109056A KR20150022711A (ko) 2013-08-22 2014-08-21 Cu 배선 구조의 형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013172492A JP6257217B2 (ja) 2013-08-22 2013-08-22 Cu配線構造の形成方法

Publications (2)

Publication Number Publication Date
JP2015041708A JP2015041708A (ja) 2015-03-02
JP6257217B2 true JP6257217B2 (ja) 2018-01-10

Family

ID=52480618

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013172492A Active JP6257217B2 (ja) 2013-08-22 2013-08-22 Cu配線構造の形成方法

Country Status (3)

Country Link
US (1) US9368418B2 (ja)
JP (1) JP6257217B2 (ja)
KR (1) KR20150022711A (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150176744A1 (en) * 2013-12-20 2015-06-25 Onesubsea Ip Uk Limited Gasket
KR102403741B1 (ko) * 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
US9595493B2 (en) * 2015-08-10 2017-03-14 Globalfoundries Inc. Reducing liner corrosion during metallization of semiconductor devices
US10157784B2 (en) * 2016-02-12 2018-12-18 Tokyo Electron Limited Integration of a self-forming barrier layer and a ruthenium metal liner in copper metallization
WO2017146713A1 (en) * 2016-02-25 2017-08-31 Intel Corporation Conductive connectors having a ruthenium/aluminum-containing liner and methods of fabricating the same
US9711400B1 (en) 2016-06-07 2017-07-18 International Business Machines Corporation Interconnect structures with enhanced electromigration resistance
US9911698B1 (en) * 2016-08-25 2018-03-06 International Business Machines Corporation Metal alloy capping layers for metallic interconnect structures
CN109844930B (zh) * 2016-10-02 2024-03-08 应用材料公司 以钌衬垫改善铜电迁移的经掺杂选择性金属覆盖
US10930550B2 (en) * 2018-05-06 2021-02-23 Applied Materials, Inc. Barrier for copper metallization and methods of forming
PT3827114T (pt) * 2018-07-23 2023-07-04 Governing Council Univ Toronto Catalisadores para redução eletroquímica de co2 e métodos associados

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2922089B2 (ja) 1993-06-24 1999-07-19 松下電工株式会社 スチーム発生器の取付構造
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
CN1248304C (zh) 2002-06-13 2006-03-29 松下电器产业株式会社 布线结构的形成方法
KR100904779B1 (ko) 2004-10-19 2009-06-25 도쿄엘렉트론가부시키가이샤 플라즈마 스퍼터링에 의한 성막방법 및 성막장치
JP2006148075A (ja) 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
JP4646993B2 (ja) * 2008-02-27 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
JP5820267B2 (ja) 2008-03-21 2015-11-24 プレジデント アンド フェローズ オブ ハーバード カレッジ 配線用セルフアライン(自己整合)バリア層
US7799681B2 (en) 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US20100081274A1 (en) 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
JP5507909B2 (ja) 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
JP5654794B2 (ja) * 2010-07-15 2015-01-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TWI550119B (zh) 2010-11-02 2016-09-21 宇部興產股份有限公司 (醯胺胺基烷)金屬化合物、及利用該金屬化合物之含金屬之薄膜之製造方法
US8399353B2 (en) 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
WO2012133400A1 (ja) * 2011-03-30 2012-10-04 東京エレクトロン株式会社 Cu配線の形成方法
EP2728053B1 (en) 2011-12-08 2017-03-01 Dongbu Daewoo Electronics Corporation Wall-mounted drum-type washing machine
KR20130077994A (ko) 2011-12-30 2013-07-10 동부대우전자 주식회사 벽걸이형 미니 드럼세탁기
JP6013901B2 (ja) 2012-12-20 2016-10-25 東京エレクトロン株式会社 Cu配線の形成方法

Also Published As

Publication number Publication date
US9368418B2 (en) 2016-06-14
KR20150022711A (ko) 2015-03-04
JP2015041708A (ja) 2015-03-02
US20150056385A1 (en) 2015-02-26

Similar Documents

Publication Publication Date Title
JP6257217B2 (ja) Cu配線構造の形成方法
JP6139298B2 (ja) Cu配線の形成方法
WO2012133400A1 (ja) Cu配線の形成方法
JP5969306B2 (ja) Cu配線の形成方法
JP6117588B2 (ja) Cu配線の形成方法
JP5767570B2 (ja) Cu配線の形成方法およびCu膜の成膜方法、ならびに成膜システム
JP6268008B2 (ja) Cu配線の製造方法
JP2016111347A (ja) Cu配線の形成方法および成膜システム、記憶媒体
KR102008475B1 (ko) Cu 배선의 형성 방법 및 반도체 장치의 제조 방법
JP5788785B2 (ja) Cu配線の形成方法および成膜システム
JP2008210971A (ja) スパッタ成膜方法及びスパッタ成膜装置
JP6268036B2 (ja) Cu配線の製造方法
JP2017050304A (ja) 半導体装置の製造方法
KR20180117575A (ko) Cu 배선의 제조 방법 및 Cu 배선 제조 시스템
JP6013901B2 (ja) Cu配線の形成方法
US20170025308A1 (en) Method of cleaning bottom of via hole and method of manufacturing semiconductor device
WO2014010333A1 (ja) Cu配線の形成方法およびコンピュータ読み取り可能な記憶媒体
KR101357531B1 (ko) Cu 배선의 형성 방법 및 Cu막의 성막 방법, 성막 시스템, 및 기억 매체

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170321

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170323

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170706

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171205

R150 Certificate of patent or registration of utility model

Ref document number: 6257217

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250